audioMux/case/case.step

75263 lines
3.2 MiB

ISO-10303-21;
HEADER;
/* Generated by software containing ST-Developer
* from STEP Tools, Inc. (www.steptools.com)
*/
FILE_DESCRIPTION(
/* description */ (''),
/* implementation_level */ '2;1');
FILE_NAME(
/* name */ 'C:/Users/julian/Documents/git/audioMux/case/case v10.step',
/* time_stamp */ '2019-10-23T22:47:47+02:00',
/* author */ (''),
/* organization */ (''),
/* preprocessor_version */ 'ST-DEVELOPER v18',
/* originating_system */ 'Autodesk Translation Framework v8.6.0.1094',
/* authorisation */ '');
FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }'));
ENDSEC;
DATA;
#10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#3298,#3299,
#3300,#3301,#3302,#3303,#3304,#3305,#3306,#3307,#3308,#3309,#3310,#3311,
#3312,#3313,#3314,#3315,#3316,#3317,#3318,#3319,#3320,#3321,#3322,#3323,
#3324,#3325,#3326,#3327,#3328,#3329,#3330,#3331,#3332,#3333,#3334,#3335,
#3336,#3337,#3338,#3339,#3340,#3341,#3342,#3343,#3344,#3345,#3346,#3347,
#3348,#3349,#3350,#3351,#3352,#3353,#3354,#3355,#3356,#3357,#3358,#3359,
#3360,#3361,#3362,#3363,#3364,#3365,#3366,#3367,#3368,#3369,#3370,#3371,
#3372,#3373,#3374,#3375,#3376,#3377,#3378,#3379,#3380,#3381,#3382,#3383,
#3384,#3385,#3386,#3387,#3388,#3389,#3390,#3391,#3392,#3393,#3394,#3395,
#3396,#3397,#3398,#3399,#3400,#3401,#3402,#3403,#3404,#3405,#3406,#3407,
#3408,#3409,#3410,#3411,#3412,#3413,#3414,#3415,#3416,#3417,#3418,#3419,
#3420,#3421,#3422,#3423,#3424,#3425,#3426,#3427,#3428,#3429,#3430,#3431,
#3432,#3433,#3434,#3435,#3436,#3437,#3438,#3439,#3440,#3441,#3442,#3443,
#3444,#3445,#3446,#3447,#3448,#3449,#3450,#3451,#3452,#3453,#3454,#3455,
#3456,#3457,#3458,#3459,#3460,#3461,#3462,#3463,#3464,#3465,#3466,#3467,
#3468,#3469,#3470,#3471,#3472,#3473,#3474,#3475,#3476,#3477,#3478,#3479,
#3480,#3481,#3482,#3483,#3484,#3485,#3486,#3487,#3488,#3489,#3490,#3491,
#3492,#3493,#3494,#3495,#3496,#3497,#3498,#3499,#3500,#3501,#3502,#3503,
#3504,#3505,#3506,#3507,#3508,#3509,#3510,#3511,#3512,#3513,#3514,#3515,
#3516,#3517,#3518,#3519,#3520,#3521,#3522,#3523,#3524,#3525,#3526,#3527,
#3528,#3529,#3530,#3531,#3532,#3533,#3534,#3535,#3536,#3537,#3538,#3539,
#3540,#3541,#3542,#3543,#3544,#3545,#3546,#3547,#3548,#3549,#3550,#3551,
#3552,#3553,#3554,#3555,#3556,#3557,#3558,#3559,#3560,#3561,#3562,#3563,
#3564,#3565,#3566,#3567,#3568,#3569,#3570,#3571,#3572,#3573,#3574,#3575,
#3576,#3577,#3578,#3579,#3580,#3581,#3582,#3583,#3584,#3585,#3586,#3587,
#3588,#3589,#3590,#3591,#3592,#3593,#3594,#3595,#3596,#3597,#3598,#3599,
#3600,#3601,#3602,#3603,#3604,#3605,#3606,#3607,#3608,#3609,#3610,#3611,
#3612,#3613,#3614,#3615,#3616,#3617,#3618,#3619,#3620,#3621,#3622,#3623,
#3624,#3625,#3626,#3627,#3628,#3629,#3630,#3631,#3632,#3633,#3634,#3635,
#3636,#3637,#3638,#3639,#3640,#3641,#3642,#3643,#3644,#3645,#3646,#3647,
#3648,#3649,#3650,#3651,#3652,#3653,#3654,#3655,#3656,#3657,#3658,#3659,
#3660,#3661,#3662,#3663,#3664,#3665,#3666,#3667,#3668,#3669,#3670,#3671,
#3672,#3673,#3674,#3675,#3676,#3677,#3678,#3679,#3680,#3681,#3682,#3683,
#3684,#3685,#3686,#3687,#3688,#3689,#3690,#3691,#3692,#3693,#3694,#3695,
#3696,#3697,#3698,#3699,#3700,#3701,#3702,#3703,#3704,#3705,#3706,#3707,
#3708,#3709,#3710,#3711,#3712,#3713,#3714,#3715,#3716,#3717,#3718,#3719,
#3720,#3721,#3722,#3723,#3724,#3725,#3726,#3727,#3728,#3729,#3730,#3731,
#3732,#3733,#3734,#3735,#3736,#3737,#3738,#3739,#3740,#3741,#3742,#3743,
#3744,#3745,#3746,#3747,#3748,#3749,#3750,#3751,#3752,#3753,#3754,#3755,
#3756,#3757,#3758,#3759,#3760,#3761,#3762,#3763,#3764,#3765,#3766,#3767,
#3768,#3769,#3770,#3771,#3772,#3773,#3774,#3775,#3776,#3777,#3778,#3779,
#3780,#3781,#3782,#3783,#3784,#3785,#3786,#3787,#3788,#3789,#3790,#3791,
#3792,#3793,#3794,#3795,#3796,#3797,#3798,#3799,#3800,#3801,#3802,#3803,
#3804,#3805,#3806,#3807,#3808,#3809,#3810,#3811,#3812,#3813,#3814,#3815,
#3816,#3817,#3818,#3819,#3820,#3821,#3822,#3823,#3824,#3825,#3826,#3827,
#3828,#3829,#3830,#3831,#3832,#3833,#3834,#3835,#3836,#3837,#3838,#3839,
#3840,#3841,#3842,#3843,#3844,#3845,#3846,#3847,#3848,#3849,#3850,#3851,
#3852,#3853,#3854,#3855,#3856,#3857,#3858,#3859,#3860,#3861,#3862,#3863,
#3864,#3865,#3866,#3867,#3868,#3869,#3870,#3871,#3872,#3873,#3874,#3875,
#3876,#3877,#3878,#3879,#3880,#3881,#3882,#3883,#3884,#3885,#3886,#3887,
#3888,#3889,#3890,#3891,#3892,#3893,#3894,#3895,#3896,#3897,#3898,#3899,
#3900,#3901,#3902,#3903,#3904,#3905,#3906,#3907,#3908,#3909,#3910,#3911,
#3912,#3913,#3914,#3915,#3916,#3917,#3918,#3919,#3920,#3921,#3922,#3923,
#3924,#3925,#3926,#3927,#3928,#3929,#3930,#3931,#3932,#3933,#3934,#3935,
#3936,#3937,#3938,#3939,#3940,#3941,#3942,#3943,#3944,#3945,#3946,#3947,
#3948,#3949,#3950,#3951,#3952,#3953,#3954,#3955,#3956,#3957,#3958,#3959,
#3960,#3961,#3962,#3963,#3964,#3965,#3966,#3967,#3968,#3969,#3970,#3971,
#3972,#3973,#3974,#3975,#3976,#3977,#3978,#3979,#3980,#3981,#3982,#3983,
#3984,#3985,#3986,#3987,#3988,#3989,#3990,#3991,#3992,#3993,#3994,#3995,
#3996,#3997,#3998,#3999,#4000,#4001,#4002,#4003,#4004,#4005,#4006,#4007,
#4008,#4009,#4010,#4011,#4012,#4013,#4014,#4015,#4016,#4017,#4018,#4019,
#4020,#4021,#4022,#4023,#4024,#4025,#4026,#4027,#4028,#4029,#4030,#4031,
#4032,#4033,#4034,#4035,#4036,#4037,#4038,#4039,#4040,#4041,#4042,#4043,
#4044,#4045,#4046,#4047,#4048,#4049,#4050,#4051,#4052,#4053,#4054,#4055,
#4056,#4057,#4058,#4059,#4060,#4061,#4062,#4063,#4064,#4065,#4066,#4067,
#4068,#4069,#4070,#4071,#4072,#4073,#4074,#4075,#4076,#4077,#4078,#4079,
#4080,#4081,#4082,#4083,#4084,#4085,#4086,#4087,#4088,#4089,#4090,#4091,
#4092,#4093,#4094,#4095,#4096,#4097,#4098,#4099,#4100,#4101,#4102,#4103,
#4104,#4105,#4106,#4107,#4108,#4109,#4110,#4111,#4112,#4113,#4114,#4115,
#4116,#4117,#4118,#4119,#4120,#4121,#4122,#4123,#4124,#4125,#4126,#4127,
#4128,#4129,#4130,#4131,#4132,#4133,#4134,#4135,#4136,#4137,#4138,#4139,
#4140,#4141,#4142,#4143,#4144,#4145,#4146,#4147,#4148,#4149,#4150,#4151,
#4152,#4153,#4154,#4155,#4156,#4157,#4158,#4159,#4160,#4161,#4162,#4163,
#4164,#4165,#4166,#4167,#4168,#4169,#4170,#4171,#4172,#4173,#4174,#4175,
#4176,#4177,#4178,#4179,#4180,#4181,#4182,#4183,#4184,#4185,#4186,#4187,
#4188,#4189,#4190,#4191,#4192,#4193,#4194,#4195,#4196,#4197,#4198,#4199,
#4200,#4201,#4202,#4203,#4204,#4205,#4206,#4207,#4208,#4209,#4210,#4211,
#4212,#4213,#4214,#4215,#4216,#4217,#4218,#4219,#4220,#4221,#4222,#4223,
#4224,#4225,#4226,#4227,#4228,#4229,#4230,#4231,#4232,#4233,#4234,#4235,
#4236,#4237,#4238,#4239,#4240,#4241,#4242,#4243,#4244,#4245,#4246,#4247,
#4248,#4249,#4250,#4251,#4252,#4253,#4254,#4255,#4256,#4257,#4258,#4259,
#4260,#4261,#4262,#4263,#4264,#4265,#4266,#4267,#4268,#4269,#4270,#4271,
#4272,#4273,#4274,#4275,#4276,#4277,#4278,#4279,#4280,#4281,#4282,#4283,
#4284,#4285,#4286,#4287,#4288,#4289,#4290,#4291,#4292,#4293,#4294,#4295,
#4296,#4297,#4298,#4299,#4300,#4301,#4302,#4303,#4304,#4305,#4306,#4307,
#4308,#4309,#4310,#4311,#4312,#4313,#4314,#4315,#4316,#4317,#4318,#4319,
#4320,#4321,#4322,#4323,#4324,#4325,#4326,#4327,#4328,#4329,#4330,#4331,
#4332,#4333,#4334,#4335,#4336,#4337,#4338,#4339,#4340,#4341,#4342,#4343,
#4344,#4345,#4346,#4347,#4348,#4349,#4350,#4351,#4352,#4353,#4354,#4355,
#4356,#4357,#4358,#4359,#4360,#4361,#4362,#4363,#4364,#4365,#4366,#4367,
#4368,#4369,#4370,#4371,#4372,#4373,#4374,#4375,#4376,#4377,#4378,#4379,
#4380,#4381,#4382,#4383,#4384,#4385,#4386,#4387,#4388,#4389,#4390,#4391,
#4392,#4393,#4394,#4395,#4396,#4397,#4398,#4399,#4400,#4401,#4402,#4403,
#4404,#4405,#4406,#4407,#4408,#4409,#4410,#4411,#4412,#4413,#4414,#4415,
#4416,#4417,#4418,#4419,#4420,#4421,#4422,#4423,#4424,#4425,#4426,#4427,
#4428,#4429,#4430,#4431,#4432,#4433,#4434,#4435,#4436,#4437,#4438,#4439,
#4440,#4441,#4442,#4443,#4444,#4445,#4446,#4447,#4448,#4449,#4450,#4451,
#4452,#4453,#4454,#4455,#4456,#4457,#4458,#4459,#4460,#4461,#4462,#4463,
#4464,#4465,#4466,#4467,#4468,#4469,#4470,#4471,#4472,#4473,#4474,#4475,
#4476,#4477,#4478,#4479,#4480,#4481,#4482,#4483,#4484,#4485,#4486,#4487,
#4488,#4489,#4490,#4491,#4492,#4493,#4494,#4495,#4496,#4497,#4498,#4499,
#4500,#4501,#4502,#4503,#4504,#4505,#4506,#4507,#4508,#4509,#4510,#4511,
#4512,#4513,#4514,#4515,#4516,#4517,#4518,#4519,#4520,#4521,#4522,#4523,
#4524,#4525,#4526,#4527,#4528,#4529,#4530,#4531,#4532,#4533,#4534,#4535,
#4536,#4537,#4538,#4539,#4540,#4541,#4542,#4543,#4544,#4545,#4546,#4547,
#4548,#4549,#4550,#4551,#4552,#4553,#4554,#4555,#4556,#4557,#4558,#4559,
#4560,#4561,#4562,#4563,#4564,#4565,#4566,#4567,#4568),#72167);
#11=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#72463),#72343,#4568,
(#2697));
#12=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#72463),#72343,#4568,
(#2698));
#13=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#72463),#72343,#4568,
(#2699));
#14=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#72463),#72343,#4568,
(#2700));
#15=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#72463),#72343,#4568,
(#2701));
#16=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#72463),#72343,#4568,
(#2702));
#17=MAPPED_ITEM('',#18,#46328);
#18=REPRESENTATION_MAP(#46328,#72318);
#19=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69550,#69551),(#69552,#69553),
(#69554,#69555),(#69556,#69557),(#69558,#69559)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.019123073854114,0.0531902193506268),(0.,0.1),
.UNSPECIFIED.);
#20=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69567,#69568),(#69569,#69570),
(#69571,#69572),(#69573,#69574),(#69575,#69576)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0138010605673658,0.026022210858913),(0.,0.1),
.UNSPECIFIED.);
#21=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69584,#69585),(#69586,#69587),
(#69588,#69589),(#69590,#69591),(#69592,#69593)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0152919950234764,0.0298124441375406),(0.,0.1),
.UNSPECIFIED.);
#22=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69601,#69602),(#69603,#69604),
(#69605,#69606),(#69607,#69608),(#69609,#69610)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0207170755325087,0.0433582980459015),(0.,0.1),
.UNSPECIFIED.);
#23=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69618,#69619),(#69620,#69621),
(#69622,#69623),(#69624,#69625),(#69626,#69627)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0449105664264785,0.0739680567025847),(0.,0.1),
.UNSPECIFIED.);
#24=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69639,#69640),(#69641,#69642),
(#69643,#69644),(#69645,#69646),(#69647,#69648)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0344369937825168,0.111934991966518),(0.,0.1),
.UNSPECIFIED.);
#25=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69656,#69657),(#69658,#69659),
(#69660,#69661),(#69662,#69663),(#69664,#69665)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0142701021110524,0.0271690427312071),(0.,0.1),
.UNSPECIFIED.);
#26=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69673,#69674),(#69675,#69676),
(#69677,#69678),(#69679,#69680),(#69681,#69682)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0161354245494495,0.0311878423618914),(0.,0.1),
.UNSPECIFIED.);
#27=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69690,#69691),(#69692,#69693),
(#69694,#69695),(#69696,#69697),(#69698,#69699)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.032362164582224,0.064048865083904),(0.,0.1),
.UNSPECIFIED.);
#28=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69707,#69708),(#69709,#69710),
(#69711,#69712),(#69713,#69714),(#69715,#69716)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0786785989643416,0.130904748056571),(0.,0.1),
.UNSPECIFIED.);
#29=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69736,#69737),(#69738,#69739),
(#69740,#69741),(#69742,#69743),(#69744,#69745)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0835089422680033,0.198878408460455),(0.,0.1),
.UNSPECIFIED.);
#30=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69753,#69754),(#69755,#69756),
(#69757,#69758),(#69759,#69760),(#69761,#69762)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0716668964718817,0.139687204521543),(0.,0.1),
.UNSPECIFIED.);
#31=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69770,#69771),(#69772,#69773),
(#69774,#69775),(#69776,#69777),(#69778,#69779)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0863561642935903,0.165226950731871),(0.,0.1),
.UNSPECIFIED.);
#32=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69787,#69788),(#69789,#69790),
(#69791,#69792),(#69793,#69794),(#69795,#69796)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0647856086806658,0.14019511137217),(0.,0.1),
.UNSPECIFIED.);
#33=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69804,#69805),(#69806,#69807),
(#69808,#69809),(#69810,#69811),(#69812,#69813)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0518700078373874,0.108541877491243),(0.,0.1),
.UNSPECIFIED.);
#34=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69821,#69822),(#69823,#69824),
(#69825,#69826),(#69827,#69828),(#69829,#69830)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0478742411088405,0.097258800620953),(0.,0.1),
.UNSPECIFIED.);
#35=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69838,#69839),(#69840,#69841),
(#69842,#69843),(#69844,#69845),(#69846,#69847)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0874113886764419,0.148415155534007),(0.,0.1),
.UNSPECIFIED.);
#36=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69859,#69860),(#69861,#69862),
(#69863,#69864),(#69865,#69866),(#69867,#69868)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0884327377027478,0.219622742539439),(0.,0.1),
.UNSPECIFIED.);
#37=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69876,#69877),(#69878,#69879),
(#69880,#69881),(#69882,#69883),(#69884,#69885)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0869979495495367,0.161407439018397),(0.,0.1),
.UNSPECIFIED.);
#38=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69893,#69894),(#69895,#69896),
(#69897,#69898),(#69899,#69900),(#69901,#69902)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0796677132936404,0.160136186269012),(0.,0.1),
.UNSPECIFIED.);
#39=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69925,#69926),(#69927,#69928),
(#69929,#69930),(#69931,#69932),(#69933,#69934)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0687948176607973,0.118034813903277),(0.,0.1),
.UNSPECIFIED.);
#40=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69944,#69945),(#69946,#69947),
(#69948,#69949),(#69950,#69951),(#69952,#69953)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0910888507622873,0.196978856575779),(0.,0.1),
.UNSPECIFIED.);
#41=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69961,#69962),(#69963,#69964),
(#69965,#69966),(#69967,#69968),(#69969,#69970)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.14304942633757,0.243726496416143),(0.,0.1),
.UNSPECIFIED.);
#42=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69978,#69979),(#69980,#69981),
(#69982,#69983),(#69984,#69985),(#69986,#69987)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0880347151845309,0.205683039009056),(0.,0.1),
.UNSPECIFIED.);
#43=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#69995,#69996),(#69997,#69998),
(#69999,#70000),(#70001,#70002),(#70003,#70004)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.114945184138689,0.198861186533652),(0.,0.1),
.UNSPECIFIED.);
#44=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70024,#70025),(#70026,#70027),
(#70028,#70029),(#70030,#70031),(#70032,#70033)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0270200734641609,0.0721212072545146),(0.,0.1),
.UNSPECIFIED.);
#45=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70041,#70042),(#70043,#70044),
(#70045,#70046),(#70047,#70048),(#70049,#70050)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0211438008865142,0.0391694199917829),(0.,0.1),
.UNSPECIFIED.);
#46=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70058,#70059),(#70060,#70061),
(#70062,#70063),(#70064,#70065),(#70066,#70067)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0176823459436259,0.0369166354467649),(0.,0.1),
.UNSPECIFIED.);
#47=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70075,#70076),(#70077,#70078),
(#70079,#70080),(#70081,#70082),(#70083,#70084)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0413880756619558,0.066891605453326),(0.,0.1),
.UNSPECIFIED.);
#48=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70096,#70097),(#70098,#70099),
(#70100,#70101),(#70102,#70103),(#70104,#70105)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0286019305591269,0.0687987841554785),(0.,0.1),
.UNSPECIFIED.);
#49=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70113,#70114),(#70115,#70116),
(#70117,#70118),(#70119,#70120),(#70121,#70122)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0199719428778005,0.0412626224204807),(0.,0.1),
.UNSPECIFIED.);
#50=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70130,#70131),(#70132,#70133),
(#70134,#70135),(#70136,#70137),(#70138,#70139)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0682807285265919,0.104638657514981),(0.,0.1),
.UNSPECIFIED.);
#51=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70151,#70152),(#70153,#70154),
(#70155,#70156),(#70157,#70158),(#70159,#70160)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0358474519545187,0.0937792467189341),(0.,0.1),
.UNSPECIFIED.);
#52=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70168,#70169),(#70170,#70171),
(#70172,#70173),(#70174,#70175),(#70176,#70177)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0258420436056799,0.0502255498792584),(0.,0.1),
.UNSPECIFIED.);
#53=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70185,#70186),(#70187,#70188),
(#70189,#70190),(#70191,#70192),(#70193,#70194)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0472681599828347,0.0810717819494199),(0.,0.1),
.UNSPECIFIED.);
#54=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70206,#70207),(#70208,#70209),
(#70210,#70211),(#70212,#70213),(#70214,#70215)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0386521722713636,0.110755959978976),(0.,0.1),
.UNSPECIFIED.);
#55=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70223,#70224),(#70225,#70226),
(#70227,#70228),(#70229,#70230),(#70231,#70232)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0234521724550937,0.0442343329387301),(0.,0.1),
.UNSPECIFIED.);
#56=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70240,#70241),(#70242,#70243),
(#70244,#70245),(#70246,#70247),(#70248,#70249)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0172021539389424,0.0383459020723509),(0.,0.1),
.UNSPECIFIED.);
#57=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70257,#70258),(#70259,#70260),
(#70261,#70262),(#70263,#70264),(#70265,#70266)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0503507117495735,0.0787914655333114),(0.,0.1),
.UNSPECIFIED.);
#58=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70286,#70287),(#70288,#70289),
(#70290,#70291),(#70292,#70293),(#70294,#70295)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0764452448282386,0.191765969731835),(0.,0.1),
.UNSPECIFIED.);
#59=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70303,#70304),(#70305,#70306),
(#70307,#70308),(#70309,#70310),(#70311,#70312)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0677857410127955,0.127178611475345),(0.,0.1),
.UNSPECIFIED.);
#60=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70320,#70321),(#70322,#70323),
(#70324,#70325),(#70326,#70327),(#70328,#70329)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.100858577451277,0.182201882558596),(0.,0.1),
.UNSPECIFIED.);
#61=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70337,#70338),(#70339,#70340),
(#70341,#70342),(#70343,#70344),(#70345,#70346)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0762285772188076,0.166682963140916),(0.,0.1),
.UNSPECIFIED.);
#62=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70354,#70355),(#70356,#70357),
(#70358,#70359),(#70360,#70361),(#70362,#70363)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0652425558056336,0.132375598174033),(0.,0.1),
.UNSPECIFIED.);
#63=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70371,#70372),(#70373,#70374),
(#70375,#70376),(#70377,#70378),(#70379,#70380)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.100670820945854,0.178230665408165),(0.,0.1),
.UNSPECIFIED.);
#64=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70388,#70389),(#70390,#70391),
(#70392,#70393),(#70394,#70395),(#70396,#70397)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0718789788329583,0.196705653743056),(0.,0.1),
.UNSPECIFIED.);
#65=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70405,#70406),(#70407,#70408),
(#70409,#70410),(#70411,#70412),(#70413,#70414)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.052775325784854,0.101446894984925),(0.,0.1),
.UNSPECIFIED.);
#66=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70422,#70423),(#70424,#70425),
(#70426,#70427),(#70428,#70429),(#70430,#70431)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0451826666248739,0.100790905339156),(0.,0.1),
.UNSPECIFIED.);
#67=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70438,#70439),(#70440,#70441),
(#70442,#70443),(#70444,#70445),(#70446,#70447)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.161230989659621,0.306658044057704),(0.,0.1),
.UNSPECIFIED.);
#68=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70465,#70466),(#70467,#70468),
(#70469,#70470),(#70471,#70472),(#70473,#70474)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0392524794291852,0.106768993197407),(0.,0.1),
.UNSPECIFIED.);
#69=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70482,#70483),(#70484,#70485),
(#70486,#70487),(#70488,#70489),(#70490,#70491)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0219132710475977,0.0456882145767174),(0.,0.1),
.UNSPECIFIED.);
#70=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70499,#70500),(#70501,#70502),
(#70503,#70504),(#70505,#70506),(#70507,#70508)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0881934359919835,0.132608154922212),(0.,0.1),
.UNSPECIFIED.);
#71=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70531,#70532),(#70533,#70534),
(#70535,#70536),(#70537,#70538),(#70539,#70540)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.162981141647711,0.454335136636966),(0.,0.1),
.UNSPECIFIED.);
#72=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70550,#70551),(#70552,#70553),
(#70554,#70555),(#70556,#70557),(#70558,#70559)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0844054721189743,0.178006327769187),(0.,0.1),
.UNSPECIFIED.);
#73=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70567,#70568),(#70569,#70570),
(#70571,#70572),(#70573,#70574),(#70575,#70576)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0961111995789757,0.195693049571571),(0.,0.1),
.UNSPECIFIED.);
#74=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70584,#70585),(#70586,#70587),
(#70588,#70589),(#70590,#70591),(#70592,#70593)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.125228510318409,0.22906257422231),(0.,0.1),
.UNSPECIFIED.);
#75=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70601,#70602),(#70603,#70604),
(#70605,#70606),(#70607,#70608),(#70609,#70610)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0592235663359665,0.126410238792285),(0.,0.1),
.UNSPECIFIED.);
#76=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70618,#70619),(#70620,#70621),
(#70622,#70623),(#70624,#70625),(#70626,#70627)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0538811559730379,0.108496460934786),(0.,0.1),
.UNSPECIFIED.);
#77=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70635,#70636),(#70637,#70638),
(#70639,#70640),(#70641,#70642),(#70643,#70644)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0477910534603335,0.0997843708384615),(0.,0.1),
.UNSPECIFIED.);
#78=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70652,#70653),(#70654,#70655),
(#70656,#70657),(#70658,#70659),(#70660,#70661)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0712941558901209,0.126059780399829),(0.,0.1),
.UNSPECIFIED.);
#79=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70681,#70682),(#70683,#70684),
(#70685,#70686),(#70687,#70688),(#70689,#70690)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0330139825980997,0.085412940871242),(0.,0.1),
.UNSPECIFIED.);
#80=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70698,#70699),(#70700,#70701),
(#70702,#70703),(#70704,#70705),(#70706,#70707)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0241261482176804,0.0470927604563452),(0.,0.1),
.UNSPECIFIED.);
#81=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70715,#70716),(#70717,#70718),
(#70719,#70720),(#70721,#70722),(#70723,#70724)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0219355076177053,0.0459548269821689),(0.,0.1),
.UNSPECIFIED.);
#82=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70732,#70733),(#70734,#70735),
(#70736,#70737),(#70738,#70739),(#70740,#70741)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0339255849382535,0.0598418344083601),(0.,0.1),
.UNSPECIFIED.);
#83=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70749,#70750),(#70751,#70752),
(#70753,#70754),(#70755,#70756),(#70757,#70758)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0864896142947253,0.147747823621262),(0.,0.1),
.UNSPECIFIED.);
#84=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70766,#70767),(#70768,#70769),
(#70770,#70771),(#70772,#70773),(#70774,#70775)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.357635341422783,0.543323281923795),(0.,0.1),
.UNSPECIFIED.);
#85=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70861,#70862),(#70863,#70864),
(#70865,#70866),(#70867,#70868),(#70869,#70870)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0302298886529407,0.0833425709002366),(0.,0.1),
.UNSPECIFIED.);
#86=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70887,#70888),(#70889,#70890),
(#70891,#70892),(#70893,#70894),(#70895,#70896)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.015970278281753,0.0355971455911934),(0.,0.1),
.UNSPECIFIED.);
#87=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70910,#70911),(#70912,#70913),
(#70914,#70915),(#70916,#70917),(#70918,#70919)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0204571342144081,0.0425977173814658),(0.,0.1),
.UNSPECIFIED.);
#88=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70933,#70934),(#70935,#70936),
(#70937,#70938),(#70939,#70940),(#70941,#70942)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0519262074736729,0.083177997843481),(0.,0.1),
.UNSPECIFIED.);
#89=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70962,#70963),(#70964,#70965),
(#70966,#70967),(#70968,#70969),(#70970,#70971)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0277943830212889,0.0714669980645305),(0.,0.1),
.UNSPECIFIED.);
#90=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#70985,#70986),(#70987,#70988),
(#70989,#70990),(#70991,#70992),(#70993,#70994)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0217766869464173,0.0416859751231133),(0.,0.1),
.UNSPECIFIED.);
#91=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71008,#71009),(#71010,#71011),
(#71012,#71013),(#71014,#71015),(#71016,#71017)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0203059011524804,0.0424865790111243),(0.,0.1),
.UNSPECIFIED.);
#92=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71031,#71032),(#71033,#71034),
(#71035,#71036),(#71037,#71038),(#71039,#71040)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0425714859262652,0.0705085983653192),(0.,0.1),
.UNSPECIFIED.);
#93=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71057,#71058),(#71059,#71060),
(#71061,#71062),(#71063,#71064),(#71065,#71066)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0671437434795274,0.130746476410283),(0.,0.1),
.UNSPECIFIED.);
#94=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71076,#71077),(#71078,#71079),
(#71080,#71081),(#71082,#71083),(#71084,#71085)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0910310586883331,0.167741041872845),(0.,0.1),
.UNSPECIFIED.);
#95=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71093,#71094),(#71095,#71096),
(#71097,#71098),(#71099,#71100),(#71101,#71102)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0692672067277004,0.145632171479461),(0.,0.1),
.UNSPECIFIED.);
#96=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71110,#71111),(#71112,#71113),
(#71114,#71115),(#71116,#71117),(#71118,#71119)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0614453476332448,0.125264893143334),(0.,0.1),
.UNSPECIFIED.);
#97=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71127,#71128),(#71129,#71130),
(#71131,#71132),(#71133,#71134),(#71135,#71136)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0570166021484169,0.116553890525209),(0.,0.1),
.UNSPECIFIED.);
#98=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71144,#71145),(#71146,#71147),
(#71148,#71149),(#71150,#71151),(#71152,#71153)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.141289654193129,0.226552216197723),(0.,0.1),
.UNSPECIFIED.);
#99=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71165,#71166),(#71167,#71168),
(#71169,#71170),(#71171,#71172),(#71173,#71174)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.08023562621019,0.211639527179272),(0.,0.1),
.UNSPECIFIED.);
#100=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71182,#71183),(#71184,#71185),
(#71186,#71187),(#71188,#71189),(#71190,#71191)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0571296006437919,0.111812980402742),(0.,0.1),
.UNSPECIFIED.);
#101=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71199,#71200),(#71201,#71202),
(#71203,#71204),(#71205,#71206),(#71207,#71208)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0628301202198022,0.122134432004511),(0.,0.1),
.UNSPECIFIED.);
#102=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71216,#71217),(#71218,#71219),
(#71220,#71221),(#71222,#71223),(#71224,#71225)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0871149975612332,0.159450710870086),(0.,0.1),
.UNSPECIFIED.);
#103=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71233,#71234),(#71235,#71236),
(#71237,#71238),(#71239,#71240),(#71241,#71242)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0647837579267621,0.137007132527628),(0.,0.1),
.UNSPECIFIED.);
#104=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71250,#71251),(#71252,#71253),
(#71254,#71255),(#71256,#71257),(#71258,#71259)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0537368362365732,0.112158222637274),(0.,0.1),
.UNSPECIFIED.);
#105=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71267,#71268),(#71269,#71270),
(#71271,#71272),(#71273,#71274),(#71275,#71276)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0488476970488322,0.0995991302645452),(0.,0.1),
.UNSPECIFIED.);
#106=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71284,#71285),(#71286,#71287),
(#71288,#71289),(#71290,#71291),(#71292,#71293)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0868860578625933,0.148528404739754),(0.,0.1),
.UNSPECIFIED.);
#107=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71305,#71306),(#71307,#71308),
(#71309,#71310),(#71311,#71312),(#71313,#71314)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0587375491913023,0.133959475092431),(0.,0.1),
.UNSPECIFIED.);
#108=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71322,#71323),(#71324,#71325),
(#71326,#71327),(#71328,#71329),(#71330,#71331)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0561591290437903,0.107126408953737),(0.,0.1),
.UNSPECIFIED.);
#109=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71339,#71340),(#71341,#71342),
(#71343,#71344),(#71345,#71346),(#71347,#71348)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0618580288499541,0.121604284460936),(0.,0.1),
.UNSPECIFIED.);
#110=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71356,#71357),(#71358,#71359),
(#71360,#71361),(#71362,#71363),(#71364,#71365)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0417750975324662,0.0894742151378607),(0.,0.1),
.UNSPECIFIED.);
#111=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71373,#71374),(#71375,#71376),
(#71377,#71378),(#71379,#71380),(#71381,#71382)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0401605588573424,0.0791725461001228),(0.,0.1),
.UNSPECIFIED.);
#112=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71394,#71395),(#71396,#71397),
(#71398,#71399),(#71400,#71401),(#71402,#71403)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0265464228736257,0.0709333461933398),(0.,0.1),
.UNSPECIFIED.);
#113=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71411,#71412),(#71413,#71414),
(#71415,#71416),(#71417,#71418),(#71419,#71420)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0157346527353498,0.0322568950915405),(0.,0.1),
.UNSPECIFIED.);
#114=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71428,#71429),(#71430,#71431),
(#71432,#71433),(#71434,#71435),(#71436,#71437)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0167850730153648,0.032514246497082),(0.,0.1),
.UNSPECIFIED.);
#115=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71445,#71446),(#71447,#71448),
(#71449,#71450),(#71451,#71452),(#71453,#71454)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0172716303008599,0.0369007026647661),(0.,0.1),
.UNSPECIFIED.);
#116=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71462,#71463),(#71464,#71465),
(#71466,#71467),(#71468,#71469),(#71470,#71471)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.016451440566197,0.0328283835552141),(0.,0.1),
.UNSPECIFIED.);
#117=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71479,#71480),(#71481,#71482),
(#71483,#71484),(#71485,#71486),(#71487,#71488)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0539807582497176,0.0835178344938081),(0.,0.1),
.UNSPECIFIED.);
#118=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#71500,#71501),(#71502,#71503),
(#71504,#71505),(#71506,#71507),(#71508,#71509)),.UNSPECIFIED.,.F.,.F.,
.F.,(4,1,4),(2,2),(0.,0.0693576896573722,0.151093470016311),(0.,0.1),
.UNSPECIFIED.);
#119=CONICAL_SURFACE('',#45610,2.75,0.785398163397458);
#120=CONICAL_SURFACE('',#45614,2.75,0.785398163397459);
#121=CONICAL_SURFACE('',#45632,2.75000000000001,0.785398163397434);
#122=CONICAL_SURFACE('',#45636,2.75,0.785398163397436);
#123=CONICAL_SURFACE('',#45643,2.175,0.785398163397448);
#124=CONICAL_SURFACE('',#45650,2.175,0.785398163397448);
#125=CONICAL_SURFACE('',#45657,2.175,0.785398163397448);
#126=CONICAL_SURFACE('',#45664,2.175,0.785398163397448);
#127=CONICAL_SURFACE('',#45920,2.75,0.785398163397444);
#128=CONICAL_SURFACE('',#45924,2.75,0.785398163397432);
#129=CONICAL_SURFACE('',#45936,2.75,0.785398163397452);
#130=CONICAL_SURFACE('',#45940,2.75,0.785398163397464);
#131=SPHERICAL_SURFACE('',#42887,0.55);
#132=SPHERICAL_SURFACE('',#42891,0.55);
#133=SPHERICAL_SURFACE('',#42912,0.55);
#134=SPHERICAL_SURFACE('',#42913,0.55);
#135=ELLIPSE('',#42821,0.301473861112688,0.29999063439138);
#136=ELLIPSE('',#42824,0.301473850825172,0.29999063440901);
#137=ELLIPSE('',#43114,0.0541196104406001,0.050000000612551);
#138=ELLIPSE('',#43115,0.0541196096852676,0.0499999995641177);
#139=ELLIPSE('',#43301,0.0541196096718618,0.0499999996285941);
#140=ELLIPSE('',#43303,0.0541196104856068,0.050000000490828);
#141=ELLIPSE('',#43750,0.0541196100930293,0.0500000000993577);
#142=ELLIPSE('',#43764,0.0541196106870133,0.0500000007918628);
#143=ELLIPSE('',#43766,0.0541196095025804,0.0499999993658373);
#144=ELLIPSE('',#43768,0.0541196108841929,0.0500000010968909);
#145=ELLIPSE('',#45533,0.565685424949238,0.4);
#146=ELLIPSE('',#45535,0.565685424949238,0.4);
#147=ELLIPSE('',#45537,0.565685424949238,0.4);
#148=ELLIPSE('',#45541,0.565685424949238,0.4);
#149=ELLIPSE('',#45543,0.565685424949238,0.4);
#150=ELLIPSE('',#45545,0.565685424949238,0.4);
#151=ELLIPSE('',#45547,0.565685424949238,0.4);
#152=ELLIPSE('',#45551,0.565685424949238,0.4);
#153=ELLIPSE('',#45566,5.65685424949238,4.);
#154=ELLIPSE('',#45567,5.65685424949238,4.);
#155=ELLIPSE('',#45570,5.65685424949237,4.);
#156=ELLIPSE('',#45581,5.65685424949238,4.);
#157=ELLIPSE('',#45583,5.65685424949238,4.);
#158=ELLIPSE('',#45588,5.65685424949237,4.);
#159=ELLIPSE('',#45590,5.65685424949238,4.);
#160=ELLIPSE('',#45595,5.65685424949238,4.);
#161=ELLIPSE('',#45597,5.65685424949237,4.);
#162=ELLIPSE('',#45602,5.65685424949238,4.00000000000001);
#163=ELLIPSE('',#45604,5.65685424949239,4.00000000000001);
#164=ELLIPSE('',#45609,5.65685424949231,4.);
#165=ELLIPSE('',#45618,5.65685424949238,4.);
#166=ELLIPSE('',#45630,5.65685424949237,4.);
#167=ELLIPSE('',#45993,4.24264068711929,3.);
#168=ELLIPSE('',#45995,4.24264068711925,3.);
#169=ELLIPSE('',#45997,4.24264068711929,3.);
#170=ELLIPSE('',#45999,4.24264068711925,3.);
#171=ELLIPSE('',#46001,4.2426406871193,3.);
#172=ELLIPSE('',#46003,4.2426406871193,3.);
#173=ELLIPSE('',#46033,4.24264068711928,3.);
#174=ELLIPSE('',#46035,4.24264068711928,3.);
#175=ELLIPSE('',#46112,4.24264068711928,3.);
#176=ELLIPSE('',#46114,4.24264068711929,3.);
#177=ELLIPSE('',#46152,4.24264068711928,3.);
#178=ELLIPSE('',#46155,4.24264068711929,3.);
#179=ELLIPSE('',#46159,4.24264068711929,3.);
#180=ELLIPSE('',#46162,4.24264068711929,3.);
#181=ELLIPSE('',#46166,4.24264068711929,3.);
#182=ELLIPSE('',#46169,4.24264068711929,3.);
#183=ELLIPSE('',#46173,4.24264068711929,3.);
#184=ELLIPSE('',#46176,4.24264068711928,3.);
#185=ELLIPSE('',#46180,4.24264068711928,3.);
#186=ELLIPSE('',#46183,4.24264068711928,3.);
#187=B_SPLINE_CURVE_WITH_KNOTS('',11,(#58494,#58495,#58496,#58497,#58498,
#58499,#58500,#58501,#58502,#58503,#58504,#58505),.UNSPECIFIED.,.F.,.F.,
(12,12),(2.874910026413E-15,13.744467859455),.UNSPECIFIED.);
#188=B_SPLINE_CURVE_WITH_KNOTS('',11,(#58554,#58555,#58556,#58557,#58558,
#58559,#58560,#58561,#58562,#58563,#58564,#58565),.UNSPECIFIED.,.F.,.F.,
(12,12),(2.901255980386E-15,13.744467859455),.UNSPECIFIED.);
#189=B_SPLINE_CURVE_WITH_KNOTS('',3,(#58573,#58574,#58575,#58576,#58577,
#58578,#58579,#58580,#58581,#58582,#58583,#58584,#58585,#58586,#58587,#58588,
#58589,#58590,#58591,#58592,#58593,#58594,#58595,#58596,#58597,#58598,#58599,
#58600,#58601,#58602,#58603),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,
4),(0.,0.090323628844826,0.197498099592823,0.335547406906155,0.343710672019,
0.475080953108433,0.595827304599699,0.708793127145502,0.819229863283443,
0.927647192949429,1.),.UNSPECIFIED.);
#190=B_SPLINE_CURVE_WITH_KNOTS('',3,(#58607,#58608,#58609,#58610,#58611,
#58612,#58613,#58614,#58615,#58616,#58617,#58618,#58619,#58620,#58621,#58622,
#58623,#58624,#58625,#58626,#58627,#58628,#58629,#58630,#58631,#58632,#58633,
#58634,#58635,#58636,#58637),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,
4),(0.,0.108307944607057,0.217862162916083,0.331760091585424,0.449622801614306,
0.578764311662109,0.656899903514,0.794025045040703,0.897012522520351,0.987778775539236,
1.),.UNSPECIFIED.);
#191=B_SPLINE_CURVE_WITH_KNOTS('',3,(#58885,#58886,#58887,#58888,#58889,
#58890,#58891,#58892,#58893,#58894,#58895,#58896,#58897,#58898,#58899,#58900,
#58901,#58902,#58903,#58904,#58905,#58906,#58907,#58908,#58909,#58910,#58911,
#58912,#58913,#58914,#58915,#58916,#58917,#58918,#58919,#58920,#58921,#58922,
#58923,#58924,#58925,#58926,#58927,#58928,#58929,#58930,#58931,#58932,#58933,
#58934,#58935,#58936,#58937,#58938,#58939,#58940,#58941,#58942,#58943,#58944,
#58945,#58946,#58947,#58948,#58949,#58950,#58951,#58952,#58953,#58954,#58955,
#58956,#58957,#58958,#58959,#58960,#58961,#58962,#58963,#58964,#58965,#58966,
#58967,#58968,#58969,#58970,#58971,#58972,#58973,#58974,#58975,#58976,#58977,
#58978,#58979,#58980,#58981,#58982,#58983,#58984,#58985,#58986,#58987,#58988,
#58989,#58990,#58991,#58992,#58993,#58994,#58995,#58996,#58997,#58998,#58999,
#59000,#59001,#59002,#59003,#59004,#59005,#59006,#59007,#59008,#59009,#59010,
#59011,#59012,#59013,#59014,#59015,#59016,#59017,#59018,#59019,#59020,#59021,
#59022,#59023,#59024,#59025,#59026,#59027,#59028,#59029),.UNSPECIFIED.,
.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,
3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.135020857149,1.251,1.296454545455,
1.341909090909,1.387363636364,1.432818181818,1.478272727273,1.523727272727,
1.569181818182,1.614636363636,1.660090909091,1.705545454545,1.751,1.796454545455,
1.841909090909,1.887363636364,1.932818181818,1.978272727273,2.023727272727,
2.069181818182,2.114636363636,2.16009090909091,2.20554545454545,2.251,2.25180000002133,
2.29725454547633,2.34270909093033,2.38816363638533,2.43361818183933,2.47907272729433,
2.52452727274833,2.56998181820333,2.61543636365733,2.66089090911233,2.70634545456633,
2.75180000002133,2.79725454547633,2.84270909093033,2.88816363638533,2.93361818183933,
2.97907272729433,3.02452727274833,3.06998181820333,3.11543636365733,3.16089090911224,
3.20634545456678,3.25180000002133,3.25183855532261,4.3678176981736),
.UNSPECIFIED.);
#192=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68795,#68796,#68797,#68798,#68799),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0796677132936404,0.160136186269012),
.UNSPECIFIED.);
#193=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68801,#68802,#68803,#68804,#68805),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0869979495495367,0.161407439018397),
.UNSPECIFIED.);
#194=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68807,#68808,#68809,#68810,#68811),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0884327377027478,0.219622742539439),
.UNSPECIFIED.);
#195=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68815,#68816,#68817,#68818,#68819),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0874113886764419,0.148415155534007),
.UNSPECIFIED.);
#196=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68821,#68822,#68823,#68824,#68825),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0478742411088405,0.097258800620953),
.UNSPECIFIED.);
#197=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68827,#68828,#68829,#68830,#68831),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0518700078373874,0.108541877491243),
.UNSPECIFIED.);
#198=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68833,#68834,#68835,#68836,#68837),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0647856086806658,0.14019511137217),
.UNSPECIFIED.);
#199=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68839,#68840,#68841,#68842,#68843),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0863561642935903,0.165226950731871),
.UNSPECIFIED.);
#200=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68845,#68846,#68847,#68848,#68849),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0716668964718817,0.139687204521543),
.UNSPECIFIED.);
#201=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68851,#68852,#68853,#68854,#68855),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0835089422680033,0.198878408460455),
.UNSPECIFIED.);
#202=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68863,#68864,#68865,#68866,#68867),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0786785989643416,0.130904748056571),
.UNSPECIFIED.);
#203=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68869,#68870,#68871,#68872,#68873),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.032362164582224,0.064048865083904),
.UNSPECIFIED.);
#204=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68875,#68876,#68877,#68878,#68879),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0161354245494495,0.0311878423618914),
.UNSPECIFIED.);
#205=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68881,#68882,#68883,#68884,#68885),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0142701021110524,0.0271690427312071),
.UNSPECIFIED.);
#206=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68887,#68888,#68889,#68890,#68891),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0344369937825168,0.111934991966518),
.UNSPECIFIED.);
#207=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68895,#68896,#68897,#68898,#68899),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0449105664264785,0.0739680567025847),
.UNSPECIFIED.);
#208=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68901,#68902,#68903,#68904,#68905),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0207170755325087,0.0433582980459015),
.UNSPECIFIED.);
#209=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68907,#68908,#68909,#68910,#68911),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0152919950234764,0.0298124441375406),
.UNSPECIFIED.);
#210=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68913,#68914,#68915,#68916,#68917),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0138010605673658,0.026022210858913),
.UNSPECIFIED.);
#211=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68919,#68920,#68921,#68922,#68923),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.019123073854114,0.0531902193506268),
.UNSPECIFIED.);
#212=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68927,#68928,#68929,#68930,#68931),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0687948176607973,0.118034813903277),
.UNSPECIFIED.);
#213=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68933,#68934,#68935,#68936,#68937),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0451826666248739,0.100790905339156),
.UNSPECIFIED.);
#214=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68939,#68940,#68941,#68942,#68943),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.052775325784854,0.101446894984925),
.UNSPECIFIED.);
#215=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68945,#68946,#68947,#68948,#68949),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0718789788329583,0.196705653743056),
.UNSPECIFIED.);
#216=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68951,#68952,#68953,#68954,#68955),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.100670820945854,0.178230665408165),
.UNSPECIFIED.);
#217=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68957,#68958,#68959,#68960,#68961),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0652425558056336,0.132375598174033),
.UNSPECIFIED.);
#218=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68963,#68964,#68965,#68966,#68967),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0762285772188076,0.166682963140916),
.UNSPECIFIED.);
#219=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68969,#68970,#68971,#68972,#68973),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.100858577451277,0.182201882558596),
.UNSPECIFIED.);
#220=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68975,#68976,#68977,#68978,#68979),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0677857410127955,0.127178611475345),
.UNSPECIFIED.);
#221=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68981,#68982,#68983,#68984,#68985),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0764452448282386,0.191765969731835),
.UNSPECIFIED.);
#222=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68993,#68994,#68995,#68996,#68997),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0503507117495735,0.0787914655333114),
.UNSPECIFIED.);
#223=B_SPLINE_CURVE_WITH_KNOTS('',3,(#68999,#69000,#69001,#69002,#69003),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0172021539389424,0.0383459020723509),
.UNSPECIFIED.);
#224=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69005,#69006,#69007,#69008,#69009),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0234521724550937,0.0442343329387301),
.UNSPECIFIED.);
#225=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69011,#69012,#69013,#69014,#69015),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0386521722713636,0.110755959978976),
.UNSPECIFIED.);
#226=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69019,#69020,#69021,#69022,#69023),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0472681599828347,0.0810717819494199),
.UNSPECIFIED.);
#227=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69025,#69026,#69027,#69028,#69029),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0258420436056799,0.0502255498792584),
.UNSPECIFIED.);
#228=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69031,#69032,#69033,#69034,#69035),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0358474519545187,0.0937792467189341),
.UNSPECIFIED.);
#229=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69039,#69040,#69041,#69042,#69043),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0682807285265919,0.104638657514981),
.UNSPECIFIED.);
#230=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69045,#69046,#69047,#69048,#69049),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0199719428778005,0.0412626224204807),
.UNSPECIFIED.);
#231=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69051,#69052,#69053,#69054,#69055),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0286019305591269,0.0687987841554785),
.UNSPECIFIED.);
#232=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69059,#69060,#69061,#69062,#69063),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0413880756619558,0.066891605453326),
.UNSPECIFIED.);
#233=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69065,#69066,#69067,#69068,#69069),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0176823459436259,0.0369166354467649),
.UNSPECIFIED.);
#234=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69071,#69072,#69073,#69074,#69075),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0211438008865142,0.0391694199917829),
.UNSPECIFIED.);
#235=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69077,#69078,#69079,#69080,#69081),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0270200734641609,0.0721212072545146),
.UNSPECIFIED.);
#236=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69089,#69090,#69091,#69092,#69093),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.114945184138689,0.198861186533652),
.UNSPECIFIED.);
#237=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69095,#69096,#69097,#69098,#69099),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0880347151845309,0.205683039009056),
.UNSPECIFIED.);
#238=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69101,#69102,#69103,#69104,#69105),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.14304942633757,0.243726496416143),
.UNSPECIFIED.);
#239=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69106,#69107,#69108,#69109,#69110),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0910888507622873,0.196978856575779),
.UNSPECIFIED.);
#240=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69113,#69114,#69115,#69116,#69117),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.161230989659621,0.306658044057704),
.UNSPECIFIED.);
#241=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69127,#69128,#69129,#69130,#69131),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0881934359919835,0.132608154922212),
.UNSPECIFIED.);
#242=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69133,#69134,#69135,#69136,#69137),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0219132710475977,0.0456882145767174),
.UNSPECIFIED.);
#243=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69139,#69140,#69141,#69142,#69143),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0392524794291852,0.106768993197407),
.UNSPECIFIED.);
#244=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69149,#69150,#69151,#69152,#69153),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.162981141647711,0.454335136636966),
.UNSPECIFIED.);
#245=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69163,#69164,#69165,#69166,#69167),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.357635341422783,0.543323281923795),
.UNSPECIFIED.);
#246=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69169,#69170,#69171,#69172,#69173),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0864896142947253,0.147747823621262),
.UNSPECIFIED.);
#247=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69175,#69176,#69177,#69178,#69179),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0339255849382535,0.0598418344083601),
.UNSPECIFIED.);
#248=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69181,#69182,#69183,#69184,#69185),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0219355076177053,0.0459548269821689),
.UNSPECIFIED.);
#249=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69187,#69188,#69189,#69190,#69191),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0241261482176804,0.0470927604563452),
.UNSPECIFIED.);
#250=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69193,#69194,#69195,#69196,#69197),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0330139825980997,0.085412940871242),
.UNSPECIFIED.);
#251=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69205,#69206,#69207,#69208,#69209),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0712941558901209,0.126059780399829),
.UNSPECIFIED.);
#252=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69211,#69212,#69213,#69214,#69215),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0477910534603335,0.0997843708384615),
.UNSPECIFIED.);
#253=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69217,#69218,#69219,#69220,#69221),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0538811559730379,0.108496460934786),
.UNSPECIFIED.);
#254=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69223,#69224,#69225,#69226,#69227),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0592235663359665,0.126410238792285),
.UNSPECIFIED.);
#255=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69229,#69230,#69231,#69232,#69233),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.125228510318409,0.22906257422231),
.UNSPECIFIED.);
#256=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69235,#69236,#69237,#69238,#69239),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0961111995789757,0.195693049571571),
.UNSPECIFIED.);
#257=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69240,#69241,#69242,#69243,#69244),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0844054721189743,0.178006327769187),
.UNSPECIFIED.);
#258=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69269,#69270,#69271,#69272,#69273),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0671437434795274,0.130746476410283),
.UNSPECIFIED.);
#259=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69275,#69276,#69277,#69278,#69279),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0693576896573722,0.151093470016311),
.UNSPECIFIED.);
#260=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69283,#69284,#69285,#69286,#69287),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0539807582497176,0.0835178344938081),
.UNSPECIFIED.);
#261=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69289,#69290,#69291,#69292,#69293),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.016451440566197,0.0328283835552141),
.UNSPECIFIED.);
#262=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69295,#69296,#69297,#69298,#69299),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0172716303008599,0.0369007026647661),
.UNSPECIFIED.);
#263=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69301,#69302,#69303,#69304,#69305),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0167850730153648,0.032514246497082),
.UNSPECIFIED.);
#264=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69307,#69308,#69309,#69310,#69311),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0157346527353498,0.0322568950915405),
.UNSPECIFIED.);
#265=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69313,#69314,#69315,#69316,#69317),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0265464228736257,0.0709333461933398),
.UNSPECIFIED.);
#266=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69321,#69322,#69323,#69324,#69325),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0401605588573424,0.0791725461001228),
.UNSPECIFIED.);
#267=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69327,#69328,#69329,#69330,#69331),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0417750975324662,0.0894742151378607),
.UNSPECIFIED.);
#268=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69333,#69334,#69335,#69336,#69337),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0618580288499541,0.121604284460936),
.UNSPECIFIED.);
#269=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69339,#69340,#69341,#69342,#69343),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0561591290437903,0.107126408953737),
.UNSPECIFIED.);
#270=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69345,#69346,#69347,#69348,#69349),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0587375491913023,0.133959475092431),
.UNSPECIFIED.);
#271=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69353,#69354,#69355,#69356,#69357),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0868860578625933,0.148528404739754),
.UNSPECIFIED.);
#272=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69359,#69360,#69361,#69362,#69363),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0488476970488322,0.0995991302645452),
.UNSPECIFIED.);
#273=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69365,#69366,#69367,#69368,#69369),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0537368362365732,0.112158222637274),
.UNSPECIFIED.);
#274=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69371,#69372,#69373,#69374,#69375),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0647837579267621,0.137007132527628),
.UNSPECIFIED.);
#275=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69377,#69378,#69379,#69380,#69381),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0871149975612332,0.159450710870086),
.UNSPECIFIED.);
#276=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69383,#69384,#69385,#69386,#69387),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0628301202198022,0.122134432004511),
.UNSPECIFIED.);
#277=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69389,#69390,#69391,#69392,#69393),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0571296006437919,0.111812980402742),
.UNSPECIFIED.);
#278=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69395,#69396,#69397,#69398,#69399),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.08023562621019,0.211639527179272),
.UNSPECIFIED.);
#279=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69403,#69404,#69405,#69406,#69407),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.141289654193129,0.226552216197723),
.UNSPECIFIED.);
#280=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69409,#69410,#69411,#69412,#69413),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0570166021484169,0.116553890525209),
.UNSPECIFIED.);
#281=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69415,#69416,#69417,#69418,#69419),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0614453476332448,0.125264893143334),
.UNSPECIFIED.);
#282=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69421,#69422,#69423,#69424,#69425),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0692672067277004,0.145632171479461),
.UNSPECIFIED.);
#283=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69426,#69427,#69428,#69429,#69430),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0910310586883331,0.167741041872845),
.UNSPECIFIED.);
#284=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69562,#69563,#69564,#69565,#69566),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.019123073854114,0.0531902193506268),
.UNSPECIFIED.);
#285=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69579,#69580,#69581,#69582,#69583),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0138010605673658,0.026022210858913),
.UNSPECIFIED.);
#286=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69596,#69597,#69598,#69599,#69600),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0152919950234764,0.0298124441375406),
.UNSPECIFIED.);
#287=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69613,#69614,#69615,#69616,#69617),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0207170755325087,0.0433582980459015),
.UNSPECIFIED.);
#288=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69630,#69631,#69632,#69633,#69634),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0449105664264785,0.0739680567025847),
.UNSPECIFIED.);
#289=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69651,#69652,#69653,#69654,#69655),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0344369937825168,0.111934991966518),
.UNSPECIFIED.);
#290=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69668,#69669,#69670,#69671,#69672),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0142701021110524,0.0271690427312071),
.UNSPECIFIED.);
#291=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69685,#69686,#69687,#69688,#69689),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0161354245494495,0.0311878423618914),
.UNSPECIFIED.);
#292=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69702,#69703,#69704,#69705,#69706),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.032362164582224,0.064048865083904),
.UNSPECIFIED.);
#293=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69719,#69720,#69721,#69722,#69723),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0786785989643416,0.130904748056571),
.UNSPECIFIED.);
#294=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69748,#69749,#69750,#69751,#69752),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0835089422680033,0.198878408460455),
.UNSPECIFIED.);
#295=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69765,#69766,#69767,#69768,#69769),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0716668964718817,0.139687204521543),
.UNSPECIFIED.);
#296=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69782,#69783,#69784,#69785,#69786),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0863561642935903,0.165226950731871),
.UNSPECIFIED.);
#297=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69799,#69800,#69801,#69802,#69803),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0647856086806658,0.14019511137217),
.UNSPECIFIED.);
#298=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69816,#69817,#69818,#69819,#69820),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0518700078373874,0.108541877491243),
.UNSPECIFIED.);
#299=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69833,#69834,#69835,#69836,#69837),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0478742411088405,0.097258800620953),
.UNSPECIFIED.);
#300=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69850,#69851,#69852,#69853,#69854),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0874113886764419,0.148415155534007),
.UNSPECIFIED.);
#301=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69871,#69872,#69873,#69874,#69875),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0884327377027478,0.219622742539439),
.UNSPECIFIED.);
#302=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69888,#69889,#69890,#69891,#69892),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0869979495495367,0.161407439018397),
.UNSPECIFIED.);
#303=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69905,#69906,#69907,#69908,#69909),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0796677132936404,0.160136186269012),
.UNSPECIFIED.);
#304=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69938,#69939,#69940,#69941,#69942),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0687948176607973,0.118034813903277),
.UNSPECIFIED.);
#305=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69956,#69957,#69958,#69959,#69960),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0910888507622873,0.196978856575779),
.UNSPECIFIED.);
#306=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69973,#69974,#69975,#69976,#69977),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.14304942633757,0.243726496416143),
.UNSPECIFIED.);
#307=B_SPLINE_CURVE_WITH_KNOTS('',3,(#69990,#69991,#69992,#69993,#69994),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0880347151845309,0.205683039009056),
.UNSPECIFIED.);
#308=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70007,#70008,#70009,#70010,#70011),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.114945184138689,0.198861186533652),
.UNSPECIFIED.);
#309=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70036,#70037,#70038,#70039,#70040),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0270200734641609,0.0721212072545146),
.UNSPECIFIED.);
#310=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70053,#70054,#70055,#70056,#70057),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0211438008865142,0.0391694199917829),
.UNSPECIFIED.);
#311=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70070,#70071,#70072,#70073,#70074),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0176823459436259,0.0369166354467649),
.UNSPECIFIED.);
#312=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70087,#70088,#70089,#70090,#70091),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0413880756619558,0.066891605453326),
.UNSPECIFIED.);
#313=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70108,#70109,#70110,#70111,#70112),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0286019305591269,0.0687987841554785),
.UNSPECIFIED.);
#314=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70125,#70126,#70127,#70128,#70129),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0199719428778005,0.0412626224204807),
.UNSPECIFIED.);
#315=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70142,#70143,#70144,#70145,#70146),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0682807285265919,0.104638657514981),
.UNSPECIFIED.);
#316=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70163,#70164,#70165,#70166,#70167),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0358474519545187,0.0937792467189341),
.UNSPECIFIED.);
#317=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70180,#70181,#70182,#70183,#70184),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0258420436056799,0.0502255498792584),
.UNSPECIFIED.);
#318=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70197,#70198,#70199,#70200,#70201),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0472681599828347,0.0810717819494199),
.UNSPECIFIED.);
#319=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70218,#70219,#70220,#70221,#70222),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0386521722713636,0.110755959978976),
.UNSPECIFIED.);
#320=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70235,#70236,#70237,#70238,#70239),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0234521724550937,0.0442343329387301),
.UNSPECIFIED.);
#321=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70252,#70253,#70254,#70255,#70256),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0172021539389424,0.0383459020723509),
.UNSPECIFIED.);
#322=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70269,#70270,#70271,#70272,#70273),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0503507117495735,0.0787914655333114),
.UNSPECIFIED.);
#323=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70298,#70299,#70300,#70301,#70302),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0764452448282386,0.191765969731835),
.UNSPECIFIED.);
#324=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70315,#70316,#70317,#70318,#70319),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0677857410127955,0.127178611475345),
.UNSPECIFIED.);
#325=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70332,#70333,#70334,#70335,#70336),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.100858577451277,0.182201882558596),
.UNSPECIFIED.);
#326=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70349,#70350,#70351,#70352,#70353),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0762285772188076,0.166682963140916),
.UNSPECIFIED.);
#327=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70366,#70367,#70368,#70369,#70370),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0652425558056336,0.132375598174033),
.UNSPECIFIED.);
#328=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70383,#70384,#70385,#70386,#70387),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.100670820945854,0.178230665408165),
.UNSPECIFIED.);
#329=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70400,#70401,#70402,#70403,#70404),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0718789788329583,0.196705653743056),
.UNSPECIFIED.);
#330=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70417,#70418,#70419,#70420,#70421),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.052775325784854,0.101446894984925),
.UNSPECIFIED.);
#331=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70432,#70433,#70434,#70435,#70436),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0451826666248739,0.100790905339156),
.UNSPECIFIED.);
#332=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70451,#70452,#70453,#70454,#70455),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.161230989659621,0.306658044057704),
.UNSPECIFIED.);
#333=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70477,#70478,#70479,#70480,#70481),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0392524794291852,0.106768993197407),
.UNSPECIFIED.);
#334=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70494,#70495,#70496,#70497,#70498),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0219132710475977,0.0456882145767174),
.UNSPECIFIED.);
#335=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70511,#70512,#70513,#70514,#70515),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0881934359919835,0.132608154922212),
.UNSPECIFIED.);
#336=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70544,#70545,#70546,#70547,#70548),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.162981141647711,0.454335136636966),
.UNSPECIFIED.);
#337=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70562,#70563,#70564,#70565,#70566),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0844054721189743,0.178006327769187),
.UNSPECIFIED.);
#338=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70579,#70580,#70581,#70582,#70583),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0961111995789757,0.195693049571571),
.UNSPECIFIED.);
#339=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70596,#70597,#70598,#70599,#70600),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.125228510318409,0.22906257422231),
.UNSPECIFIED.);
#340=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70613,#70614,#70615,#70616,#70617),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0592235663359665,0.126410238792285),
.UNSPECIFIED.);
#341=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70630,#70631,#70632,#70633,#70634),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0538811559730379,0.108496460934786),
.UNSPECIFIED.);
#342=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70647,#70648,#70649,#70650,#70651),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0477910534603335,0.0997843708384615),
.UNSPECIFIED.);
#343=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70664,#70665,#70666,#70667,#70668),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0712941558901209,0.126059780399829),
.UNSPECIFIED.);
#344=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70693,#70694,#70695,#70696,#70697),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0330139825980997,0.085412940871242),
.UNSPECIFIED.);
#345=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70710,#70711,#70712,#70713,#70714),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0241261482176804,0.0470927604563452),
.UNSPECIFIED.);
#346=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70727,#70728,#70729,#70730,#70731),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0219355076177053,0.0459548269821689),
.UNSPECIFIED.);
#347=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70744,#70745,#70746,#70747,#70748),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0339255849382535,0.0598418344083601),
.UNSPECIFIED.);
#348=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70761,#70762,#70763,#70764,#70765),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0864896142947253,0.147747823621262),
.UNSPECIFIED.);
#349=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70778,#70779,#70780,#70781,#70782),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.357635341422783,0.543323281923795),
.UNSPECIFIED.);
#350=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70873,#70874,#70875,#70876,#70877),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0302298886529407,0.0833425709002366),
.UNSPECIFIED.);
#351=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70881,#70882,#70883,#70884,#70885),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0302298886529407,0.0833425709002366),
.UNSPECIFIED.);
#352=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70898,#70899,#70900,#70901,#70902),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.015970278281753,0.0355971455911934),
.UNSPECIFIED.);
#353=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70905,#70906,#70907,#70908,#70909),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.015970278281753,0.0355971455911934),
.UNSPECIFIED.);
#354=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70921,#70922,#70923,#70924,#70925),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0204571342144081,0.0425977173814658),
.UNSPECIFIED.);
#355=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70928,#70929,#70930,#70931,#70932),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0204571342144081,0.0425977173814658),
.UNSPECIFIED.);
#356=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70944,#70945,#70946,#70947,#70948),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0519262074736729,0.083177997843481),
.UNSPECIFIED.);
#357=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70951,#70952,#70953,#70954,#70955),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0519262074736729,0.083177997843481),
.UNSPECIFIED.);
#358=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70973,#70974,#70975,#70976,#70977),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0277943830212889,0.0714669980645305),
.UNSPECIFIED.);
#359=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70980,#70981,#70982,#70983,#70984),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0277943830212889,0.0714669980645305),
.UNSPECIFIED.);
#360=B_SPLINE_CURVE_WITH_KNOTS('',3,(#70996,#70997,#70998,#70999,#71000),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0217766869464173,0.0416859751231133),
.UNSPECIFIED.);
#361=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71003,#71004,#71005,#71006,#71007),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0217766869464173,0.0416859751231133),
.UNSPECIFIED.);
#362=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71019,#71020,#71021,#71022,#71023),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0203059011524804,0.0424865790111243),
.UNSPECIFIED.);
#363=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71026,#71027,#71028,#71029,#71030),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0203059011524804,0.0424865790111243),
.UNSPECIFIED.);
#364=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71042,#71043,#71044,#71045,#71046),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0425714859262652,0.0705085983653192),
.UNSPECIFIED.);
#365=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71049,#71050,#71051,#71052,#71053),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0425714859262652,0.0705085983653192),
.UNSPECIFIED.);
#366=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71070,#71071,#71072,#71073,#71074),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0671437434795274,0.130746476410283),
.UNSPECIFIED.);
#367=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71088,#71089,#71090,#71091,#71092),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0910310586883331,0.167741041872845),
.UNSPECIFIED.);
#368=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71105,#71106,#71107,#71108,#71109),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0692672067277004,0.145632171479461),
.UNSPECIFIED.);
#369=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71122,#71123,#71124,#71125,#71126),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0614453476332448,0.125264893143334),
.UNSPECIFIED.);
#370=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71139,#71140,#71141,#71142,#71143),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0570166021484169,0.116553890525209),
.UNSPECIFIED.);
#371=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71156,#71157,#71158,#71159,#71160),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.141289654193129,0.226552216197723),
.UNSPECIFIED.);
#372=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71177,#71178,#71179,#71180,#71181),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.08023562621019,0.211639527179272),
.UNSPECIFIED.);
#373=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71194,#71195,#71196,#71197,#71198),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0571296006437919,0.111812980402742),
.UNSPECIFIED.);
#374=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71211,#71212,#71213,#71214,#71215),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0628301202198022,0.122134432004511),
.UNSPECIFIED.);
#375=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71228,#71229,#71230,#71231,#71232),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0871149975612332,0.159450710870086),
.UNSPECIFIED.);
#376=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71245,#71246,#71247,#71248,#71249),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0647837579267621,0.137007132527628),
.UNSPECIFIED.);
#377=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71262,#71263,#71264,#71265,#71266),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0537368362365732,0.112158222637274),
.UNSPECIFIED.);
#378=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71279,#71280,#71281,#71282,#71283),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0488476970488322,0.0995991302645452),
.UNSPECIFIED.);
#379=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71296,#71297,#71298,#71299,#71300),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0868860578625933,0.148528404739754),
.UNSPECIFIED.);
#380=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71317,#71318,#71319,#71320,#71321),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0587375491913023,0.133959475092431),
.UNSPECIFIED.);
#381=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71334,#71335,#71336,#71337,#71338),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0561591290437903,0.107126408953737),
.UNSPECIFIED.);
#382=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71351,#71352,#71353,#71354,#71355),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0618580288499541,0.121604284460936),
.UNSPECIFIED.);
#383=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71368,#71369,#71370,#71371,#71372),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0417750975324662,0.0894742151378607),
.UNSPECIFIED.);
#384=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71385,#71386,#71387,#71388,#71389),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0401605588573424,0.0791725461001228),
.UNSPECIFIED.);
#385=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71406,#71407,#71408,#71409,#71410),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0265464228736257,0.0709333461933398),
.UNSPECIFIED.);
#386=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71423,#71424,#71425,#71426,#71427),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0157346527353498,0.0322568950915405),
.UNSPECIFIED.);
#387=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71440,#71441,#71442,#71443,#71444),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0167850730153648,0.032514246497082),
.UNSPECIFIED.);
#388=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71457,#71458,#71459,#71460,#71461),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0172716303008599,0.0369007026647661),
.UNSPECIFIED.);
#389=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71474,#71475,#71476,#71477,#71478),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.016451440566197,0.0328283835552141),
.UNSPECIFIED.);
#390=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71491,#71492,#71493,#71494,#71495),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0539807582497176,0.0835178344938081),
.UNSPECIFIED.);
#391=B_SPLINE_CURVE_WITH_KNOTS('',3,(#71510,#71511,#71512,#71513,#71514),
.UNSPECIFIED.,.F.,.F.,(4,1,4),(0.,0.0693576896573722,0.151093470016311),
.UNSPECIFIED.);
#392=(
BOUNDED_SURFACE()
B_SPLINE_SURFACE(2,11,((#58395,#58396,#58397,#58398,#58399,#58400,#58401,
#58402,#58403,#58404,#58405,#58406,#58407,#58408,#58409,#58410,#58411,#58412,
#58413,#58414,#58415,#58416,#58417,#58418,#58419,#58420,#58421,#58422,#58423,
#58424,#58425,#58426),(#58427,#58428,#58429,#58430,#58431,#58432,#58433,
#58434,#58435,#58436,#58437,#58438,#58439,#58440,#58441,#58442,#58443,#58444,
#58445,#58446,#58447,#58448,#58449,#58450,#58451,#58452,#58453,#58454,#58455,
#58456,#58457,#58458),(#58459,#58460,#58461,#58462,#58463,#58464,#58465,
#58466,#58467,#58468,#58469,#58470,#58471,#58472,#58473,#58474,#58475,#58476,
#58477,#58478,#58479,#58480,#58481,#58482,#58483,#58484,#58485,#58486,#58487,
#58488,#58489,#58490)),.UNSPECIFIED.,.F.,.F.,.F.)
B_SPLINE_SURFACE_WITH_KNOTS((3,3),(12,10,10,12),(0.,13.744467859455),(-0.585615641583,
2.874910026413E-15,13.744467859455,14.330083501039),.UNSPECIFIED.)
GEOMETRIC_REPRESENTATION_ITEM()
RATIONAL_B_SPLINE_SURFACE(((1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,
1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.),(0.93061798229,0.93061798229,
0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.93061798229,
0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.930617902914,
0.930618145704,0.930617804307,0.93061805734,0.930618057346,0.930617804303,
0.930618145707,0.930617902913,0.93061798229,0.93061798229,0.93061798229,
0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.93061798229,
0.93061798229,0.93061798229,0.93061798229),(1.,1.,1.,1.,1.,1.,1.,1.,1.,
1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.)))
REPRESENTATION_ITEM('')
SURFACE()
);
#393=(
BOUNDED_SURFACE()
B_SPLINE_SURFACE(2,5,((#58507,#58508,#58509,#58510,#58511,#58512,#58513,
#58514,#58515,#58516,#58517,#58518,#58519,#58520),(#58521,#58522,#58523,
#58524,#58525,#58526,#58527,#58528,#58529,#58530,#58531,#58532,#58533,#58534),
(#58535,#58536,#58537,#58538,#58539,#58540,#58541,#58542,#58543,#58544,
#58545,#58546,#58547,#58548)),.UNSPECIFIED.,.F.,.F.,.F.)
B_SPLINE_SURFACE_WITH_KNOTS((3,3),(6,4,4,6),(0.,1.963495679168),(-0.119413470026,
-1.353371911114E-7,1.96349554383,2.08290869425),.UNSPECIFIED.)
GEOMETRIC_REPRESENTATION_ITEM()
RATIONAL_B_SPLINE_SURFACE(((1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.),
(0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.93061798229,
0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.93061798229,
0.93061798229,0.93061798229,0.93061798229),(1.,1.,1.,1.,1.,1.,1.,1.,1.,
1.,1.,1.,1.,1.)))
REPRESENTATION_ITEM('')
SURFACE()
);
#394=(
BOUNDED_SURFACE()
B_SPLINE_SURFACE(2,11,((#58641,#58642,#58643,#58644,#58645,#58646,#58647,
#58648,#58649,#58650,#58651,#58652,#58653,#58654,#58655,#58656,#58657,#58658,
#58659,#58660,#58661,#58662,#58663,#58664,#58665,#58666,#58667,#58668,#58669,
#58670,#58671,#58672),(#58673,#58674,#58675,#58676,#58677,#58678,#58679,
#58680,#58681,#58682,#58683,#58684,#58685,#58686,#58687,#58688,#58689,#58690,
#58691,#58692,#58693,#58694,#58695,#58696,#58697,#58698,#58699,#58700,#58701,
#58702,#58703,#58704),(#58705,#58706,#58707,#58708,#58709,#58710,#58711,
#58712,#58713,#58714,#58715,#58716,#58717,#58718,#58719,#58720,#58721,#58722,
#58723,#58724,#58725,#58726,#58727,#58728,#58729,#58730,#58731,#58732,#58733,
#58734,#58735,#58736)),.UNSPECIFIED.,.F.,.F.,.F.)
B_SPLINE_SURFACE_WITH_KNOTS((3,3),(12,10,10,12),(0.,13.744467859455),(-0.585615641583,
2.901255980386E-15,13.744467859455,14.330083501039),.UNSPECIFIED.)
GEOMETRIC_REPRESENTATION_ITEM()
RATIONAL_B_SPLINE_SURFACE(((1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,
1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.),(0.93061798229,0.93061798229,
0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.93061798229,
0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.930617902914,
0.930618145705,0.930617804307,0.93061805734,0.930618057345,0.930617804303,
0.930618145707,0.930617902913,0.93061798229,0.93061798229,0.93061798229,
0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.93061798229,
0.93061798229,0.93061798229,0.93061798229),(1.,1.,1.,1.,1.,1.,1.,1.,1.,
1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.)))
REPRESENTATION_ITEM('')
SURFACE()
);
#395=(
BOUNDED_SURFACE()
B_SPLINE_SURFACE(2,5,((#58742,#58743,#58744,#58745,#58746,#58747,#58748,
#58749,#58750,#58751,#58752,#58753,#58754,#58755),(#58756,#58757,#58758,
#58759,#58760,#58761,#58762,#58763,#58764,#58765,#58766,#58767,#58768,#58769),
(#58770,#58771,#58772,#58773,#58774,#58775,#58776,#58777,#58778,#58779,
#58780,#58781,#58782,#58783)),.UNSPECIFIED.,.F.,.F.,.F.)
B_SPLINE_SURFACE_WITH_KNOTS((3,3),(6,4,4,6),(0.,1.963495679168),(-0.119413470026,
-1.353371911203E-7,1.96349554383,2.08290869425),.UNSPECIFIED.)
GEOMETRIC_REPRESENTATION_ITEM()
RATIONAL_B_SPLINE_SURFACE(((1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.),
(0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.93061798229,
0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.93061798229,
0.93061798229,0.93061798229,0.93061798229),(1.,1.,1.,1.,1.,1.,1.,1.,1.,
1.,1.,1.,1.,1.)))
REPRESENTATION_ITEM('')
SURFACE()
);
#396=(
BOUNDED_SURFACE()
B_SPLINE_SURFACE(2,5,((#58789,#58790,#58791,#58792,#58793,#58794,#58795,
#58796,#58797,#58798,#58799,#58800,#58801,#58802),(#58803,#58804,#58805,
#58806,#58807,#58808,#58809,#58810,#58811,#58812,#58813,#58814,#58815,#58816),
(#58817,#58818,#58819,#58820,#58821,#58822,#58823,#58824,#58825,#58826,
#58827,#58828,#58829,#58830)),.UNSPECIFIED.,.F.,.F.,.F.)
B_SPLINE_SURFACE_WITH_KNOTS((3,3),(6,4,4,6),(0.,1.963495679168),(-0.119413470026,
-1.353371911203E-7,1.96349554383,2.08290869425),.UNSPECIFIED.)
GEOMETRIC_REPRESENTATION_ITEM()
RATIONAL_B_SPLINE_SURFACE(((1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.),
(0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.93061798229,
0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.93061798229,
0.93061798229,0.93061798229,0.93061798229),(1.,1.,1.,1.,1.,1.,1.,1.,1.,
1.,1.,1.,1.,1.)))
REPRESENTATION_ITEM('')
SURFACE()
);
#397=(
BOUNDED_SURFACE()
B_SPLINE_SURFACE(2,5,((#58840,#58841,#58842,#58843,#58844,#58845,#58846,
#58847,#58848,#58849,#58850,#58851,#58852,#58853),(#58854,#58855,#58856,
#58857,#58858,#58859,#58860,#58861,#58862,#58863,#58864,#58865,#58866,#58867),
(#58868,#58869,#58870,#58871,#58872,#58873,#58874,#58875,#58876,#58877,
#58878,#58879,#58880,#58881)),.UNSPECIFIED.,.F.,.F.,.F.)
B_SPLINE_SURFACE_WITH_KNOTS((3,3),(6,4,4,6),(0.,1.963495679168),(-0.119413470026,
-1.353371911203E-7,1.96349554383,2.08290869425),.UNSPECIFIED.)
GEOMETRIC_REPRESENTATION_ITEM()
RATIONAL_B_SPLINE_SURFACE(((1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.,1.),
(0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.93061798229,
0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.93061798229,0.93061798229,
0.93061798229,0.93061798229,0.93061798229),(1.,1.,1.,1.,1.,1.,1.,1.,1.,
1.,1.,1.,1.,1.)))
REPRESENTATION_ITEM('')
SURFACE()
);
#398=TOROIDAL_SURFACE('',#42796,2.30000000000015,0.2);
#399=TOROIDAL_SURFACE('',#42800,2.30000000000015,0.2);
#400=TOROIDAL_SURFACE('',#42809,2.77500000000012,0.485412195974002);
#401=TOROIDAL_SURFACE('',#42812,2.77500000000012,0.485412195974002);
#402=TOROIDAL_SURFACE('',#42822,2.77500000000012,0.485412195974002);
#403=TOROIDAL_SURFACE('',#42831,2.3,0.2);
#404=TOROIDAL_SURFACE('',#42836,2.3,0.2);
#405=TOROIDAL_SURFACE('',#44251,1.65,0.1);
#406=ORIENTED_CLOSED_SHELL('',*,#42445,.F.);
#407=BREP_WITH_VOIDS('K\X\F6rper1',#42446,(#406));
#408=CIRCLE('',#42688,0.5);
#409=CIRCLE('',#42689,0.5);
#410=CIRCLE('',#42692,0.5);
#411=CIRCLE('',#42693,0.5);
#412=CIRCLE('',#42696,0.5);
#413=CIRCLE('',#42697,0.5);
#414=CIRCLE('',#42700,0.5);
#415=CIRCLE('',#42701,0.5);
#416=CIRCLE('',#42704,0.5);
#417=CIRCLE('',#42705,0.5);
#418=CIRCLE('',#42708,1.75);
#419=CIRCLE('',#42709,1.75);
#420=CIRCLE('',#42711,3.);
#421=CIRCLE('',#42713,3.);
#422=CIRCLE('',#42715,3.);
#423=CIRCLE('',#42716,3.);
#424=CIRCLE('',#42717,3.);
#425=CIRCLE('',#42718,3.);
#426=CIRCLE('',#42765,1.75);
#427=CIRCLE('',#42767,1.75);
#428=CIRCLE('',#42768,1.75);
#429=CIRCLE('',#42769,1.75);
#430=CIRCLE('',#42770,1.75);
#431=CIRCLE('',#42774,0.25);
#432=CIRCLE('',#42775,0.25);
#433=CIRCLE('',#42777,0.25);
#434=CIRCLE('',#42778,0.25);
#435=CIRCLE('',#42780,1.75);
#436=CIRCLE('',#42781,1.75);
#437=CIRCLE('',#42782,0.25);
#438=CIRCLE('',#42783,0.25);
#439=CIRCLE('',#42785,1.75);
#440=CIRCLE('',#42787,1.75);
#441=CIRCLE('',#42789,0.25);
#442=CIRCLE('',#42790,0.25);
#443=CIRCLE('',#42792,2.3);
#444=CIRCLE('',#42794,2.3);
#445=CIRCLE('',#42797,0.2);
#446=CIRCLE('',#42798,2.5);
#447=CIRCLE('',#42799,0.2);
#448=CIRCLE('',#42801,2.5);
#449=CIRCLE('',#42803,2.5);
#450=CIRCLE('',#42805,2.5);
#451=CIRCLE('',#42806,0.299999999999641);
#452=CIRCLE('',#42807,0.299999999999375);
#453=CIRCLE('',#42808,2.41964394751402);
#454=CIRCLE('',#42810,0.485412195974);
#455=CIRCLE('',#42811,0.485412195974);
#456=CIRCLE('',#42813,2.4194887063248);
#457=CIRCLE('',#42814,0.485072850967989);
#458=CIRCLE('',#42815,0.485072851224357);
#459=CIRCLE('',#42816,2.41948662560688);
#460=CIRCLE('',#42817,0.299999999999697);
#461=CIRCLE('',#42818,2.5);
#462=CIRCLE('',#42819,0.299999999998399);
#463=CIRCLE('',#42820,2.5);
#464=CIRCLE('',#42823,2.4198006531764);
#465=CIRCLE('',#42825,2.50000000000001);
#466=CIRCLE('',#42827,2.5);
#467=CIRCLE('',#42828,2.50000000000012);
#468=CIRCLE('',#42830,2.5);
#469=CIRCLE('',#42832,0.2);
#470=CIRCLE('',#42833,2.3);
#471=CIRCLE('',#42834,0.2);
#472=CIRCLE('',#42837,2.3);
#473=CIRCLE('',#42839,1.75);
#474=CIRCLE('',#42841,1.75);
#475=CIRCLE('',#42843,1.75);
#476=CIRCLE('',#42844,1.75);
#477=CIRCLE('',#42845,1.75);
#478=CIRCLE('',#42846,1.75);
#479=CIRCLE('',#42847,1.75);
#480=CIRCLE('',#42848,1.75);
#481=CIRCLE('',#42849,1.75);
#482=CIRCLE('',#42851,1.75);
#483=CIRCLE('',#42852,1.75);
#484=CIRCLE('',#42853,1.75);
#485=CIRCLE('',#42872,0.55);
#486=CIRCLE('',#42873,0.55);
#487=CIRCLE('',#42875,0.55);
#488=CIRCLE('',#42876,0.55);
#489=CIRCLE('',#42878,0.55);
#490=CIRCLE('',#42879,0.55);
#491=CIRCLE('',#42880,0.55);
#492=CIRCLE('',#42881,0.25);
#493=CIRCLE('',#42882,0.25);
#494=CIRCLE('',#42883,0.25);
#495=CIRCLE('',#42884,0.25);
#496=CIRCLE('',#42886,0.55);
#497=CIRCLE('',#42888,0.55);
#498=CIRCLE('',#42892,0.55);
#499=CIRCLE('',#42895,0.55);
#500=CIRCLE('',#42897,0.55);
#501=CIRCLE('',#42900,0.25);
#502=CIRCLE('',#42901,0.25);
#503=CIRCLE('',#42903,0.25);
#504=CIRCLE('',#42904,0.25);
#505=CIRCLE('',#42906,0.55);
#506=CIRCLE('',#42908,0.55);
#507=CIRCLE('',#42909,0.55);
#508=CIRCLE('',#42911,0.55);
#509=CIRCLE('',#42920,0.1);
#510=CIRCLE('',#42921,0.1);
#511=CIRCLE('',#42925,0.2);
#512=CIRCLE('',#42926,0.1);
#513=CIRCLE('',#42927,0.2);
#514=CIRCLE('',#42929,0.2);
#515=CIRCLE('',#42930,0.1);
#516=CIRCLE('',#42931,0.2);
#517=CIRCLE('',#42973,0.2);
#518=CIRCLE('',#42974,0.1);
#519=CIRCLE('',#42975,0.2);
#520=CIRCLE('',#42976,0.1);
#521=CIRCLE('',#42984,0.1);
#522=CIRCLE('',#42985,0.2);
#523=CIRCLE('',#42986,0.1);
#524=CIRCLE('',#42987,0.2);
#525=CIRCLE('',#42990,0.2);
#526=CIRCLE('',#42991,0.1);
#527=CIRCLE('',#42992,0.2);
#528=CIRCLE('',#42993,0.1);
#529=CIRCLE('',#42996,0.1);
#530=CIRCLE('',#42997,0.2);
#531=CIRCLE('',#42998,0.1);
#532=CIRCLE('',#42999,0.2);
#533=CIRCLE('',#43002,0.1);
#534=CIRCLE('',#43004,0.2);
#535=CIRCLE('',#43005,0.1);
#536=CIRCLE('',#43006,0.2);
#537=CIRCLE('',#43008,0.2);
#538=CIRCLE('',#43009,0.1);
#539=CIRCLE('',#43010,0.2);
#540=CIRCLE('',#43011,0.1);
#541=CIRCLE('',#43015,0.2);
#542=CIRCLE('',#43016,0.1);
#543=CIRCLE('',#43017,0.2);
#544=CIRCLE('',#43018,0.1);
#545=CIRCLE('',#43020,0.1);
#546=CIRCLE('',#43021,0.2);
#547=CIRCLE('',#43022,0.1);
#548=CIRCLE('',#43023,0.2);
#549=CIRCLE('',#43026,0.1);
#550=CIRCLE('',#43028,0.2);
#551=CIRCLE('',#43029,0.1);
#552=CIRCLE('',#43030,0.2);
#553=CIRCLE('',#43032,0.2);
#554=CIRCLE('',#43033,0.1);
#555=CIRCLE('',#43034,0.2);
#556=CIRCLE('',#43035,0.1);
#557=CIRCLE('',#43039,0.2);
#558=CIRCLE('',#43040,0.1);
#559=CIRCLE('',#43041,0.2);
#560=CIRCLE('',#43042,0.1);
#561=CIRCLE('',#43044,0.1);
#562=CIRCLE('',#43045,0.2);
#563=CIRCLE('',#43046,0.1);
#564=CIRCLE('',#43047,0.2);
#565=CIRCLE('',#43050,0.1);
#566=CIRCLE('',#43052,0.2);
#567=CIRCLE('',#43053,0.1);
#568=CIRCLE('',#43054,0.2);
#569=CIRCLE('',#43056,0.2);
#570=CIRCLE('',#43057,0.1);
#571=CIRCLE('',#43058,0.2);
#572=CIRCLE('',#43059,0.1);
#573=CIRCLE('',#43063,0.2);
#574=CIRCLE('',#43064,0.1);
#575=CIRCLE('',#43065,0.2);
#576=CIRCLE('',#43066,0.1);
#577=CIRCLE('',#43068,0.1);
#578=CIRCLE('',#43069,0.2);
#579=CIRCLE('',#43070,0.1);
#580=CIRCLE('',#43071,0.2);
#581=CIRCLE('',#43074,0.1);
#582=CIRCLE('',#43076,0.2);
#583=CIRCLE('',#43077,0.1);
#584=CIRCLE('',#43078,0.2);
#585=CIRCLE('',#43080,0.2);
#586=CIRCLE('',#43081,0.1);
#587=CIRCLE('',#43082,0.2);
#588=CIRCLE('',#43083,0.1);
#589=CIRCLE('',#43087,0.2);
#590=CIRCLE('',#43088,0.1);
#591=CIRCLE('',#43089,0.2);
#592=CIRCLE('',#43090,0.1);
#593=CIRCLE('',#43092,0.1);
#594=CIRCLE('',#43093,0.2);
#595=CIRCLE('',#43094,0.1);
#596=CIRCLE('',#43095,0.2);
#597=CIRCLE('',#43098,0.1);
#598=CIRCLE('',#43100,0.2);
#599=CIRCLE('',#43101,0.1);
#600=CIRCLE('',#43102,0.2);
#601=CIRCLE('',#43104,0.2);
#602=CIRCLE('',#43105,0.1);
#603=CIRCLE('',#43106,0.2);
#604=CIRCLE('',#43107,0.1);
#605=CIRCLE('',#43295,0.1);
#606=CIRCLE('',#43296,0.2);
#607=CIRCLE('',#43297,0.1);
#608=CIRCLE('',#43298,0.2);
#609=CIRCLE('',#43305,0.25);
#610=CIRCLE('',#43306,0.25);
#611=CIRCLE('',#43310,0.2);
#612=CIRCLE('',#43311,0.1);
#613=CIRCLE('',#43312,0.2);
#614=CIRCLE('',#43313,0.1);
#615=CIRCLE('',#43315,0.1);
#616=CIRCLE('',#43316,0.2);
#617=CIRCLE('',#43317,0.1);
#618=CIRCLE('',#43318,0.2);
#619=CIRCLE('',#43321,0.1);
#620=CIRCLE('',#43323,0.2);
#621=CIRCLE('',#43324,0.1);
#622=CIRCLE('',#43325,0.2);
#623=CIRCLE('',#43327,0.2);
#624=CIRCLE('',#43328,0.1);
#625=CIRCLE('',#43329,0.2);
#626=CIRCLE('',#43330,0.1);
#627=CIRCLE('',#43334,0.2);
#628=CIRCLE('',#43335,0.1);
#629=CIRCLE('',#43336,0.2);
#630=CIRCLE('',#43337,0.1);
#631=CIRCLE('',#43339,0.1);
#632=CIRCLE('',#43340,0.2);
#633=CIRCLE('',#43341,0.1);
#634=CIRCLE('',#43342,0.2);
#635=CIRCLE('',#43345,0.1);
#636=CIRCLE('',#43347,0.2);
#637=CIRCLE('',#43348,0.1);
#638=CIRCLE('',#43349,0.2);
#639=CIRCLE('',#43351,0.2);
#640=CIRCLE('',#43352,0.1);
#641=CIRCLE('',#43353,0.2);
#642=CIRCLE('',#43354,0.1);
#643=CIRCLE('',#43358,0.2);
#644=CIRCLE('',#43359,0.1);
#645=CIRCLE('',#43360,0.2);
#646=CIRCLE('',#43361,0.1);
#647=CIRCLE('',#43363,0.1);
#648=CIRCLE('',#43364,0.2);
#649=CIRCLE('',#43365,0.1);
#650=CIRCLE('',#43366,0.2);
#651=CIRCLE('',#43369,0.1);
#652=CIRCLE('',#43371,0.2);
#653=CIRCLE('',#43372,0.1);
#654=CIRCLE('',#43373,0.2);
#655=CIRCLE('',#43375,0.2);
#656=CIRCLE('',#43376,0.1);
#657=CIRCLE('',#43377,0.2);
#658=CIRCLE('',#43378,0.1);
#659=CIRCLE('',#43382,0.2);
#660=CIRCLE('',#43383,0.1);
#661=CIRCLE('',#43384,0.2);
#662=CIRCLE('',#43385,0.1);
#663=CIRCLE('',#43387,0.1);
#664=CIRCLE('',#43388,0.2);
#665=CIRCLE('',#43389,0.1);
#666=CIRCLE('',#43390,0.2);
#667=CIRCLE('',#43393,0.1);
#668=CIRCLE('',#43395,0.2);
#669=CIRCLE('',#43396,0.1);
#670=CIRCLE('',#43397,0.2);
#671=CIRCLE('',#43399,0.2);
#672=CIRCLE('',#43400,0.1);
#673=CIRCLE('',#43401,0.2);
#674=CIRCLE('',#43402,0.1);
#675=CIRCLE('',#43406,0.2);
#676=CIRCLE('',#43407,0.1);
#677=CIRCLE('',#43408,0.2);
#678=CIRCLE('',#43409,0.1);
#679=CIRCLE('',#43411,0.1);
#680=CIRCLE('',#43412,0.2);
#681=CIRCLE('',#43413,0.1);
#682=CIRCLE('',#43414,0.2);
#683=CIRCLE('',#43417,0.1);
#684=CIRCLE('',#43419,0.2);
#685=CIRCLE('',#43420,0.1);
#686=CIRCLE('',#43421,0.2);
#687=CIRCLE('',#43423,0.2);
#688=CIRCLE('',#43424,0.1);
#689=CIRCLE('',#43425,0.2);
#690=CIRCLE('',#43426,0.1);
#691=CIRCLE('',#43430,0.2);
#692=CIRCLE('',#43431,0.1);
#693=CIRCLE('',#43432,0.2);
#694=CIRCLE('',#43433,0.1);
#695=CIRCLE('',#43435,0.1);
#696=CIRCLE('',#43436,0.2);
#697=CIRCLE('',#43437,0.1);
#698=CIRCLE('',#43438,0.2);
#699=CIRCLE('',#43441,0.1);
#700=CIRCLE('',#43443,0.2);
#701=CIRCLE('',#43444,0.1);
#702=CIRCLE('',#43445,0.2);
#703=CIRCLE('',#43447,0.2);
#704=CIRCLE('',#43448,0.1);
#705=CIRCLE('',#43449,0.2);
#706=CIRCLE('',#43450,0.1);
#707=CIRCLE('',#43456,0.2);
#708=CIRCLE('',#43457,0.1);
#709=CIRCLE('',#43458,0.2);
#710=CIRCLE('',#43459,0.1);
#711=CIRCLE('',#43461,0.1);
#712=CIRCLE('',#43462,0.2);
#713=CIRCLE('',#43463,0.1);
#714=CIRCLE('',#43464,0.2);
#715=CIRCLE('',#43467,0.1);
#716=CIRCLE('',#43469,0.2);
#717=CIRCLE('',#43470,0.1);
#718=CIRCLE('',#43471,0.2);
#719=CIRCLE('',#43473,0.2);
#720=CIRCLE('',#43474,0.1);
#721=CIRCLE('',#43475,0.2);
#722=CIRCLE('',#43476,0.1);
#723=CIRCLE('',#43480,0.2);
#724=CIRCLE('',#43481,0.1);
#725=CIRCLE('',#43482,0.2);
#726=CIRCLE('',#43483,0.1);
#727=CIRCLE('',#43485,0.1);
#728=CIRCLE('',#43486,0.2);
#729=CIRCLE('',#43487,0.1);
#730=CIRCLE('',#43488,0.2);
#731=CIRCLE('',#43491,0.1);
#732=CIRCLE('',#43493,0.2);
#733=CIRCLE('',#43494,0.1);
#734=CIRCLE('',#43495,0.2);
#735=CIRCLE('',#43497,0.2);
#736=CIRCLE('',#43498,0.1);
#737=CIRCLE('',#43499,0.2);
#738=CIRCLE('',#43500,0.1);
#739=CIRCLE('',#43504,0.2);
#740=CIRCLE('',#43505,0.1);
#741=CIRCLE('',#43506,0.2);
#742=CIRCLE('',#43507,0.1);
#743=CIRCLE('',#43509,0.1);
#744=CIRCLE('',#43510,0.2);
#745=CIRCLE('',#43511,0.1);
#746=CIRCLE('',#43512,0.2);
#747=CIRCLE('',#43515,0.1);
#748=CIRCLE('',#43517,0.2);
#749=CIRCLE('',#43518,0.1);
#750=CIRCLE('',#43519,0.2);
#751=CIRCLE('',#43521,0.2);
#752=CIRCLE('',#43522,0.1);
#753=CIRCLE('',#43523,0.2);
#754=CIRCLE('',#43524,0.1);
#755=CIRCLE('',#43528,0.2);
#756=CIRCLE('',#43529,0.1);
#757=CIRCLE('',#43530,0.2);
#758=CIRCLE('',#43531,0.1);
#759=CIRCLE('',#43533,0.1);
#760=CIRCLE('',#43534,0.2);
#761=CIRCLE('',#43535,0.1);
#762=CIRCLE('',#43536,0.2);
#763=CIRCLE('',#43539,0.1);
#764=CIRCLE('',#43541,0.2);
#765=CIRCLE('',#43542,0.1);
#766=CIRCLE('',#43543,0.2);
#767=CIRCLE('',#43545,0.2);
#768=CIRCLE('',#43546,0.1);
#769=CIRCLE('',#43547,0.2);
#770=CIRCLE('',#43548,0.1);
#771=CIRCLE('',#43552,0.2);
#772=CIRCLE('',#43553,0.1);
#773=CIRCLE('',#43554,0.2);
#774=CIRCLE('',#43555,0.1);
#775=CIRCLE('',#43557,0.1);
#776=CIRCLE('',#43558,0.2);
#777=CIRCLE('',#43559,0.1);
#778=CIRCLE('',#43560,0.2);
#779=CIRCLE('',#43563,0.1);
#780=CIRCLE('',#43565,0.2);
#781=CIRCLE('',#43566,0.1);
#782=CIRCLE('',#43567,0.2);
#783=CIRCLE('',#43569,0.2);
#784=CIRCLE('',#43570,0.1);
#785=CIRCLE('',#43571,0.2);
#786=CIRCLE('',#43572,0.1);
#787=CIRCLE('',#43576,0.2);
#788=CIRCLE('',#43577,0.1);
#789=CIRCLE('',#43578,0.2);
#790=CIRCLE('',#43579,0.1);
#791=CIRCLE('',#43581,0.1);
#792=CIRCLE('',#43582,0.2);
#793=CIRCLE('',#43583,0.1);
#794=CIRCLE('',#43584,0.2);
#795=CIRCLE('',#43587,0.1);
#796=CIRCLE('',#43589,0.2);
#797=CIRCLE('',#43590,0.1);
#798=CIRCLE('',#43591,0.2);
#799=CIRCLE('',#43593,0.2);
#800=CIRCLE('',#43594,0.1);
#801=CIRCLE('',#43595,0.2);
#802=CIRCLE('',#43596,0.1);
#803=CIRCLE('',#43602,0.2);
#804=CIRCLE('',#43603,0.1);
#805=CIRCLE('',#43604,0.2);
#806=CIRCLE('',#43605,0.1);
#807=CIRCLE('',#43607,0.1);
#808=CIRCLE('',#43609,0.2);
#809=CIRCLE('',#43610,0.1);
#810=CIRCLE('',#43611,0.2);
#811=CIRCLE('',#43613,0.2);
#812=CIRCLE('',#43614,0.1);
#813=CIRCLE('',#43615,0.2);
#814=CIRCLE('',#43616,0.1);
#815=CIRCLE('',#43620,0.2);
#816=CIRCLE('',#43621,0.1);
#817=CIRCLE('',#43622,0.2);
#818=CIRCLE('',#43623,0.1);
#819=CIRCLE('',#43625,0.1);
#820=CIRCLE('',#43626,0.2);
#821=CIRCLE('',#43627,0.1);
#822=CIRCLE('',#43628,0.2);
#823=CIRCLE('',#43631,0.1);
#824=CIRCLE('',#43633,0.2);
#825=CIRCLE('',#43634,0.1);
#826=CIRCLE('',#43635,0.2);
#827=CIRCLE('',#43637,0.2);
#828=CIRCLE('',#43638,0.1);
#829=CIRCLE('',#43639,0.2);
#830=CIRCLE('',#43640,0.1);
#831=CIRCLE('',#43644,0.2);
#832=CIRCLE('',#43645,0.1);
#833=CIRCLE('',#43646,0.2);
#834=CIRCLE('',#43647,0.1);
#835=CIRCLE('',#43649,0.1);
#836=CIRCLE('',#43650,0.2);
#837=CIRCLE('',#43651,0.1);
#838=CIRCLE('',#43652,0.2);
#839=CIRCLE('',#43655,0.1);
#840=CIRCLE('',#43657,0.2);
#841=CIRCLE('',#43658,0.1);
#842=CIRCLE('',#43659,0.2);
#843=CIRCLE('',#43661,0.2);
#844=CIRCLE('',#43662,0.1);
#845=CIRCLE('',#43663,0.2);
#846=CIRCLE('',#43664,0.1);
#847=CIRCLE('',#43668,0.2);
#848=CIRCLE('',#43669,0.1);
#849=CIRCLE('',#43670,0.2);
#850=CIRCLE('',#43671,0.1);
#851=CIRCLE('',#43673,0.1);
#852=CIRCLE('',#43674,0.2);
#853=CIRCLE('',#43675,0.1);
#854=CIRCLE('',#43676,0.2);
#855=CIRCLE('',#43679,0.1);
#856=CIRCLE('',#43681,0.2);
#857=CIRCLE('',#43682,0.1);
#858=CIRCLE('',#43683,0.2);
#859=CIRCLE('',#43685,0.2);
#860=CIRCLE('',#43686,0.1);
#861=CIRCLE('',#43687,0.2);
#862=CIRCLE('',#43688,0.1);
#863=CIRCLE('',#43692,0.2);
#864=CIRCLE('',#43693,0.1);
#865=CIRCLE('',#43694,0.2);
#866=CIRCLE('',#43695,0.1);
#867=CIRCLE('',#43697,0.1);
#868=CIRCLE('',#43698,0.2);
#869=CIRCLE('',#43699,0.1);
#870=CIRCLE('',#43700,0.2);
#871=CIRCLE('',#43703,0.1);
#872=CIRCLE('',#43705,0.2);
#873=CIRCLE('',#43706,0.1);
#874=CIRCLE('',#43707,0.2);
#875=CIRCLE('',#43709,0.2);
#876=CIRCLE('',#43710,0.1);
#877=CIRCLE('',#43711,0.2);
#878=CIRCLE('',#43712,0.1);
#879=CIRCLE('',#43716,0.2);
#880=CIRCLE('',#43717,0.1);
#881=CIRCLE('',#43718,0.2);
#882=CIRCLE('',#43719,0.1);
#883=CIRCLE('',#43721,0.1);
#884=CIRCLE('',#43722,0.2);
#885=CIRCLE('',#43723,0.1);
#886=CIRCLE('',#43724,0.2);
#887=CIRCLE('',#43727,0.1);
#888=CIRCLE('',#43729,0.2);
#889=CIRCLE('',#43730,0.1);
#890=CIRCLE('',#43731,0.2);
#891=CIRCLE('',#43733,0.2);
#892=CIRCLE('',#43734,0.1);
#893=CIRCLE('',#43735,0.2);
#894=CIRCLE('',#43736,0.1);
#895=CIRCLE('',#43771,0.25);
#896=CIRCLE('',#43772,0.25);
#897=CIRCLE('',#44101,0.2);
#898=CIRCLE('',#44102,0.47);
#899=CIRCLE('',#44103,0.2);
#900=CIRCLE('',#44104,0.47);
#901=CIRCLE('',#44106,0.47);
#902=CIRCLE('',#44107,0.2);
#903=CIRCLE('',#44108,0.47);
#904=CIRCLE('',#44109,0.2);
#905=CIRCLE('',#44112,0.47);
#906=CIRCLE('',#44113,0.2);
#907=CIRCLE('',#44114,0.47);
#908=CIRCLE('',#44115,0.2);
#909=CIRCLE('',#44118,0.2);
#910=CIRCLE('',#44120,0.47);
#911=CIRCLE('',#44121,0.2);
#912=CIRCLE('',#44122,0.47);
#913=CIRCLE('',#44125,0.2);
#914=CIRCLE('',#44126,0.47);
#915=CIRCLE('',#44127,0.2);
#916=CIRCLE('',#44128,0.47);
#917=CIRCLE('',#44130,0.47);
#918=CIRCLE('',#44131,0.2);
#919=CIRCLE('',#44132,0.47);
#920=CIRCLE('',#44133,0.2);
#921=CIRCLE('',#44139,0.2);
#922=CIRCLE('',#44140,0.47);
#923=CIRCLE('',#44141,0.2);
#924=CIRCLE('',#44142,0.47);
#925=CIRCLE('',#44144,0.47);
#926=CIRCLE('',#44145,0.2);
#927=CIRCLE('',#44146,0.47);
#928=CIRCLE('',#44147,0.2);
#929=CIRCLE('',#44196,0.5);
#930=CIRCLE('',#44197,0.5);
#931=CIRCLE('',#44199,0.5);
#932=CIRCLE('',#44200,0.5);
#933=CIRCLE('',#44202,0.3);
#934=CIRCLE('',#44203,0.3);
#935=CIRCLE('',#44204,0.3);
#936=CIRCLE('',#44205,0.3);
#937=CIRCLE('',#44206,0.5);
#938=CIRCLE('',#44207,0.5);
#939=CIRCLE('',#44208,1.75);
#940=CIRCLE('',#44209,1.75);
#941=CIRCLE('',#44210,0.5);
#942=CIRCLE('',#44211,0.5);
#943=CIRCLE('',#44212,0.5);
#944=CIRCLE('',#44213,0.5);
#945=CIRCLE('',#44216,0.3);
#946=CIRCLE('',#44218,0.3);
#947=CIRCLE('',#44222,0.3);
#948=CIRCLE('',#44224,0.3);
#949=CIRCLE('',#44227,0.5);
#950=CIRCLE('',#44228,0.5);
#951=CIRCLE('',#44230,1.75);
#952=CIRCLE('',#44231,1.75);
#953=CIRCLE('',#44233,0.5);
#954=CIRCLE('',#44234,0.5);
#955=CIRCLE('',#44236,0.5);
#956=CIRCLE('',#44237,0.5);
#957=CIRCLE('',#44240,0.3);
#958=CIRCLE('',#44242,0.3);
#959=CIRCLE('',#44246,0.3);
#960=CIRCLE('',#44248,0.3);
#961=CIRCLE('',#44252,0.1);
#962=CIRCLE('',#44253,1.65);
#963=CIRCLE('',#44254,1.65);
#964=CIRCLE('',#44259,0.29);
#965=CIRCLE('',#44260,0.29);
#966=CIRCLE('',#44261,0.29);
#967=CIRCLE('',#44262,0.29);
#968=CIRCLE('',#44263,0.29);
#969=CIRCLE('',#44264,0.29);
#970=CIRCLE('',#44265,0.29);
#971=CIRCLE('',#44268,0.29);
#972=CIRCLE('',#44269,0.29);
#973=CIRCLE('',#44270,0.29);
#974=CIRCLE('',#44271,0.29);
#975=CIRCLE('',#44272,0.29);
#976=CIRCLE('',#44273,0.29);
#977=CIRCLE('',#44274,0.29);
#978=CIRCLE('',#44276,0.29);
#979=CIRCLE('',#44277,0.29);
#980=CIRCLE('',#44278,0.29);
#981=CIRCLE('',#44279,0.29);
#982=CIRCLE('',#44280,0.29);
#983=CIRCLE('',#44281,0.29);
#984=CIRCLE('',#44282,0.29);
#985=CIRCLE('',#44284,0.29);
#986=CIRCLE('',#44285,0.29);
#987=CIRCLE('',#44286,0.29);
#988=CIRCLE('',#44287,0.29);
#989=CIRCLE('',#44288,0.29);
#990=CIRCLE('',#44289,0.29);
#991=CIRCLE('',#44290,0.29);
#992=CIRCLE('',#44293,0.29);
#993=CIRCLE('',#44294,0.29);
#994=CIRCLE('',#44295,0.29);
#995=CIRCLE('',#44296,0.29);
#996=CIRCLE('',#44297,0.29);
#997=CIRCLE('',#44298,0.29);
#998=CIRCLE('',#44299,0.29);
#999=CIRCLE('',#44302,0.29);
#1000=CIRCLE('',#44303,0.29);
#1001=CIRCLE('',#44304,0.29);
#1002=CIRCLE('',#44305,0.29);
#1003=CIRCLE('',#44306,0.29);
#1004=CIRCLE('',#44307,0.29);
#1005=CIRCLE('',#44308,0.29);
#1006=CIRCLE('',#44310,0.29);
#1007=CIRCLE('',#44311,0.29);
#1008=CIRCLE('',#44312,0.29);
#1009=CIRCLE('',#44313,0.29);
#1010=CIRCLE('',#44314,0.29);
#1011=CIRCLE('',#44315,0.29);
#1012=CIRCLE('',#44316,0.29);
#1013=CIRCLE('',#44318,0.29);
#1014=CIRCLE('',#44319,0.29);
#1015=CIRCLE('',#44320,0.29);
#1016=CIRCLE('',#44321,0.29);
#1017=CIRCLE('',#44322,0.29);
#1018=CIRCLE('',#44323,0.29);
#1019=CIRCLE('',#44324,0.29);
#1020=CIRCLE('',#44396,0.045);
#1021=CIRCLE('',#44397,0.045);
#1022=CIRCLE('',#44398,0.045);
#1023=CIRCLE('',#44399,0.045);
#1024=CIRCLE('',#44401,0.045);
#1025=CIRCLE('',#44403,0.045);
#1026=CIRCLE('',#44405,0.045);
#1027=CIRCLE('',#44406,0.045);
#1028=CIRCLE('',#44415,0.045);
#1029=CIRCLE('',#44416,0.045);
#1030=CIRCLE('',#44417,0.045);
#1031=CIRCLE('',#44418,0.045);
#1032=CIRCLE('',#44422,0.045);
#1033=CIRCLE('',#44423,0.045);
#1034=CIRCLE('',#44424,0.045);
#1035=CIRCLE('',#44425,0.045);
#1036=CIRCLE('',#44574,0.035);
#1037=CIRCLE('',#44575,0.035);
#1038=CIRCLE('',#44578,0.035);
#1039=CIRCLE('',#44579,0.035);
#1040=CIRCLE('',#44582,0.035);
#1041=CIRCLE('',#44583,0.035);
#1042=CIRCLE('',#44587,0.035);
#1043=CIRCLE('',#44588,0.035);
#1044=CIRCLE('',#44597,0.035);
#1045=CIRCLE('',#44601,0.035);
#1046=CIRCLE('',#44603,0.035);
#1047=CIRCLE('',#44605,0.035);
#1048=CIRCLE('',#44610,0.035);
#1049=CIRCLE('',#44612,0.035);
#1050=CIRCLE('',#44620,0.035);
#1051=CIRCLE('',#44623,0.035);
#1052=CIRCLE('',#44636,0.03);
#1053=CIRCLE('',#44637,0.03);
#1054=CIRCLE('',#44638,0.03);
#1055=CIRCLE('',#44639,0.03);
#1056=CIRCLE('',#44642,0.03);
#1057=CIRCLE('',#44644,0.03);
#1058=CIRCLE('',#44648,0.03);
#1059=CIRCLE('',#44650,0.03);
#1060=CIRCLE('',#44653,0.03);
#1061=CIRCLE('',#44654,0.03);
#1062=CIRCLE('',#44655,0.03);
#1063=CIRCLE('',#44656,0.03);
#1064=CIRCLE('',#44658,0.03);
#1065=CIRCLE('',#44661,0.03);
#1066=CIRCLE('',#44664,0.03);
#1067=CIRCLE('',#44667,0.03);
#1068=CIRCLE('',#44670,0.03);
#1069=CIRCLE('',#44671,0.03);
#1070=CIRCLE('',#44672,0.03);
#1071=CIRCLE('',#44673,0.03);
#1072=CIRCLE('',#44676,0.03);
#1073=CIRCLE('',#44678,0.03);
#1074=CIRCLE('',#44682,0.03);
#1075=CIRCLE('',#44684,0.03);
#1076=CIRCLE('',#44693,0.25);
#1077=CIRCLE('',#44694,0.25);
#1078=CIRCLE('',#44695,0.25);
#1079=CIRCLE('',#44696,0.25);
#1080=CIRCLE('',#44697,0.25);
#1081=CIRCLE('',#44698,0.25);
#1082=CIRCLE('',#44699,0.25);
#1083=CIRCLE('',#44700,0.25);
#1084=CIRCLE('',#44701,0.25);
#1085=CIRCLE('',#44702,0.25);
#1086=CIRCLE('',#44703,0.5);
#1087=CIRCLE('',#44704,0.5);
#1088=CIRCLE('',#44705,0.49911);
#1089=CIRCLE('',#44706,0.49911);
#1090=CIRCLE('',#44707,0.4);
#1091=CIRCLE('',#44708,0.4);
#1092=CIRCLE('',#44709,0.55);
#1093=CIRCLE('',#44710,0.55);
#1094=CIRCLE('',#44711,0.49911);
#1095=CIRCLE('',#44712,0.49911);
#1096=CIRCLE('',#44713,0.55);
#1097=CIRCLE('',#44714,0.55);
#1098=CIRCLE('',#44715,0.49911);
#1099=CIRCLE('',#44716,0.49911);
#1100=CIRCLE('',#44717,0.49911);
#1101=CIRCLE('',#44718,0.49911);
#1102=CIRCLE('',#44719,0.49911);
#1103=CIRCLE('',#44720,0.49911);
#1104=CIRCLE('',#44721,0.4);
#1105=CIRCLE('',#44722,0.4);
#1106=CIRCLE('',#44723,0.5);
#1107=CIRCLE('',#44724,0.5);
#1108=CIRCLE('',#44725,0.5);
#1109=CIRCLE('',#44726,0.5);
#1110=CIRCLE('',#44727,0.5);
#1111=CIRCLE('',#44728,0.5);
#1112=CIRCLE('',#44729,0.4);
#1113=CIRCLE('',#44730,0.4);
#1114=CIRCLE('',#44731,0.49911);
#1115=CIRCLE('',#44732,0.49911);
#1116=CIRCLE('',#44733,0.49911);
#1117=CIRCLE('',#44734,0.49911);
#1118=CIRCLE('',#44735,0.4);
#1119=CIRCLE('',#44736,0.4);
#1120=CIRCLE('',#44737,0.5);
#1121=CIRCLE('',#44738,0.5);
#1122=CIRCLE('',#44739,0.49911);
#1123=CIRCLE('',#44740,0.49911);
#1124=CIRCLE('',#44741,0.49911);
#1125=CIRCLE('',#44742,0.49911);
#1126=CIRCLE('',#44743,0.49911);
#1127=CIRCLE('',#44744,0.49911);
#1128=CIRCLE('',#44745,0.49911);
#1129=CIRCLE('',#44746,0.49911);
#1130=CIRCLE('',#44747,0.5);
#1131=CIRCLE('',#44748,0.5);
#1132=CIRCLE('',#44749,0.49911);
#1133=CIRCLE('',#44750,0.49911);
#1134=CIRCLE('',#44751,0.49911);
#1135=CIRCLE('',#44752,0.49911);
#1136=CIRCLE('',#44753,0.5);
#1137=CIRCLE('',#44754,0.5);
#1138=CIRCLE('',#44755,0.4);
#1139=CIRCLE('',#44756,0.4);
#1140=CIRCLE('',#44757,0.4);
#1141=CIRCLE('',#44758,0.4);
#1142=CIRCLE('',#44759,0.4);
#1143=CIRCLE('',#44760,0.4);
#1144=CIRCLE('',#44761,0.4);
#1145=CIRCLE('',#44762,0.4);
#1146=CIRCLE('',#44763,0.4);
#1147=CIRCLE('',#44764,0.4);
#1148=CIRCLE('',#44765,0.4);
#1149=CIRCLE('',#44766,0.4);
#1150=CIRCLE('',#44767,0.4);
#1151=CIRCLE('',#44768,0.4);
#1152=CIRCLE('',#44769,0.4);
#1153=CIRCLE('',#44770,0.4);
#1154=CIRCLE('',#44771,0.4);
#1155=CIRCLE('',#44772,0.4);
#1156=CIRCLE('',#44773,0.4);
#1157=CIRCLE('',#44774,0.4);
#1158=CIRCLE('',#44775,0.4);
#1159=CIRCLE('',#44776,0.4);
#1160=CIRCLE('',#44777,0.49911);
#1161=CIRCLE('',#44778,0.49911);
#1162=CIRCLE('',#44779,0.4);
#1163=CIRCLE('',#44780,0.4);
#1164=CIRCLE('',#44781,0.5);
#1165=CIRCLE('',#44782,0.5);
#1166=CIRCLE('',#44783,0.4);
#1167=CIRCLE('',#44784,0.4);
#1168=CIRCLE('',#44785,0.5);
#1169=CIRCLE('',#44786,0.5);
#1170=CIRCLE('',#44787,0.25);
#1171=CIRCLE('',#44788,0.25);
#1172=CIRCLE('',#44789,1.6);
#1173=CIRCLE('',#44790,1.6);
#1174=CIRCLE('',#44791,0.25);
#1175=CIRCLE('',#44792,0.25);
#1176=CIRCLE('',#44793,0.25);
#1177=CIRCLE('',#44794,0.25);
#1178=CIRCLE('',#44795,0.5);
#1179=CIRCLE('',#44796,0.5);
#1180=CIRCLE('',#44797,0.25);
#1181=CIRCLE('',#44798,0.25);
#1182=CIRCLE('',#44799,0.5);
#1183=CIRCLE('',#44800,0.5);
#1184=CIRCLE('',#44801,0.49911);
#1185=CIRCLE('',#44802,0.49911);
#1186=CIRCLE('',#44803,0.5);
#1187=CIRCLE('',#44804,0.5);
#1188=CIRCLE('',#44805,0.49911);
#1189=CIRCLE('',#44806,0.49911);
#1190=CIRCLE('',#44807,0.5);
#1191=CIRCLE('',#44808,0.5);
#1192=CIRCLE('',#44809,0.49911);
#1193=CIRCLE('',#44810,0.49911);
#1194=CIRCLE('',#44811,0.5);
#1195=CIRCLE('',#44812,0.5);
#1196=CIRCLE('',#44813,0.5);
#1197=CIRCLE('',#44814,0.5);
#1198=CIRCLE('',#44815,0.49911);
#1199=CIRCLE('',#44816,0.49911);
#1200=CIRCLE('',#44817,0.49911);
#1201=CIRCLE('',#44818,0.49911);
#1202=CIRCLE('',#44819,0.5);
#1203=CIRCLE('',#44820,0.5);
#1204=CIRCLE('',#44821,0.5);
#1205=CIRCLE('',#44822,0.5);
#1206=CIRCLE('',#44823,0.5);
#1207=CIRCLE('',#44824,0.5);
#1208=CIRCLE('',#44825,0.49911);
#1209=CIRCLE('',#44826,0.49911);
#1210=CIRCLE('',#44827,0.5);
#1211=CIRCLE('',#44828,0.5);
#1212=CIRCLE('',#44829,0.5);
#1213=CIRCLE('',#44830,0.5);
#1214=CIRCLE('',#44831,0.25);
#1215=CIRCLE('',#44832,0.25);
#1216=CIRCLE('',#44833,0.5);
#1217=CIRCLE('',#44834,0.5);
#1218=CIRCLE('',#44835,0.25);
#1219=CIRCLE('',#44836,0.25);
#1220=CIRCLE('',#44837,0.49911);
#1221=CIRCLE('',#44838,0.49911);
#1222=CIRCLE('',#44839,0.4);
#1223=CIRCLE('',#44840,0.4);
#1224=CIRCLE('',#44841,0.4);
#1225=CIRCLE('',#44842,0.4);
#1226=CIRCLE('',#44843,0.5);
#1227=CIRCLE('',#44844,0.5);
#1228=CIRCLE('',#44845,0.25);
#1229=CIRCLE('',#44846,0.25);
#1230=CIRCLE('',#44847,1.6);
#1231=CIRCLE('',#44848,1.6);
#1232=CIRCLE('',#44849,0.25);
#1233=CIRCLE('',#44850,0.25);
#1234=CIRCLE('',#44851,0.25);
#1235=CIRCLE('',#44852,0.25);
#1236=CIRCLE('',#44853,0.25);
#1237=CIRCLE('',#44854,0.25);
#1238=CIRCLE('',#44855,0.25);
#1239=CIRCLE('',#44856,0.25);
#1240=CIRCLE('',#44857,1.6);
#1241=CIRCLE('',#44858,1.6);
#1242=CIRCLE('',#44859,0.25);
#1243=CIRCLE('',#44860,0.25);
#1244=CIRCLE('',#44861,0.25);
#1245=CIRCLE('',#44862,0.25);
#1246=CIRCLE('',#44863,0.25);
#1247=CIRCLE('',#44864,0.25);
#1248=CIRCLE('',#44865,0.25);
#1249=CIRCLE('',#44866,0.25);
#1250=CIRCLE('',#44867,0.25);
#1251=CIRCLE('',#44868,0.25);
#1252=CIRCLE('',#44869,0.25);
#1253=CIRCLE('',#44870,0.25);
#1254=CIRCLE('',#44871,0.49911);
#1255=CIRCLE('',#44872,0.49911);
#1256=CIRCLE('',#44873,0.5);
#1257=CIRCLE('',#44874,0.5);
#1258=CIRCLE('',#44875,0.49911);
#1259=CIRCLE('',#44876,0.49911);
#1260=CIRCLE('',#44877,0.49911);
#1261=CIRCLE('',#44878,0.49911);
#1262=CIRCLE('',#44879,0.25);
#1263=CIRCLE('',#44880,0.25);
#1264=CIRCLE('',#44881,1.6);
#1265=CIRCLE('',#44882,1.6);
#1266=CIRCLE('',#44883,0.5);
#1267=CIRCLE('',#44884,0.5);
#1268=CIRCLE('',#44885,0.5);
#1269=CIRCLE('',#44886,0.5);
#1270=CIRCLE('',#44887,0.4);
#1271=CIRCLE('',#44888,0.4);
#1272=CIRCLE('',#44889,0.5);
#1273=CIRCLE('',#44890,0.5);
#1274=CIRCLE('',#44891,0.4);
#1275=CIRCLE('',#44892,0.4);
#1276=CIRCLE('',#44893,0.4);
#1277=CIRCLE('',#44894,0.4);
#1278=CIRCLE('',#44895,0.4);
#1279=CIRCLE('',#44896,0.4);
#1280=CIRCLE('',#44897,0.5);
#1281=CIRCLE('',#44898,0.5);
#1282=CIRCLE('',#44899,0.25);
#1283=CIRCLE('',#44900,0.25);
#1284=CIRCLE('',#44901,0.49911);
#1285=CIRCLE('',#44902,0.49911);
#1286=CIRCLE('',#44903,0.49911);
#1287=CIRCLE('',#44904,0.49911);
#1288=CIRCLE('',#44905,0.49911);
#1289=CIRCLE('',#44906,0.49911);
#1290=CIRCLE('',#44907,0.49911);
#1291=CIRCLE('',#44908,0.49911);
#1292=CIRCLE('',#44909,0.4);
#1293=CIRCLE('',#44910,0.4);
#1294=CIRCLE('',#44911,0.49911);
#1295=CIRCLE('',#44912,0.49911);
#1296=CIRCLE('',#44913,0.49911);
#1297=CIRCLE('',#44914,0.49911);
#1298=CIRCLE('',#44915,0.4);
#1299=CIRCLE('',#44916,0.4);
#1300=CIRCLE('',#44917,0.49911);
#1301=CIRCLE('',#44918,0.49911);
#1302=CIRCLE('',#44919,0.4);
#1303=CIRCLE('',#44920,0.4);
#1304=CIRCLE('',#44921,0.49911);
#1305=CIRCLE('',#44922,0.49911);
#1306=CIRCLE('',#44923,0.4);
#1307=CIRCLE('',#44924,0.4);
#1308=CIRCLE('',#44925,0.4);
#1309=CIRCLE('',#44926,0.4);
#1310=CIRCLE('',#44927,0.4);
#1311=CIRCLE('',#44928,0.4);
#1312=CIRCLE('',#44929,0.5);
#1313=CIRCLE('',#44930,0.5);
#1314=CIRCLE('',#44931,0.49911);
#1315=CIRCLE('',#44932,0.49911);
#1316=CIRCLE('',#44933,0.49911);
#1317=CIRCLE('',#44934,0.49911);
#1318=CIRCLE('',#44935,0.49911);
#1319=CIRCLE('',#44936,0.49911);
#1320=CIRCLE('',#44937,0.4);
#1321=CIRCLE('',#44938,0.4);
#1322=CIRCLE('',#44939,0.4);
#1323=CIRCLE('',#44940,0.4);
#1324=CIRCLE('',#44941,0.5);
#1325=CIRCLE('',#44942,0.5);
#1326=CIRCLE('',#44943,0.4);
#1327=CIRCLE('',#44944,0.4);
#1328=CIRCLE('',#44945,0.55);
#1329=CIRCLE('',#44946,0.55);
#1330=CIRCLE('',#44947,0.55);
#1331=CIRCLE('',#44948,0.55);
#1332=CIRCLE('',#44949,0.25);
#1333=CIRCLE('',#44950,0.25);
#1334=CIRCLE('',#44951,0.25);
#1335=CIRCLE('',#44952,0.25);
#1336=CIRCLE('',#44953,0.25);
#1337=CIRCLE('',#44954,0.25);
#1338=CIRCLE('',#44955,0.25);
#1339=CIRCLE('',#44956,0.25);
#1340=CIRCLE('',#44957,0.5);
#1341=CIRCLE('',#44958,0.5);
#1342=CIRCLE('',#44959,0.25);
#1343=CIRCLE('',#44960,0.25);
#1344=CIRCLE('',#44961,0.25);
#1345=CIRCLE('',#44962,0.25);
#1346=CIRCLE('',#44963,0.5);
#1347=CIRCLE('',#44964,0.5);
#1348=CIRCLE('',#44965,0.5);
#1349=CIRCLE('',#44966,0.5);
#1350=CIRCLE('',#44967,0.5);
#1351=CIRCLE('',#44968,0.5);
#1352=CIRCLE('',#44969,0.5);
#1353=CIRCLE('',#44970,0.5);
#1354=CIRCLE('',#44971,0.5);
#1355=CIRCLE('',#44972,0.5);
#1356=CIRCLE('',#44973,0.5);
#1357=CIRCLE('',#44974,0.5);
#1358=CIRCLE('',#44975,0.5);
#1359=CIRCLE('',#44976,0.5);
#1360=CIRCLE('',#44977,0.5);
#1361=CIRCLE('',#44978,0.5);
#1362=CIRCLE('',#44979,0.5);
#1363=CIRCLE('',#44980,0.5);
#1364=CIRCLE('',#44981,0.5);
#1365=CIRCLE('',#44982,0.5);
#1366=CIRCLE('',#44983,0.25);
#1367=CIRCLE('',#44984,0.25);
#1368=CIRCLE('',#44985,0.5);
#1369=CIRCLE('',#44986,0.5);
#1370=CIRCLE('',#44987,0.5);
#1371=CIRCLE('',#44988,0.5);
#1372=CIRCLE('',#44989,0.5);
#1373=CIRCLE('',#44990,0.5);
#1374=CIRCLE('',#44991,0.25);
#1375=CIRCLE('',#44992,0.25);
#1376=CIRCLE('',#44994,0.25);
#1377=CIRCLE('',#44995,0.25);
#1378=CIRCLE('',#44996,0.25);
#1379=CIRCLE('',#44997,0.25);
#1380=CIRCLE('',#44998,0.5);
#1381=CIRCLE('',#44999,0.5);
#1382=CIRCLE('',#45000,0.49911);
#1383=CIRCLE('',#45001,0.49911);
#1384=CIRCLE('',#45002,0.49911);
#1385=CIRCLE('',#45003,0.49911);
#1386=CIRCLE('',#45004,0.49911);
#1387=CIRCLE('',#45005,0.49911);
#1388=CIRCLE('',#45006,0.49911);
#1389=CIRCLE('',#45007,0.49911);
#1390=CIRCLE('',#45008,0.5);
#1391=CIRCLE('',#45009,0.5);
#1392=CIRCLE('',#45010,0.5);
#1393=CIRCLE('',#45011,0.5);
#1394=CIRCLE('',#45012,0.49911);
#1395=CIRCLE('',#45013,0.49911);
#1396=CIRCLE('',#45014,0.5);
#1397=CIRCLE('',#45015,0.5);
#1398=CIRCLE('',#45016,0.49911);
#1399=CIRCLE('',#45017,0.49911);
#1400=CIRCLE('',#45018,0.49911);
#1401=CIRCLE('',#45019,0.49911);
#1402=CIRCLE('',#45020,0.4);
#1403=CIRCLE('',#45021,0.4);
#1404=CIRCLE('',#45022,0.4);
#1405=CIRCLE('',#45023,0.4);
#1406=CIRCLE('',#45024,0.4);
#1407=CIRCLE('',#45025,0.4);
#1408=CIRCLE('',#45026,0.4);
#1409=CIRCLE('',#45027,0.4);
#1410=CIRCLE('',#45028,0.4);
#1411=CIRCLE('',#45029,0.4);
#1412=CIRCLE('',#45030,0.4);
#1413=CIRCLE('',#45031,0.4);
#1414=CIRCLE('',#45032,0.4);
#1415=CIRCLE('',#45033,0.4);
#1416=CIRCLE('',#45034,0.4);
#1417=CIRCLE('',#45035,0.4);
#1418=CIRCLE('',#45036,0.5);
#1419=CIRCLE('',#45037,0.5);
#1420=CIRCLE('',#45038,0.49911);
#1421=CIRCLE('',#45039,0.49911);
#1422=CIRCLE('',#45040,0.4);
#1423=CIRCLE('',#45041,0.4);
#1424=CIRCLE('',#45042,0.4);
#1425=CIRCLE('',#45043,0.4);
#1426=CIRCLE('',#45044,0.49911);
#1427=CIRCLE('',#45045,0.49911);
#1428=CIRCLE('',#45046,0.5);
#1429=CIRCLE('',#45047,0.5);
#1430=CIRCLE('',#45048,0.49911);
#1431=CIRCLE('',#45049,0.49911);
#1432=CIRCLE('',#45050,0.49911);
#1433=CIRCLE('',#45051,0.49911);
#1434=CIRCLE('',#45052,0.49911);
#1435=CIRCLE('',#45053,0.49911);
#1436=CIRCLE('',#45054,0.4);
#1437=CIRCLE('',#45055,0.4);
#1438=CIRCLE('',#45056,0.4);
#1439=CIRCLE('',#45057,0.4);
#1440=CIRCLE('',#45058,0.4);
#1441=CIRCLE('',#45059,0.4);
#1442=CIRCLE('',#45060,0.4);
#1443=CIRCLE('',#45061,0.4);
#1444=CIRCLE('',#45062,0.4);
#1445=CIRCLE('',#45063,0.4);
#1446=CIRCLE('',#45064,0.5);
#1447=CIRCLE('',#45065,0.5);
#1448=CIRCLE('',#45066,0.49911);
#1449=CIRCLE('',#45067,0.49911);
#1450=CIRCLE('',#45068,0.49911);
#1451=CIRCLE('',#45069,0.49911);
#1452=CIRCLE('',#45070,0.5);
#1453=CIRCLE('',#45071,0.5);
#1454=CIRCLE('',#45072,0.49911);
#1455=CIRCLE('',#45073,0.49911);
#1456=CIRCLE('',#45074,0.5);
#1457=CIRCLE('',#45075,0.5);
#1458=CIRCLE('',#45076,0.49911);
#1459=CIRCLE('',#45077,0.49911);
#1460=CIRCLE('',#45078,0.49911);
#1461=CIRCLE('',#45079,0.49911);
#1462=CIRCLE('',#45080,0.4);
#1463=CIRCLE('',#45081,0.4);
#1464=CIRCLE('',#45082,0.4);
#1465=CIRCLE('',#45083,0.4);
#1466=CIRCLE('',#45084,0.5);
#1467=CIRCLE('',#45085,0.5);
#1468=CIRCLE('',#45086,0.49911);
#1469=CIRCLE('',#45087,0.49911);
#1470=CIRCLE('',#45088,0.4);
#1471=CIRCLE('',#45089,0.4);
#1472=CIRCLE('',#45090,0.49911);
#1473=CIRCLE('',#45091,0.49911);
#1474=CIRCLE('',#45092,0.49911);
#1475=CIRCLE('',#45093,0.49911);
#1476=CIRCLE('',#45094,0.4);
#1477=CIRCLE('',#45095,0.4);
#1478=CIRCLE('',#45096,0.5);
#1479=CIRCLE('',#45097,0.5);
#1480=CIRCLE('',#45098,0.5);
#1481=CIRCLE('',#45099,0.5);
#1482=CIRCLE('',#45100,0.25);
#1483=CIRCLE('',#45101,0.25);
#1484=CIRCLE('',#45102,0.25);
#1485=CIRCLE('',#45103,0.25);
#1486=CIRCLE('',#45104,0.5);
#1487=CIRCLE('',#45105,0.5);
#1488=CIRCLE('',#45106,0.49911);
#1489=CIRCLE('',#45107,0.49911);
#1490=CIRCLE('',#45108,0.4);
#1491=CIRCLE('',#45109,0.4);
#1492=CIRCLE('',#45110,0.4);
#1493=CIRCLE('',#45111,0.4);
#1494=CIRCLE('',#45112,0.4);
#1495=CIRCLE('',#45113,0.4);
#1496=CIRCLE('',#45114,0.4);
#1497=CIRCLE('',#45115,0.4);
#1498=CIRCLE('',#45116,0.4);
#1499=CIRCLE('',#45117,0.4);
#1500=CIRCLE('',#45118,0.4);
#1501=CIRCLE('',#45119,0.4);
#1502=CIRCLE('',#45120,0.5);
#1503=CIRCLE('',#45121,0.5);
#1504=CIRCLE('',#45122,0.5);
#1505=CIRCLE('',#45123,0.5);
#1506=CIRCLE('',#45124,0.5);
#1507=CIRCLE('',#45125,0.5);
#1508=CIRCLE('',#45126,0.49911);
#1509=CIRCLE('',#45127,0.49911);
#1510=CIRCLE('',#45128,0.49911);
#1511=CIRCLE('',#45129,0.49911);
#1512=CIRCLE('',#45130,0.49911);
#1513=CIRCLE('',#45131,0.49911);
#1514=CIRCLE('',#45132,0.5);
#1515=CIRCLE('',#45133,0.5);
#1516=CIRCLE('',#45134,0.49911);
#1517=CIRCLE('',#45135,0.49911);
#1518=CIRCLE('',#45136,0.49911);
#1519=CIRCLE('',#45137,0.49911);
#1520=CIRCLE('',#45138,0.5);
#1521=CIRCLE('',#45139,0.5);
#1522=CIRCLE('',#45140,0.5);
#1523=CIRCLE('',#45141,0.5);
#1524=CIRCLE('',#45142,0.49911);
#1525=CIRCLE('',#45143,0.49911);
#1526=CIRCLE('',#45144,0.5);
#1527=CIRCLE('',#45145,0.5);
#1528=CIRCLE('',#45146,0.49911);
#1529=CIRCLE('',#45147,0.49911);
#1530=CIRCLE('',#45148,0.49911);
#1531=CIRCLE('',#45149,0.49911);
#1532=CIRCLE('',#45150,0.5);
#1533=CIRCLE('',#45151,0.5);
#1534=CIRCLE('',#45152,0.49911);
#1535=CIRCLE('',#45153,0.49911);
#1536=CIRCLE('',#45154,0.4);
#1537=CIRCLE('',#45155,0.4);
#1538=CIRCLE('',#45156,0.49911);
#1539=CIRCLE('',#45157,0.49911);
#1540=CIRCLE('',#45158,0.5);
#1541=CIRCLE('',#45159,0.5);
#1542=CIRCLE('',#45160,0.49911);
#1543=CIRCLE('',#45161,0.49911);
#1544=CIRCLE('',#45162,0.5);
#1545=CIRCLE('',#45163,0.5);
#1546=CIRCLE('',#45164,0.49911);
#1547=CIRCLE('',#45165,0.49911);
#1548=CIRCLE('',#45166,0.4);
#1549=CIRCLE('',#45167,0.4);
#1550=CIRCLE('',#45168,0.4);
#1551=CIRCLE('',#45169,0.4);
#1552=CIRCLE('',#45170,0.4);
#1553=CIRCLE('',#45171,0.4);
#1554=CIRCLE('',#45172,0.49911);
#1555=CIRCLE('',#45173,0.49911);
#1556=CIRCLE('',#45174,0.4);
#1557=CIRCLE('',#45175,0.4);
#1558=CIRCLE('',#45176,0.4);
#1559=CIRCLE('',#45177,0.4);
#1560=CIRCLE('',#45178,0.5);
#1561=CIRCLE('',#45179,0.5);
#1562=CIRCLE('',#45180,0.5);
#1563=CIRCLE('',#45181,0.5);
#1564=CIRCLE('',#45182,0.5);
#1565=CIRCLE('',#45183,0.5);
#1566=CIRCLE('',#45184,0.4);
#1567=CIRCLE('',#45185,0.4);
#1568=CIRCLE('',#45186,0.5);
#1569=CIRCLE('',#45187,0.5);
#1570=CIRCLE('',#45188,0.25);
#1571=CIRCLE('',#45189,0.25);
#1572=CIRCLE('',#45190,1.6);
#1573=CIRCLE('',#45191,1.6);
#1574=CIRCLE('',#45192,0.25);
#1575=CIRCLE('',#45193,0.25);
#1576=CIRCLE('',#45194,0.25);
#1577=CIRCLE('',#45195,0.25);
#1578=CIRCLE('',#45196,0.25);
#1579=CIRCLE('',#45197,0.25);
#1580=CIRCLE('',#45198,0.25);
#1581=CIRCLE('',#45199,0.25);
#1582=CIRCLE('',#45200,0.25);
#1583=CIRCLE('',#45201,0.25);
#1584=CIRCLE('',#45202,0.25);
#1585=CIRCLE('',#45203,0.25);
#1586=CIRCLE('',#45204,0.25);
#1587=CIRCLE('',#45205,0.25);
#1588=CIRCLE('',#45206,1.6);
#1589=CIRCLE('',#45207,1.6);
#1590=CIRCLE('',#45208,0.25);
#1591=CIRCLE('',#45209,0.25);
#1592=CIRCLE('',#45210,0.25);
#1593=CIRCLE('',#45211,0.25);
#1594=CIRCLE('',#45212,0.25);
#1595=CIRCLE('',#45213,0.25);
#1596=CIRCLE('',#45214,0.55);
#1597=CIRCLE('',#45215,0.55);
#1598=CIRCLE('',#45216,0.55);
#1599=CIRCLE('',#45217,0.55);
#1600=CIRCLE('',#45218,0.25);
#1601=CIRCLE('',#45219,0.25);
#1602=CIRCLE('',#45220,0.25);
#1603=CIRCLE('',#45221,0.25);
#1604=CIRCLE('',#45222,1.6);
#1605=CIRCLE('',#45223,1.6);
#1606=CIRCLE('',#45224,0.25);
#1607=CIRCLE('',#45225,0.25);
#1608=CIRCLE('',#45226,0.25);
#1609=CIRCLE('',#45227,0.25);
#1610=CIRCLE('',#45228,0.25);
#1611=CIRCLE('',#45229,0.25);
#1612=CIRCLE('',#45230,0.25);
#1613=CIRCLE('',#45231,0.25);
#1614=CIRCLE('',#45232,0.25);
#1615=CIRCLE('',#45233,0.25);
#1616=CIRCLE('',#45234,0.25);
#1617=CIRCLE('',#45235,0.25);
#1618=CIRCLE('',#45236,1.6);
#1619=CIRCLE('',#45237,1.6);
#1620=CIRCLE('',#45238,0.25);
#1621=CIRCLE('',#45239,0.25);
#1622=CIRCLE('',#45240,0.25);
#1623=CIRCLE('',#45241,0.25);
#1624=CIRCLE('',#45242,0.25);
#1625=CIRCLE('',#45243,0.25);
#1626=CIRCLE('',#45244,0.25);
#1627=CIRCLE('',#45245,0.25);
#1628=CIRCLE('',#45246,0.25);
#1629=CIRCLE('',#45247,0.25);
#1630=CIRCLE('',#45248,0.25);
#1631=CIRCLE('',#45249,0.25);
#1632=CIRCLE('',#45250,0.55);
#1633=CIRCLE('',#45251,0.55);
#1634=CIRCLE('',#45252,0.55);
#1635=CIRCLE('',#45253,0.55);
#1636=CIRCLE('',#45254,0.5);
#1637=CIRCLE('',#45255,0.5);
#1638=CIRCLE('',#45256,0.49911);
#1639=CIRCLE('',#45257,0.49911);
#1640=CIRCLE('',#45258,0.5);
#1641=CIRCLE('',#45259,0.5);
#1642=CIRCLE('',#45260,0.25);
#1643=CIRCLE('',#45261,0.25);
#1644=CIRCLE('',#45262,0.5);
#1645=CIRCLE('',#45263,0.5);
#1646=CIRCLE('',#45264,0.5);
#1647=CIRCLE('',#45265,0.5);
#1648=CIRCLE('',#45266,0.5);
#1649=CIRCLE('',#45267,0.5);
#1650=CIRCLE('',#45268,0.5);
#1651=CIRCLE('',#45269,0.5);
#1652=CIRCLE('',#45270,0.5);
#1653=CIRCLE('',#45271,0.5);
#1654=CIRCLE('',#45272,0.5);
#1655=CIRCLE('',#45273,0.5);
#1656=CIRCLE('',#45274,0.5);
#1657=CIRCLE('',#45275,0.5);
#1658=CIRCLE('',#45276,0.5);
#1659=CIRCLE('',#45277,0.5);
#1660=CIRCLE('',#45278,0.5);
#1661=CIRCLE('',#45279,0.5);
#1662=CIRCLE('',#45280,0.5);
#1663=CIRCLE('',#45281,0.5);
#1664=CIRCLE('',#45282,0.5);
#1665=CIRCLE('',#45283,0.5);
#1666=CIRCLE('',#45284,0.5);
#1667=CIRCLE('',#45285,0.5);
#1668=CIRCLE('',#45286,0.25);
#1669=CIRCLE('',#45287,0.25);
#1670=CIRCLE('',#45288,0.25);
#1671=CIRCLE('',#45289,0.25);
#1672=CIRCLE('',#45290,0.5);
#1673=CIRCLE('',#45291,0.5);
#1674=CIRCLE('',#45292,0.5);
#1675=CIRCLE('',#45293,0.5);
#1676=CIRCLE('',#45534,0.4);
#1677=CIRCLE('',#45540,0.4);
#1678=CIRCLE('',#45544,0.4);
#1679=CIRCLE('',#45550,0.4);
#1680=CIRCLE('',#45569,4.);
#1681=CIRCLE('',#45571,4.);
#1682=CIRCLE('',#45572,4.);
#1683=CIRCLE('',#45573,4.);
#1684=CIRCLE('',#45575,4.);
#1685=CIRCLE('',#45576,4.00000000000001);
#1686=CIRCLE('',#45577,4.);
#1687=CIRCLE('',#45578,4.);
#1688=CIRCLE('',#45579,4.);
#1689=CIRCLE('',#45584,4.);
#1690=CIRCLE('',#45585,4.);
#1691=CIRCLE('',#45586,4.);
#1692=CIRCLE('',#45591,4.);
#1693=CIRCLE('',#45592,4.);
#1694=CIRCLE('',#45593,4.);
#1695=CIRCLE('',#45598,4.);
#1696=CIRCLE('',#45599,4.);
#1697=CIRCLE('',#45600,4.);
#1698=CIRCLE('',#45605,4.00000000000001);
#1699=CIRCLE('',#45606,4.00000000000001);
#1700=CIRCLE('',#45607,4.00000000000001);
#1701=CIRCLE('',#45611,2.5);
#1702=CIRCLE('',#45612,3.);
#1703=CIRCLE('',#45615,2.5);
#1704=CIRCLE('',#45616,3.);
#1705=CIRCLE('',#45620,3.);
#1706=CIRCLE('',#45623,3.);
#1707=CIRCLE('',#45625,4.);
#1708=CIRCLE('',#45627,4.);
#1709=CIRCLE('',#45628,4.);
#1710=CIRCLE('',#45629,4.);
#1711=CIRCLE('',#45633,2.50000000000001);
#1712=CIRCLE('',#45634,3.00000000000001);
#1713=CIRCLE('',#45637,2.5);
#1714=CIRCLE('',#45638,3.);
#1715=CIRCLE('',#45641,1.);
#1716=CIRCLE('',#45642,1.);
#1717=CIRCLE('',#45644,2.85);
#1718=CIRCLE('',#45645,2.85);
#1719=CIRCLE('',#45646,1.5);
#1720=CIRCLE('',#45647,1.5);
#1721=CIRCLE('',#45649,1.5);
#1722=CIRCLE('',#45651,2.85);
#1723=CIRCLE('',#45652,2.85);
#1724=CIRCLE('',#45653,1.5);
#1725=CIRCLE('',#45654,1.5);
#1726=CIRCLE('',#45656,1.5);
#1727=CIRCLE('',#45658,2.85);
#1728=CIRCLE('',#45659,2.85);
#1729=CIRCLE('',#45660,1.5);
#1730=CIRCLE('',#45661,1.5);
#1731=CIRCLE('',#45663,1.5);
#1732=CIRCLE('',#45665,2.85);
#1733=CIRCLE('',#45666,2.85);
#1734=CIRCLE('',#45667,1.5);
#1735=CIRCLE('',#45668,1.5);
#1736=CIRCLE('',#45670,1.5);
#1737=CIRCLE('',#45672,3.);
#1738=CIRCLE('',#45673,3.);
#1739=CIRCLE('',#45676,3.);
#1740=CIRCLE('',#45677,1.);
#1741=CIRCLE('',#45678,1.);
#1742=CIRCLE('',#45679,1.6);
#1743=CIRCLE('',#45680,1.6);
#1744=CIRCLE('',#45682,3.);
#1745=CIRCLE('',#45685,3.);
#1746=CIRCLE('',#45686,3.);
#1747=CIRCLE('',#45689,1.);
#1748=CIRCLE('',#45690,1.);
#1749=CIRCLE('',#45691,3.);
#1750=CIRCLE('',#45692,1.6);
#1751=CIRCLE('',#45693,1.6);
#1752=CIRCLE('',#45695,3.);
#1753=CIRCLE('',#45700,1.);
#1754=CIRCLE('',#45703,1.);
#1755=CIRCLE('',#45722,4.);
#1756=CIRCLE('',#45724,4.);
#1757=CIRCLE('',#45733,4.);
#1758=CIRCLE('',#45749,1.6);
#1759=CIRCLE('',#45751,1.6);
#1760=CIRCLE('',#45753,1.6);
#1761=CIRCLE('',#45755,1.6);
#1762=CIRCLE('',#45771,3.00000000000001);
#1763=CIRCLE('',#45774,3.);
#1764=CIRCLE('',#45782,4.);
#1765=CIRCLE('',#45783,5.00000002980232);
#1766=CIRCLE('',#45784,4.);
#1767=CIRCLE('',#45785,4.00000001490116);
#1768=CIRCLE('',#45788,4.00000001490116);
#1769=CIRCLE('',#45789,3.30000001490116);
#1770=CIRCLE('',#45793,3.30000001490116);
#1771=CIRCLE('',#45796,4.);
#1772=CIRCLE('',#45797,5.00000002980232);
#1773=CIRCLE('',#45798,4.);
#1774=CIRCLE('',#45816,3.99999999999999);
#1775=CIRCLE('',#45817,3.29999999999998);
#1776=CIRCLE('',#45821,4.);
#1777=CIRCLE('',#45822,3.3);
#1778=CIRCLE('',#45826,4.);
#1779=CIRCLE('',#45827,3.3);
#1780=CIRCLE('',#45831,3.3);
#1781=CIRCLE('',#45832,3.30000000000002);
#1782=CIRCLE('',#45833,3.3);
#1783=CIRCLE('',#45834,3.29999999999999);
#1784=CIRCLE('',#45835,3.29999999999998);
#1785=CIRCLE('',#45836,5.00000001490115);
#1786=CIRCLE('',#45837,5.00000001490116);
#1787=CIRCLE('',#45838,5.00000001490116);
#1788=CIRCLE('',#45839,5.00000001490118);
#1789=CIRCLE('',#45840,5.00000001490116);
#1790=CIRCLE('',#45841,5.00000001490116);
#1791=CIRCLE('',#45842,3.3);
#1792=CIRCLE('',#45845,3.29999999999999);
#1793=CIRCLE('',#45846,3.99999999999999);
#1794=CIRCLE('',#45848,3.3);
#1795=CIRCLE('',#45849,4.);
#1796=CIRCLE('',#45851,3.30000000000002);
#1797=CIRCLE('',#45852,4.00000000000002);
#1798=CIRCLE('',#45854,4.);
#1799=CIRCLE('',#45855,5.00000001490116);
#1800=CIRCLE('',#45856,5.00000001490116);
#1801=CIRCLE('',#45857,5.00000001490118);
#1802=CIRCLE('',#45858,5.00000001490116);
#1803=CIRCLE('',#45859,5.00000001490116);
#1804=CIRCLE('',#45860,5.00000001490115);
#1805=CIRCLE('',#45861,3.99999999999999);
#1806=CIRCLE('',#45862,3.99999999999999);
#1807=CIRCLE('',#45863,4.);
#1808=CIRCLE('',#45864,4.00000000000002);
#1809=CIRCLE('',#45865,4.);
#1810=CIRCLE('',#45905,3.99999999999999);
#1811=CIRCLE('',#45906,4.);
#1812=CIRCLE('',#45907,4.);
#1813=CIRCLE('',#45908,4.00000000000002);
#1814=CIRCLE('',#45909,4.);
#1815=CIRCLE('',#45910,3.99999999999999);
#1816=CIRCLE('',#45921,3.);
#1817=CIRCLE('',#45922,2.5);
#1818=CIRCLE('',#45925,3.);
#1819=CIRCLE('',#45926,2.5);
#1820=CIRCLE('',#45931,4.00000001490116);
#1821=CIRCLE('',#45937,3.);
#1822=CIRCLE('',#45938,2.5);
#1823=CIRCLE('',#45941,3.);
#1824=CIRCLE('',#45942,2.5);
#1825=CIRCLE('',#45970,4.00000000000002);
#1826=CIRCLE('',#45973,3.99999999999999);
#1827=CIRCLE('',#45976,4.);
#1828=CIRCLE('',#45979,4.);
#1829=CIRCLE('',#45982,3.99999999999999);
#1830=CIRCLE('',#45985,4.);
#1831=CIRCLE('',#45988,4.00000001490116);
#1832=CIRCLE('',#45994,3.);
#1833=CIRCLE('',#46000,3.);
#1834=CIRCLE('',#46005,3.);
#1835=CIRCLE('',#46006,3.);
#1836=CIRCLE('',#46007,0.980923690613853);
#1837=CIRCLE('',#46008,0.980923690613854);
#1838=CIRCLE('',#46009,0.980923690613853);
#1839=CIRCLE('',#46010,0.98092369061385);
#1840=CIRCLE('',#46011,0.974564920818466);
#1841=CIRCLE('',#46012,0.97456492081847);
#1842=CIRCLE('',#46013,0.974564920818466);
#1843=CIRCLE('',#46014,0.974564920818479);
#1844=CIRCLE('',#46015,0.974564920818466);
#1845=CIRCLE('',#46016,0.974564920818466);
#1846=CIRCLE('',#46017,0.974564920818466);
#1847=CIRCLE('',#46018,0.974564920818477);
#1848=CIRCLE('',#46019,0.974564920818466);
#1849=CIRCLE('',#46020,0.97456492081847);
#1850=CIRCLE('',#46021,0.974564920818466);
#1851=CIRCLE('',#46022,0.974564920818477);
#1852=CIRCLE('',#46023,0.980923690613853);
#1853=CIRCLE('',#46024,0.980923690613853);
#1854=CIRCLE('',#46025,0.980923690613853);
#1855=CIRCLE('',#46026,0.980923690613853);
#1856=CIRCLE('',#46027,0.980923690613853);
#1857=CIRCLE('',#46028,0.980923690613853);
#1858=CIRCLE('',#46029,0.980923690613853);
#1859=CIRCLE('',#46030,0.980923690613854);
#1860=CIRCLE('',#46099,2.);
#1861=CIRCLE('',#46101,2.);
#1862=CIRCLE('',#46103,2.);
#1863=CIRCLE('',#46105,2.);
#1864=CIRCLE('',#46107,2.);
#1865=CIRCLE('',#46109,2.);
#1866=CIRCLE('',#46111,3.);
#1867=CIRCLE('',#46116,3.);
#1868=CIRCLE('',#46118,4.1);
#1869=CIRCLE('',#46119,5.00000000000001);
#1870=CIRCLE('',#46120,4.10000000000001);
#1871=CIRCLE('',#46121,5.);
#1872=CIRCLE('',#46122,4.99999999999998);
#1873=CIRCLE('',#46123,4.10000000000002);
#1874=CIRCLE('',#46124,5.00000000000451);
#1875=CIRCLE('',#46125,4.1);
#1876=CIRCLE('',#46126,0.980923690613853);
#1877=CIRCLE('',#46127,0.98092369061385);
#1878=CIRCLE('',#46128,0.980923690613853);
#1879=CIRCLE('',#46129,0.980923690613854);
#1880=CIRCLE('',#46130,0.974564920818466);
#1881=CIRCLE('',#46131,0.974564920818479);
#1882=CIRCLE('',#46132,0.974564920818466);
#1883=CIRCLE('',#46133,0.97456492081847);
#1884=CIRCLE('',#46134,0.974564920818466);
#1885=CIRCLE('',#46135,0.974564920818477);
#1886=CIRCLE('',#46136,0.974564920818466);
#1887=CIRCLE('',#46137,0.974564920818466);
#1888=CIRCLE('',#46138,0.974564920818466);
#1889=CIRCLE('',#46139,0.974564920818477);
#1890=CIRCLE('',#46140,0.974564920818466);
#1891=CIRCLE('',#46141,0.97456492081847);
#1892=CIRCLE('',#46142,0.980923690613853);
#1893=CIRCLE('',#46143,0.980923690613853);
#1894=CIRCLE('',#46144,0.980923690613853);
#1895=CIRCLE('',#46145,0.980923690613853);
#1896=CIRCLE('',#46146,0.980923690613853);
#1897=CIRCLE('',#46147,0.980923690613854);
#1898=CIRCLE('',#46148,0.980923690613853);
#1899=CIRCLE('',#46149,0.980923690613853);
#1900=CIRCLE('',#46151,3.);
#1901=CIRCLE('',#46154,3.);
#1902=CIRCLE('',#46158,3.);
#1903=CIRCLE('',#46161,3.);
#1904=CIRCLE('',#46165,3.);
#1905=CIRCLE('',#46168,3.);
#1906=CIRCLE('',#46172,3.);
#1907=CIRCLE('',#46175,3.);
#1908=CIRCLE('',#46179,3.);
#1909=CIRCLE('',#46182,3.);
#1910=CIRCLE('',#46186,2.);
#1911=CIRCLE('',#46193,2.);
#1912=CIRCLE('',#46200,2.);
#1913=CIRCLE('',#46207,2.);
#1914=CIRCLE('',#46214,2.);
#1915=CIRCLE('',#46221,2.);
#1916=CIRCLE('',#46229,4.1);
#1917=CIRCLE('',#46231,1.2645);
#1918=CIRCLE('',#46232,1.2645);
#1919=CIRCLE('',#46236,5.00000000000001);
#1920=CIRCLE('',#46238,4.10000000000001);
#1921=CIRCLE('',#46240,1.2645);
#1922=CIRCLE('',#46241,1.2645);
#1923=CIRCLE('',#46243,5.);
#1924=CIRCLE('',#46249,4.1);
#1925=CIRCLE('',#46251,1.2645);
#1926=CIRCLE('',#46252,1.2645);
#1927=CIRCLE('',#46258,4.99999999999998);
#1928=CIRCLE('',#46260,4.10000000000002);
#1929=CIRCLE('',#46262,1.2645);
#1930=CIRCLE('',#46263,1.2645);
#1931=CIRCLE('',#46265,5.00000000000451);
#1932=CYLINDRICAL_SURFACE('',#42687,0.5);
#1933=CYLINDRICAL_SURFACE('',#42691,0.5);
#1934=CYLINDRICAL_SURFACE('',#42695,0.5);
#1935=CYLINDRICAL_SURFACE('',#42699,0.5);
#1936=CYLINDRICAL_SURFACE('',#42703,0.5);
#1937=CYLINDRICAL_SURFACE('',#42707,1.75);
#1938=CYLINDRICAL_SURFACE('',#42714,3.);
#1939=CYLINDRICAL_SURFACE('',#42766,1.75);
#1940=CYLINDRICAL_SURFACE('',#42776,0.25);
#1941=CYLINDRICAL_SURFACE('',#42784,1.75);
#1942=CYLINDRICAL_SURFACE('',#42786,1.75);
#1943=CYLINDRICAL_SURFACE('',#42788,0.25);
#1944=CYLINDRICAL_SURFACE('',#42802,2.5);
#1945=CYLINDRICAL_SURFACE('',#42804,2.5);
#1946=CYLINDRICAL_SURFACE('',#42826,2.5);
#1947=CYLINDRICAL_SURFACE('',#42829,2.5);
#1948=CYLINDRICAL_SURFACE('',#42835,2.5);
#1949=CYLINDRICAL_SURFACE('',#42842,1.75);
#1950=CYLINDRICAL_SURFACE('',#42850,1.75);
#1951=CYLINDRICAL_SURFACE('',#42871,0.55);
#1952=CYLINDRICAL_SURFACE('',#42874,0.55);
#1953=CYLINDRICAL_SURFACE('',#42885,0.55);
#1954=CYLINDRICAL_SURFACE('',#42894,0.55);
#1955=CYLINDRICAL_SURFACE('',#42896,0.55);
#1956=CYLINDRICAL_SURFACE('',#42899,0.25);
#1957=CYLINDRICAL_SURFACE('',#42902,0.25);
#1958=CYLINDRICAL_SURFACE('',#42905,0.55);
#1959=CYLINDRICAL_SURFACE('',#42907,0.55);
#1960=CYLINDRICAL_SURFACE('',#42910,0.55);
#1961=CYLINDRICAL_SURFACE('',#42919,0.1);
#1962=CYLINDRICAL_SURFACE('',#42933,0.2);
#1963=CYLINDRICAL_SURFACE('',#42936,0.2);
#1964=CYLINDRICAL_SURFACE('',#42938,0.1);
#1965=CYLINDRICAL_SURFACE('',#42994,0.1);
#1966=CYLINDRICAL_SURFACE('',#43001,0.1);
#1967=CYLINDRICAL_SURFACE('',#43012,0.1);
#1968=CYLINDRICAL_SURFACE('',#43025,0.1);
#1969=CYLINDRICAL_SURFACE('',#43036,0.1);
#1970=CYLINDRICAL_SURFACE('',#43049,0.1);
#1971=CYLINDRICAL_SURFACE('',#43060,0.1);
#1972=CYLINDRICAL_SURFACE('',#43073,0.1);
#1973=CYLINDRICAL_SURFACE('',#43084,0.1);
#1974=CYLINDRICAL_SURFACE('',#43097,0.1);
#1975=CYLINDRICAL_SURFACE('',#43108,0.1);
#1976=CYLINDRICAL_SURFACE('',#43113,0.0499999999999163);
#1977=CYLINDRICAL_SURFACE('',#43128,0.2);
#1978=CYLINDRICAL_SURFACE('',#43130,0.1);
#1979=CYLINDRICAL_SURFACE('',#43134,0.2);
#1980=CYLINDRICAL_SURFACE('',#43213,0.2);
#1981=CYLINDRICAL_SURFACE('',#43215,0.1);
#1982=CYLINDRICAL_SURFACE('',#43219,0.2);
#1983=CYLINDRICAL_SURFACE('',#43221,0.2);
#1984=CYLINDRICAL_SURFACE('',#43223,0.1);
#1985=CYLINDRICAL_SURFACE('',#43227,0.2);
#1986=CYLINDRICAL_SURFACE('',#43229,0.2);
#1987=CYLINDRICAL_SURFACE('',#43231,0.1);
#1988=CYLINDRICAL_SURFACE('',#43235,0.2);
#1989=CYLINDRICAL_SURFACE('',#43237,0.2);
#1990=CYLINDRICAL_SURFACE('',#43239,0.1);
#1991=CYLINDRICAL_SURFACE('',#43243,0.2);
#1992=CYLINDRICAL_SURFACE('',#43245,0.2);
#1993=CYLINDRICAL_SURFACE('',#43247,0.1);
#1994=CYLINDRICAL_SURFACE('',#43251,0.2);
#1995=CYLINDRICAL_SURFACE('',#43253,0.2);
#1996=CYLINDRICAL_SURFACE('',#43255,0.1);
#1997=CYLINDRICAL_SURFACE('',#43259,0.2);
#1998=CYLINDRICAL_SURFACE('',#43261,0.2);
#1999=CYLINDRICAL_SURFACE('',#43263,0.1);
#2000=CYLINDRICAL_SURFACE('',#43267,0.2);
#2001=CYLINDRICAL_SURFACE('',#43269,0.2);
#2002=CYLINDRICAL_SURFACE('',#43271,0.1);
#2003=CYLINDRICAL_SURFACE('',#43275,0.2);
#2004=CYLINDRICAL_SURFACE('',#43277,0.2);
#2005=CYLINDRICAL_SURFACE('',#43279,0.1);
#2006=CYLINDRICAL_SURFACE('',#43283,0.2);
#2007=CYLINDRICAL_SURFACE('',#43285,0.2);
#2008=CYLINDRICAL_SURFACE('',#43287,0.1);
#2009=CYLINDRICAL_SURFACE('',#43291,0.2);
#2010=CYLINDRICAL_SURFACE('',#43300,0.0499999999965604);
#2011=CYLINDRICAL_SURFACE('',#43302,0.0499999999965604);
#2012=CYLINDRICAL_SURFACE('',#43320,0.1);
#2013=CYLINDRICAL_SURFACE('',#43331,0.1);
#2014=CYLINDRICAL_SURFACE('',#43344,0.1);
#2015=CYLINDRICAL_SURFACE('',#43355,0.1);
#2016=CYLINDRICAL_SURFACE('',#43368,0.1);
#2017=CYLINDRICAL_SURFACE('',#43379,0.1);
#2018=CYLINDRICAL_SURFACE('',#43392,0.1);
#2019=CYLINDRICAL_SURFACE('',#43403,0.1);
#2020=CYLINDRICAL_SURFACE('',#43416,0.1);
#2021=CYLINDRICAL_SURFACE('',#43427,0.1);
#2022=CYLINDRICAL_SURFACE('',#43440,0.1);
#2023=CYLINDRICAL_SURFACE('',#43451,0.1);
#2024=CYLINDRICAL_SURFACE('',#43466,0.1);
#2025=CYLINDRICAL_SURFACE('',#43477,0.1);
#2026=CYLINDRICAL_SURFACE('',#43490,0.1);
#2027=CYLINDRICAL_SURFACE('',#43501,0.1);
#2028=CYLINDRICAL_SURFACE('',#43514,0.1);
#2029=CYLINDRICAL_SURFACE('',#43525,0.1);
#2030=CYLINDRICAL_SURFACE('',#43538,0.1);
#2031=CYLINDRICAL_SURFACE('',#43549,0.1);
#2032=CYLINDRICAL_SURFACE('',#43562,0.1);
#2033=CYLINDRICAL_SURFACE('',#43573,0.1);
#2034=CYLINDRICAL_SURFACE('',#43586,0.1);
#2035=CYLINDRICAL_SURFACE('',#43597,0.1);
#2036=CYLINDRICAL_SURFACE('',#43606,0.1);
#2037=CYLINDRICAL_SURFACE('',#43617,0.1);
#2038=CYLINDRICAL_SURFACE('',#43630,0.1);
#2039=CYLINDRICAL_SURFACE('',#43641,0.1);
#2040=CYLINDRICAL_SURFACE('',#43654,0.1);
#2041=CYLINDRICAL_SURFACE('',#43665,0.1);
#2042=CYLINDRICAL_SURFACE('',#43678,0.1);
#2043=CYLINDRICAL_SURFACE('',#43689,0.1);
#2044=CYLINDRICAL_SURFACE('',#43702,0.1);
#2045=CYLINDRICAL_SURFACE('',#43713,0.1);
#2046=CYLINDRICAL_SURFACE('',#43726,0.1);
#2047=CYLINDRICAL_SURFACE('',#43737,0.1);
#2048=CYLINDRICAL_SURFACE('',#43740,0.2);
#2049=CYLINDRICAL_SURFACE('',#43742,0.1);
#2050=CYLINDRICAL_SURFACE('',#43746,0.2);
#2051=CYLINDRICAL_SURFACE('',#43749,0.0499999999999163);
#2052=CYLINDRICAL_SURFACE('',#43763,0.0499999999999163);
#2053=CYLINDRICAL_SURFACE('',#43765,0.0499999999965604);
#2054=CYLINDRICAL_SURFACE('',#43767,0.0499999999999163);
#2055=CYLINDRICAL_SURFACE('',#43769,0.0499999999965604);
#2056=CYLINDRICAL_SURFACE('',#43770,0.25);
#2057=CYLINDRICAL_SURFACE('',#43785,0.2);
#2058=CYLINDRICAL_SURFACE('',#43787,0.1);
#2059=CYLINDRICAL_SURFACE('',#43791,0.2);
#2060=CYLINDRICAL_SURFACE('',#43793,0.2);
#2061=CYLINDRICAL_SURFACE('',#43795,0.1);
#2062=CYLINDRICAL_SURFACE('',#43799,0.2);
#2063=CYLINDRICAL_SURFACE('',#43801,0.2);
#2064=CYLINDRICAL_SURFACE('',#43803,0.1);
#2065=CYLINDRICAL_SURFACE('',#43807,0.2);
#2066=CYLINDRICAL_SURFACE('',#43809,0.2);
#2067=CYLINDRICAL_SURFACE('',#43811,0.1);
#2068=CYLINDRICAL_SURFACE('',#43815,0.2);
#2069=CYLINDRICAL_SURFACE('',#43817,0.2);
#2070=CYLINDRICAL_SURFACE('',#43819,0.1);
#2071=CYLINDRICAL_SURFACE('',#43823,0.2);
#2072=CYLINDRICAL_SURFACE('',#43825,0.2);
#2073=CYLINDRICAL_SURFACE('',#43827,0.1);
#2074=CYLINDRICAL_SURFACE('',#43831,0.2);
#2075=CYLINDRICAL_SURFACE('',#43833,0.2);
#2076=CYLINDRICAL_SURFACE('',#43835,0.1);
#2077=CYLINDRICAL_SURFACE('',#43839,0.2);
#2078=CYLINDRICAL_SURFACE('',#43841,0.2);
#2079=CYLINDRICAL_SURFACE('',#43843,0.1);
#2080=CYLINDRICAL_SURFACE('',#43847,0.2);
#2081=CYLINDRICAL_SURFACE('',#43849,0.2);
#2082=CYLINDRICAL_SURFACE('',#43851,0.1);
#2083=CYLINDRICAL_SURFACE('',#43855,0.2);
#2084=CYLINDRICAL_SURFACE('',#43857,0.2);
#2085=CYLINDRICAL_SURFACE('',#43859,0.1);
#2086=CYLINDRICAL_SURFACE('',#43863,0.2);
#2087=CYLINDRICAL_SURFACE('',#43865,0.2);
#2088=CYLINDRICAL_SURFACE('',#43867,0.1);
#2089=CYLINDRICAL_SURFACE('',#43871,0.2);
#2090=CYLINDRICAL_SURFACE('',#43873,0.2);
#2091=CYLINDRICAL_SURFACE('',#43875,0.1);
#2092=CYLINDRICAL_SURFACE('',#43879,0.2);
#2093=CYLINDRICAL_SURFACE('',#43883,0.2);
#2094=CYLINDRICAL_SURFACE('',#43885,0.1);
#2095=CYLINDRICAL_SURFACE('',#43889,0.2);
#2096=CYLINDRICAL_SURFACE('',#43892,0.2);
#2097=CYLINDRICAL_SURFACE('',#43894,0.1);
#2098=CYLINDRICAL_SURFACE('',#43898,0.2);
#2099=CYLINDRICAL_SURFACE('',#43901,0.2);
#2100=CYLINDRICAL_SURFACE('',#43903,0.1);
#2101=CYLINDRICAL_SURFACE('',#43907,0.2);
#2102=CYLINDRICAL_SURFACE('',#43910,0.2);
#2103=CYLINDRICAL_SURFACE('',#43912,0.1);
#2104=CYLINDRICAL_SURFACE('',#43916,0.2);
#2105=CYLINDRICAL_SURFACE('',#43919,0.2);
#2106=CYLINDRICAL_SURFACE('',#43921,0.1);
#2107=CYLINDRICAL_SURFACE('',#43925,0.2);
#2108=CYLINDRICAL_SURFACE('',#43928,0.2);
#2109=CYLINDRICAL_SURFACE('',#43930,0.1);
#2110=CYLINDRICAL_SURFACE('',#43934,0.2);
#2111=CYLINDRICAL_SURFACE('',#43937,0.2);
#2112=CYLINDRICAL_SURFACE('',#43939,0.1);
#2113=CYLINDRICAL_SURFACE('',#43943,0.2);
#2114=CYLINDRICAL_SURFACE('',#43946,0.2);
#2115=CYLINDRICAL_SURFACE('',#43948,0.1);
#2116=CYLINDRICAL_SURFACE('',#43952,0.2);
#2117=CYLINDRICAL_SURFACE('',#43955,0.2);
#2118=CYLINDRICAL_SURFACE('',#43957,0.1);
#2119=CYLINDRICAL_SURFACE('',#43961,0.2);
#2120=CYLINDRICAL_SURFACE('',#43964,0.2);
#2121=CYLINDRICAL_SURFACE('',#43966,0.1);
#2122=CYLINDRICAL_SURFACE('',#43970,0.2);
#2123=CYLINDRICAL_SURFACE('',#43973,0.2);
#2124=CYLINDRICAL_SURFACE('',#43975,0.1);
#2125=CYLINDRICAL_SURFACE('',#43979,0.2);
#2126=CYLINDRICAL_SURFACE('',#43982,0.2);
#2127=CYLINDRICAL_SURFACE('',#43984,0.1);
#2128=CYLINDRICAL_SURFACE('',#43988,0.2);
#2129=CYLINDRICAL_SURFACE('',#43990,0.2);
#2130=CYLINDRICAL_SURFACE('',#43992,0.1);
#2131=CYLINDRICAL_SURFACE('',#43996,0.2);
#2132=CYLINDRICAL_SURFACE('',#43998,0.2);
#2133=CYLINDRICAL_SURFACE('',#44000,0.1);
#2134=CYLINDRICAL_SURFACE('',#44004,0.2);
#2135=CYLINDRICAL_SURFACE('',#44006,0.2);
#2136=CYLINDRICAL_SURFACE('',#44008,0.1);
#2137=CYLINDRICAL_SURFACE('',#44012,0.2);
#2138=CYLINDRICAL_SURFACE('',#44014,0.2);
#2139=CYLINDRICAL_SURFACE('',#44016,0.1);
#2140=CYLINDRICAL_SURFACE('',#44020,0.2);
#2141=CYLINDRICAL_SURFACE('',#44022,0.2);
#2142=CYLINDRICAL_SURFACE('',#44024,0.1);
#2143=CYLINDRICAL_SURFACE('',#44028,0.2);
#2144=CYLINDRICAL_SURFACE('',#44030,0.2);
#2145=CYLINDRICAL_SURFACE('',#44032,0.1);
#2146=CYLINDRICAL_SURFACE('',#44036,0.2);
#2147=CYLINDRICAL_SURFACE('',#44038,0.2);
#2148=CYLINDRICAL_SURFACE('',#44040,0.1);
#2149=CYLINDRICAL_SURFACE('',#44044,0.2);
#2150=CYLINDRICAL_SURFACE('',#44046,0.2);
#2151=CYLINDRICAL_SURFACE('',#44048,0.1);
#2152=CYLINDRICAL_SURFACE('',#44052,0.2);
#2153=CYLINDRICAL_SURFACE('',#44054,0.2);
#2154=CYLINDRICAL_SURFACE('',#44056,0.1);
#2155=CYLINDRICAL_SURFACE('',#44060,0.2);
#2156=CYLINDRICAL_SURFACE('',#44062,0.2);
#2157=CYLINDRICAL_SURFACE('',#44064,0.1);
#2158=CYLINDRICAL_SURFACE('',#44068,0.2);
#2159=CYLINDRICAL_SURFACE('',#44070,0.2);
#2160=CYLINDRICAL_SURFACE('',#44072,0.1);
#2161=CYLINDRICAL_SURFACE('',#44076,0.2);
#2162=CYLINDRICAL_SURFACE('',#44116,0.2);
#2163=CYLINDRICAL_SURFACE('',#44117,0.2);
#2164=CYLINDRICAL_SURFACE('',#44135,0.2);
#2165=CYLINDRICAL_SURFACE('',#44149,0.2);
#2166=CYLINDRICAL_SURFACE('',#44153,0.47);
#2167=CYLINDRICAL_SURFACE('',#44155,0.2);
#2168=CYLINDRICAL_SURFACE('',#44159,0.47);
#2169=CYLINDRICAL_SURFACE('',#44162,0.47);
#2170=CYLINDRICAL_SURFACE('',#44164,0.2);
#2171=CYLINDRICAL_SURFACE('',#44168,0.47);
#2172=CYLINDRICAL_SURFACE('',#44171,0.47);
#2173=CYLINDRICAL_SURFACE('',#44173,0.2);
#2174=CYLINDRICAL_SURFACE('',#44177,0.47);
#2175=CYLINDRICAL_SURFACE('',#44182,0.47);
#2176=CYLINDRICAL_SURFACE('',#44184,0.2);
#2177=CYLINDRICAL_SURFACE('',#44188,0.47);
#2178=CYLINDRICAL_SURFACE('',#44198,0.5);
#2179=CYLINDRICAL_SURFACE('',#44215,0.3);
#2180=CYLINDRICAL_SURFACE('',#44217,0.3);
#2181=CYLINDRICAL_SURFACE('',#44221,0.3);
#2182=CYLINDRICAL_SURFACE('',#44223,0.3);
#2183=CYLINDRICAL_SURFACE('',#44226,0.5);
#2184=CYLINDRICAL_SURFACE('',#44229,1.75);
#2185=CYLINDRICAL_SURFACE('',#44232,0.5);
#2186=CYLINDRICAL_SURFACE('',#44235,0.5);
#2187=CYLINDRICAL_SURFACE('',#44239,0.3);
#2188=CYLINDRICAL_SURFACE('',#44241,0.3);
#2189=CYLINDRICAL_SURFACE('',#44245,0.3);
#2190=CYLINDRICAL_SURFACE('',#44247,0.3);
#2191=CYLINDRICAL_SURFACE('',#44332,0.29);
#2192=CYLINDRICAL_SURFACE('',#44333,0.29);
#2193=CYLINDRICAL_SURFACE('',#44336,0.29);
#2194=CYLINDRICAL_SURFACE('',#44337,0.29);
#2195=CYLINDRICAL_SURFACE('',#44341,0.29);
#2196=CYLINDRICAL_SURFACE('',#44342,0.29);
#2197=CYLINDRICAL_SURFACE('',#44345,0.29);
#2198=CYLINDRICAL_SURFACE('',#44347,0.29);
#2199=CYLINDRICAL_SURFACE('',#44348,0.29);
#2200=CYLINDRICAL_SURFACE('',#44351,0.29);
#2201=CYLINDRICAL_SURFACE('',#44352,0.29);
#2202=CYLINDRICAL_SURFACE('',#44356,0.29);
#2203=CYLINDRICAL_SURFACE('',#44357,0.29);
#2204=CYLINDRICAL_SURFACE('',#44360,0.29);
#2205=CYLINDRICAL_SURFACE('',#44362,0.29);
#2206=CYLINDRICAL_SURFACE('',#44363,0.29);
#2207=CYLINDRICAL_SURFACE('',#44366,0.29);
#2208=CYLINDRICAL_SURFACE('',#44367,0.29);
#2209=CYLINDRICAL_SURFACE('',#44371,0.29);
#2210=CYLINDRICAL_SURFACE('',#44372,0.29);
#2211=CYLINDRICAL_SURFACE('',#44375,0.29);
#2212=CYLINDRICAL_SURFACE('',#44377,0.29);
#2213=CYLINDRICAL_SURFACE('',#44378,0.29);
#2214=CYLINDRICAL_SURFACE('',#44381,0.29);
#2215=CYLINDRICAL_SURFACE('',#44382,0.29);
#2216=CYLINDRICAL_SURFACE('',#44386,0.29);
#2217=CYLINDRICAL_SURFACE('',#44387,0.29);
#2218=CYLINDRICAL_SURFACE('',#44390,0.29);
#2219=CYLINDRICAL_SURFACE('',#44400,0.045);
#2220=CYLINDRICAL_SURFACE('',#44402,0.045);
#2221=CYLINDRICAL_SURFACE('',#44408,0.045);
#2222=CYLINDRICAL_SURFACE('',#44411,0.045);
#2223=CYLINDRICAL_SURFACE('',#44427,0.045);
#2224=CYLINDRICAL_SURFACE('',#44429,0.045);
#2225=CYLINDRICAL_SURFACE('',#44431,0.045);
#2226=CYLINDRICAL_SURFACE('',#44433,0.045);
#2227=CYLINDRICAL_SURFACE('',#44573,0.035);
#2228=CYLINDRICAL_SURFACE('',#44586,0.035);
#2229=CYLINDRICAL_SURFACE('',#44596,0.035);
#2230=CYLINDRICAL_SURFACE('',#44600,0.035);
#2231=CYLINDRICAL_SURFACE('',#44604,0.035);
#2232=CYLINDRICAL_SURFACE('',#44611,0.035);
#2233=CYLINDRICAL_SURFACE('',#44619,0.035);
#2234=CYLINDRICAL_SURFACE('',#44622,0.035);
#2235=CYLINDRICAL_SURFACE('',#44641,0.03);
#2236=CYLINDRICAL_SURFACE('',#44643,0.03);
#2237=CYLINDRICAL_SURFACE('',#44647,0.03);
#2238=CYLINDRICAL_SURFACE('',#44649,0.03);
#2239=CYLINDRICAL_SURFACE('',#44657,0.03);
#2240=CYLINDRICAL_SURFACE('',#44660,0.03);
#2241=CYLINDRICAL_SURFACE('',#44663,0.03);
#2242=CYLINDRICAL_SURFACE('',#44666,0.03);
#2243=CYLINDRICAL_SURFACE('',#44675,0.03);
#2244=CYLINDRICAL_SURFACE('',#44677,0.03);
#2245=CYLINDRICAL_SURFACE('',#44681,0.03);
#2246=CYLINDRICAL_SURFACE('',#44683,0.03);
#2247=CYLINDRICAL_SURFACE('',#45295,0.25);
#2248=CYLINDRICAL_SURFACE('',#45296,0.25);
#2249=CYLINDRICAL_SURFACE('',#45297,0.25);
#2250=CYLINDRICAL_SURFACE('',#45298,0.25);
#2251=CYLINDRICAL_SURFACE('',#45299,0.25);
#2252=CYLINDRICAL_SURFACE('',#45301,0.5);
#2253=CYLINDRICAL_SURFACE('',#45302,0.5);
#2254=CYLINDRICAL_SURFACE('',#45304,0.49911);
#2255=CYLINDRICAL_SURFACE('',#45305,0.4);
#2256=CYLINDRICAL_SURFACE('',#45306,0.55);
#2257=CYLINDRICAL_SURFACE('',#45307,0.49911);
#2258=CYLINDRICAL_SURFACE('',#45308,0.55);
#2259=CYLINDRICAL_SURFACE('',#45309,0.49911);
#2260=CYLINDRICAL_SURFACE('',#45310,0.49911);
#2261=CYLINDRICAL_SURFACE('',#45311,0.49911);
#2262=CYLINDRICAL_SURFACE('',#45312,0.4);
#2263=CYLINDRICAL_SURFACE('',#45313,0.5);
#2264=CYLINDRICAL_SURFACE('',#45314,0.5);
#2265=CYLINDRICAL_SURFACE('',#45315,0.5);
#2266=CYLINDRICAL_SURFACE('',#45316,0.4);
#2267=CYLINDRICAL_SURFACE('',#45317,0.49911);
#2268=CYLINDRICAL_SURFACE('',#45318,0.49911);
#2269=CYLINDRICAL_SURFACE('',#45319,0.4);
#2270=CYLINDRICAL_SURFACE('',#45320,0.5);
#2271=CYLINDRICAL_SURFACE('',#45321,0.49911);
#2272=CYLINDRICAL_SURFACE('',#45322,0.49911);
#2273=CYLINDRICAL_SURFACE('',#45323,0.49911);
#2274=CYLINDRICAL_SURFACE('',#45324,0.49911);
#2275=CYLINDRICAL_SURFACE('',#45325,0.5);
#2276=CYLINDRICAL_SURFACE('',#45326,0.49911);
#2277=CYLINDRICAL_SURFACE('',#45327,0.49911);
#2278=CYLINDRICAL_SURFACE('',#45328,0.5);
#2279=CYLINDRICAL_SURFACE('',#45329,0.4);
#2280=CYLINDRICAL_SURFACE('',#45330,0.4);
#2281=CYLINDRICAL_SURFACE('',#45331,0.4);
#2282=CYLINDRICAL_SURFACE('',#45332,0.4);
#2283=CYLINDRICAL_SURFACE('',#45333,0.4);
#2284=CYLINDRICAL_SURFACE('',#45334,0.4);
#2285=CYLINDRICAL_SURFACE('',#45335,0.4);
#2286=CYLINDRICAL_SURFACE('',#45336,0.4);
#2287=CYLINDRICAL_SURFACE('',#45337,0.4);
#2288=CYLINDRICAL_SURFACE('',#45338,0.4);
#2289=CYLINDRICAL_SURFACE('',#45339,0.4);
#2290=CYLINDRICAL_SURFACE('',#45340,0.49911);
#2291=CYLINDRICAL_SURFACE('',#45341,0.4);
#2292=CYLINDRICAL_SURFACE('',#45342,0.5);
#2293=CYLINDRICAL_SURFACE('',#45343,0.4);
#2294=CYLINDRICAL_SURFACE('',#45344,0.5);
#2295=CYLINDRICAL_SURFACE('',#45345,0.25);
#2296=CYLINDRICAL_SURFACE('',#45346,1.6);
#2297=CYLINDRICAL_SURFACE('',#45347,0.25);
#2298=CYLINDRICAL_SURFACE('',#45348,0.25);
#2299=CYLINDRICAL_SURFACE('',#45349,0.5);
#2300=CYLINDRICAL_SURFACE('',#45350,0.25);
#2301=CYLINDRICAL_SURFACE('',#45351,0.5);
#2302=CYLINDRICAL_SURFACE('',#45352,0.49911);
#2303=CYLINDRICAL_SURFACE('',#45353,0.5);
#2304=CYLINDRICAL_SURFACE('',#45354,0.49911);
#2305=CYLINDRICAL_SURFACE('',#45355,0.5);
#2306=CYLINDRICAL_SURFACE('',#45356,0.49911);
#2307=CYLINDRICAL_SURFACE('',#45357,0.5);
#2308=CYLINDRICAL_SURFACE('',#45358,0.5);
#2309=CYLINDRICAL_SURFACE('',#45359,0.49911);
#2310=CYLINDRICAL_SURFACE('',#45360,0.49911);
#2311=CYLINDRICAL_SURFACE('',#45361,0.5);
#2312=CYLINDRICAL_SURFACE('',#45362,0.5);
#2313=CYLINDRICAL_SURFACE('',#45363,0.5);
#2314=CYLINDRICAL_SURFACE('',#45364,0.49911);
#2315=CYLINDRICAL_SURFACE('',#45365,0.5);
#2316=CYLINDRICAL_SURFACE('',#45366,0.5);
#2317=CYLINDRICAL_SURFACE('',#45367,0.25);
#2318=CYLINDRICAL_SURFACE('',#45368,0.5);
#2319=CYLINDRICAL_SURFACE('',#45369,0.25);
#2320=CYLINDRICAL_SURFACE('',#45370,0.49911);
#2321=CYLINDRICAL_SURFACE('',#45371,0.4);
#2322=CYLINDRICAL_SURFACE('',#45372,0.4);
#2323=CYLINDRICAL_SURFACE('',#45373,0.5);
#2324=CYLINDRICAL_SURFACE('',#45374,0.25);
#2325=CYLINDRICAL_SURFACE('',#45375,1.6);
#2326=CYLINDRICAL_SURFACE('',#45376,0.25);
#2327=CYLINDRICAL_SURFACE('',#45377,0.25);
#2328=CYLINDRICAL_SURFACE('',#45378,0.25);
#2329=CYLINDRICAL_SURFACE('',#45379,0.25);
#2330=CYLINDRICAL_SURFACE('',#45380,1.6);
#2331=CYLINDRICAL_SURFACE('',#45381,0.25);
#2332=CYLINDRICAL_SURFACE('',#45382,0.25);
#2333=CYLINDRICAL_SURFACE('',#45383,0.25);
#2334=CYLINDRICAL_SURFACE('',#45384,0.25);
#2335=CYLINDRICAL_SURFACE('',#45385,0.25);
#2336=CYLINDRICAL_SURFACE('',#45386,0.25);
#2337=CYLINDRICAL_SURFACE('',#45387,0.49911);
#2338=CYLINDRICAL_SURFACE('',#45388,0.5);
#2339=CYLINDRICAL_SURFACE('',#45389,0.49911);
#2340=CYLINDRICAL_SURFACE('',#45390,0.49911);
#2341=CYLINDRICAL_SURFACE('',#45391,0.25);
#2342=CYLINDRICAL_SURFACE('',#45392,1.6);
#2343=CYLINDRICAL_SURFACE('',#45393,0.5);
#2344=CYLINDRICAL_SURFACE('',#45396,0.5);
#2345=CYLINDRICAL_SURFACE('',#45397,0.5);
#2346=CYLINDRICAL_SURFACE('',#45400,0.5);
#2347=CYLINDRICAL_SURFACE('',#45401,0.4);
#2348=CYLINDRICAL_SURFACE('',#45402,0.5);
#2349=CYLINDRICAL_SURFACE('',#45403,0.4);
#2350=CYLINDRICAL_SURFACE('',#45404,0.4);
#2351=CYLINDRICAL_SURFACE('',#45405,0.4);
#2352=CYLINDRICAL_SURFACE('',#45406,0.5);
#2353=CYLINDRICAL_SURFACE('',#45407,0.25);
#2354=CYLINDRICAL_SURFACE('',#45408,0.49911);
#2355=CYLINDRICAL_SURFACE('',#45409,0.49911);
#2356=CYLINDRICAL_SURFACE('',#45410,0.49911);
#2357=CYLINDRICAL_SURFACE('',#45411,0.49911);
#2358=CYLINDRICAL_SURFACE('',#45412,0.4);
#2359=CYLINDRICAL_SURFACE('',#45413,0.49911);
#2360=CYLINDRICAL_SURFACE('',#45414,0.49911);
#2361=CYLINDRICAL_SURFACE('',#45415,0.4);
#2362=CYLINDRICAL_SURFACE('',#45416,0.49911);
#2363=CYLINDRICAL_SURFACE('',#45417,0.4);
#2364=CYLINDRICAL_SURFACE('',#45418,0.49911);
#2365=CYLINDRICAL_SURFACE('',#45419,0.4);
#2366=CYLINDRICAL_SURFACE('',#45420,0.4);
#2367=CYLINDRICAL_SURFACE('',#45421,0.4);
#2368=CYLINDRICAL_SURFACE('',#45422,0.5);
#2369=CYLINDRICAL_SURFACE('',#45423,0.49911);
#2370=CYLINDRICAL_SURFACE('',#45424,0.49911);
#2371=CYLINDRICAL_SURFACE('',#45425,0.49911);
#2372=CYLINDRICAL_SURFACE('',#45426,0.4);
#2373=CYLINDRICAL_SURFACE('',#45427,0.4);
#2374=CYLINDRICAL_SURFACE('',#45428,0.5);
#2375=CYLINDRICAL_SURFACE('',#45429,0.4);
#2376=CYLINDRICAL_SURFACE('',#45430,0.55);
#2377=CYLINDRICAL_SURFACE('',#45431,0.55);
#2378=CYLINDRICAL_SURFACE('',#45432,0.25);
#2379=CYLINDRICAL_SURFACE('',#45433,0.25);
#2380=CYLINDRICAL_SURFACE('',#45434,0.25);
#2381=CYLINDRICAL_SURFACE('',#45435,0.25);
#2382=CYLINDRICAL_SURFACE('',#45436,0.5);
#2383=CYLINDRICAL_SURFACE('',#45437,0.25);
#2384=CYLINDRICAL_SURFACE('',#45438,0.25);
#2385=CYLINDRICAL_SURFACE('',#45439,0.5);
#2386=CYLINDRICAL_SURFACE('',#45440,0.5);
#2387=CYLINDRICAL_SURFACE('',#45441,0.5);
#2388=CYLINDRICAL_SURFACE('',#45442,0.5);
#2389=CYLINDRICAL_SURFACE('',#45443,0.5);
#2390=CYLINDRICAL_SURFACE('',#45444,0.5);
#2391=CYLINDRICAL_SURFACE('',#45445,0.5);
#2392=CYLINDRICAL_SURFACE('',#45446,0.5);
#2393=CYLINDRICAL_SURFACE('',#45447,0.5);
#2394=CYLINDRICAL_SURFACE('',#45448,0.5);
#2395=CYLINDRICAL_SURFACE('',#45449,0.25);
#2396=CYLINDRICAL_SURFACE('',#45450,0.5);
#2397=CYLINDRICAL_SURFACE('',#45451,0.5);
#2398=CYLINDRICAL_SURFACE('',#45452,0.5);
#2399=CYLINDRICAL_SURFACE('',#45453,0.25);
#2400=CYLINDRICAL_SURFACE('',#45532,0.4);
#2401=CYLINDRICAL_SURFACE('',#45539,0.4);
#2402=CYLINDRICAL_SURFACE('',#45542,0.4);
#2403=CYLINDRICAL_SURFACE('',#45549,0.4);
#2404=CYLINDRICAL_SURFACE('',#45568,4.);
#2405=CYLINDRICAL_SURFACE('',#45582,4.);
#2406=CYLINDRICAL_SURFACE('',#45589,4.);
#2407=CYLINDRICAL_SURFACE('',#45596,4.);
#2408=CYLINDRICAL_SURFACE('',#45603,4.00000000000001);
#2409=CYLINDRICAL_SURFACE('',#45619,3.);
#2410=CYLINDRICAL_SURFACE('',#45622,3.);
#2411=CYLINDRICAL_SURFACE('',#45626,4.);
#2412=CYLINDRICAL_SURFACE('',#45648,1.5);
#2413=CYLINDRICAL_SURFACE('',#45655,1.5);
#2414=CYLINDRICAL_SURFACE('',#45662,1.5);
#2415=CYLINDRICAL_SURFACE('',#45669,1.5);
#2416=CYLINDRICAL_SURFACE('',#45671,3.);
#2417=CYLINDRICAL_SURFACE('',#45681,3.);
#2418=CYLINDRICAL_SURFACE('',#45684,3.);
#2419=CYLINDRICAL_SURFACE('',#45694,3.);
#2420=CYLINDRICAL_SURFACE('',#45697,1.);
#2421=CYLINDRICAL_SURFACE('',#45699,1.);
#2422=CYLINDRICAL_SURFACE('',#45702,1.);
#2423=CYLINDRICAL_SURFACE('',#45704,1.);
#2424=CYLINDRICAL_SURFACE('',#45738,4.);
#2425=CYLINDRICAL_SURFACE('',#45748,1.6);
#2426=CYLINDRICAL_SURFACE('',#45750,1.6);
#2427=CYLINDRICAL_SURFACE('',#45752,1.6);
#2428=CYLINDRICAL_SURFACE('',#45754,1.6);
#2429=CYLINDRICAL_SURFACE('',#45770,3.00000000000001);
#2430=CYLINDRICAL_SURFACE('',#45773,3.);
#2431=CYLINDRICAL_SURFACE('',#45790,4.00000001490116);
#2432=CYLINDRICAL_SURFACE('',#45792,3.30000001490116);
#2433=CYLINDRICAL_SURFACE('',#45799,4.);
#2434=CYLINDRICAL_SURFACE('',#45800,5.00000002980232);
#2435=CYLINDRICAL_SURFACE('',#45801,4.);
#2436=CYLINDRICAL_SURFACE('',#45866,5.00000001490116);
#2437=CYLINDRICAL_SURFACE('',#45868,5.00000001490116);
#2438=CYLINDRICAL_SURFACE('',#45870,5.00000001490118);
#2439=CYLINDRICAL_SURFACE('',#45872,5.00000001490116);
#2440=CYLINDRICAL_SURFACE('',#45874,5.00000001490116);
#2441=CYLINDRICAL_SURFACE('',#45876,5.00000001490115);
#2442=CYLINDRICAL_SURFACE('',#45879,3.3);
#2443=CYLINDRICAL_SURFACE('',#45881,4.);
#2444=CYLINDRICAL_SURFACE('',#45883,3.29999999999998);
#2445=CYLINDRICAL_SURFACE('',#45885,3.99999999999999);
#2446=CYLINDRICAL_SURFACE('',#45886,3.29999999999999);
#2447=CYLINDRICAL_SURFACE('',#45889,3.99999999999999);
#2448=CYLINDRICAL_SURFACE('',#45891,3.3);
#2449=CYLINDRICAL_SURFACE('',#45893,4.);
#2450=CYLINDRICAL_SURFACE('',#45895,3.3);
#2451=CYLINDRICAL_SURFACE('',#45897,4.);
#2452=CYLINDRICAL_SURFACE('',#45900,4.00000000000002);
#2453=CYLINDRICAL_SURFACE('',#45901,3.30000000000002);
#2454=CYLINDRICAL_SURFACE('',#45969,4.00000000000002);
#2455=CYLINDRICAL_SURFACE('',#45972,3.99999999999999);
#2456=CYLINDRICAL_SURFACE('',#45975,4.);
#2457=CYLINDRICAL_SURFACE('',#45978,4.);
#2458=CYLINDRICAL_SURFACE('',#45981,3.99999999999999);
#2459=CYLINDRICAL_SURFACE('',#45984,4.);
#2460=CYLINDRICAL_SURFACE('',#45987,4.00000001490116);
#2461=CYLINDRICAL_SURFACE('',#45992,3.);
#2462=CYLINDRICAL_SURFACE('',#45998,3.);
#2463=CYLINDRICAL_SURFACE('',#46032,3.);
#2464=CYLINDRICAL_SURFACE('',#46034,3.);
#2465=CYLINDRICAL_SURFACE('',#46110,3.);
#2466=CYLINDRICAL_SURFACE('',#46113,3.);
#2467=CYLINDRICAL_SURFACE('',#46115,3.);
#2468=CYLINDRICAL_SURFACE('',#46150,3.);
#2469=CYLINDRICAL_SURFACE('',#46153,3.);
#2470=CYLINDRICAL_SURFACE('',#46156,3.);
#2471=CYLINDRICAL_SURFACE('',#46157,3.);
#2472=CYLINDRICAL_SURFACE('',#46160,3.);
#2473=CYLINDRICAL_SURFACE('',#46163,3.);
#2474=CYLINDRICAL_SURFACE('',#46164,3.);
#2475=CYLINDRICAL_SURFACE('',#46167,3.);
#2476=CYLINDRICAL_SURFACE('',#46170,3.);
#2477=CYLINDRICAL_SURFACE('',#46171,3.);
#2478=CYLINDRICAL_SURFACE('',#46174,3.);
#2479=CYLINDRICAL_SURFACE('',#46177,3.);
#2480=CYLINDRICAL_SURFACE('',#46178,3.);
#2481=CYLINDRICAL_SURFACE('',#46181,3.);
#2482=CYLINDRICAL_SURFACE('',#46184,3.);
#2483=CYLINDRICAL_SURFACE('',#46185,2.);
#2484=CYLINDRICAL_SURFACE('',#46192,2.);
#2485=CYLINDRICAL_SURFACE('',#46199,2.);
#2486=CYLINDRICAL_SURFACE('',#46206,2.);
#2487=CYLINDRICAL_SURFACE('',#46213,2.);
#2488=CYLINDRICAL_SURFACE('',#46220,2.);
#2489=CYLINDRICAL_SURFACE('',#46228,4.1);
#2490=CYLINDRICAL_SURFACE('',#46230,1.2645);
#2491=CYLINDRICAL_SURFACE('',#46235,5.00000000000001);
#2492=CYLINDRICAL_SURFACE('',#46237,4.10000000000001);
#2493=CYLINDRICAL_SURFACE('',#46239,1.2645);
#2494=CYLINDRICAL_SURFACE('',#46242,5.);
#2495=CYLINDRICAL_SURFACE('',#46248,4.1);
#2496=CYLINDRICAL_SURFACE('',#46250,1.2645);
#2497=CYLINDRICAL_SURFACE('',#46257,4.99999999999998);
#2498=CYLINDRICAL_SURFACE('',#46259,4.10000000000002);
#2499=CYLINDRICAL_SURFACE('',#46261,1.2645);
#2500=CYLINDRICAL_SURFACE('',#46264,5.00000000000451);
#2501=CYLINDRICAL_SURFACE('',#46269,0.980923690613854);
#2502=CYLINDRICAL_SURFACE('',#46271,0.980923690613853);
#2503=CYLINDRICAL_SURFACE('',#46273,0.980923690613853);
#2504=CYLINDRICAL_SURFACE('',#46275,0.980923690613853);
#2505=CYLINDRICAL_SURFACE('',#46277,0.980923690613853);
#2506=CYLINDRICAL_SURFACE('',#46279,0.980923690613853);
#2507=CYLINDRICAL_SURFACE('',#46281,0.980923690613853);
#2508=CYLINDRICAL_SURFACE('',#46283,0.980923690613853);
#2509=CYLINDRICAL_SURFACE('',#46285,0.974564920818477);
#2510=CYLINDRICAL_SURFACE('',#46287,0.974564920818466);
#2511=CYLINDRICAL_SURFACE('',#46289,0.97456492081847);
#2512=CYLINDRICAL_SURFACE('',#46291,0.974564920818466);
#2513=CYLINDRICAL_SURFACE('',#46293,0.974564920818477);
#2514=CYLINDRICAL_SURFACE('',#46295,0.974564920818466);
#2515=CYLINDRICAL_SURFACE('',#46297,0.974564920818466);
#2516=CYLINDRICAL_SURFACE('',#46299,0.974564920818466);
#2517=CYLINDRICAL_SURFACE('',#46301,0.974564920818479);
#2518=CYLINDRICAL_SURFACE('',#46303,0.974564920818466);
#2519=CYLINDRICAL_SURFACE('',#46305,0.97456492081847);
#2520=CYLINDRICAL_SURFACE('',#46307,0.974564920818466);
#2521=CYLINDRICAL_SURFACE('',#46309,0.98092369061385);
#2522=CYLINDRICAL_SURFACE('',#46311,0.980923690613853);
#2523=CYLINDRICAL_SURFACE('',#46313,0.980923690613854);
#2524=CYLINDRICAL_SURFACE('',#46315,0.980923690613853);
#2525=ITEM_DEFINED_TRANSFORMATION($,$,#42630,#42684);
#2526=ITEM_DEFINED_TRANSFORMATION($,$,#42686,#42761);
#2527=ITEM_DEFINED_TRANSFORMATION($,$,#42763,#42867);
#2528=ITEM_DEFINED_TRANSFORMATION($,$,#42869,#42916);
#2529=ITEM_DEFINED_TRANSFORMATION($,$,#42918,#44079);
#2530=ITEM_DEFINED_TRANSFORMATION($,$,#44081,#44192);
#2531=ITEM_DEFINED_TRANSFORMATION($,$,#44194,#44391);
#2532=ITEM_DEFINED_TRANSFORMATION($,$,#44393,#44436);
#2533=ITEM_DEFINED_TRANSFORMATION($,$,#44438,#44563);
#2534=ITEM_DEFINED_TRANSFORMATION($,$,#44565,#44632);
#2535=ITEM_DEFINED_TRANSFORMATION($,$,#44634,#44687);
#2536=ITEM_DEFINED_TRANSFORMATION($,$,#42629,#45454);
#2537=ITEM_DEFINED_TRANSFORMATION($,$,#42629,#45455);
#2538=ITEM_DEFINED_TRANSFORMATION($,$,#42629,#45456);
#2539=ITEM_DEFINED_TRANSFORMATION($,$,#42629,#45457);
#2540=ITEM_DEFINED_TRANSFORMATION($,$,#42629,#45458);
#2541=ITEM_DEFINED_TRANSFORMATION($,$,#42629,#45459);
#2542=ITEM_DEFINED_TRANSFORMATION($,$,#42685,#45460);
#2543=ITEM_DEFINED_TRANSFORMATION($,$,#42685,#45461);
#2544=ITEM_DEFINED_TRANSFORMATION($,$,#42685,#45462);
#2545=ITEM_DEFINED_TRANSFORMATION($,$,#42685,#45463);
#2546=ITEM_DEFINED_TRANSFORMATION($,$,#42685,#45464);
#2547=ITEM_DEFINED_TRANSFORMATION($,$,#42685,#45465);
#2548=ITEM_DEFINED_TRANSFORMATION($,$,#42685,#45466);
#2549=ITEM_DEFINED_TRANSFORMATION($,$,#44392,#45467);
#2550=ITEM_DEFINED_TRANSFORMATION($,$,#44392,#45468);
#2551=ITEM_DEFINED_TRANSFORMATION($,$,#44392,#45469);
#2552=ITEM_DEFINED_TRANSFORMATION($,$,#44392,#45470);
#2553=ITEM_DEFINED_TRANSFORMATION($,$,#44392,#45471);
#2554=ITEM_DEFINED_TRANSFORMATION($,$,#44392,#45472);
#2555=ITEM_DEFINED_TRANSFORMATION($,$,#44392,#45473);
#2556=ITEM_DEFINED_TRANSFORMATION($,$,#44564,#45474);
#2557=ITEM_DEFINED_TRANSFORMATION($,$,#44564,#45475);
#2558=ITEM_DEFINED_TRANSFORMATION($,$,#44564,#45476);
#2559=ITEM_DEFINED_TRANSFORMATION($,$,#44564,#45477);
#2560=ITEM_DEFINED_TRANSFORMATION($,$,#44564,#45478);
#2561=ITEM_DEFINED_TRANSFORMATION($,$,#44564,#45479);
#2562=ITEM_DEFINED_TRANSFORMATION($,$,#42762,#45480);
#2563=ITEM_DEFINED_TRANSFORMATION($,$,#42762,#45481);
#2564=ITEM_DEFINED_TRANSFORMATION($,$,#42762,#45482);
#2565=ITEM_DEFINED_TRANSFORMATION($,$,#42762,#45483);
#2566=ITEM_DEFINED_TRANSFORMATION($,$,#42868,#45484);
#2567=ITEM_DEFINED_TRANSFORMATION($,$,#42868,#45485);
#2568=ITEM_DEFINED_TRANSFORMATION($,$,#42868,#45486);
#2569=ITEM_DEFINED_TRANSFORMATION($,$,#42868,#45487);
#2570=ITEM_DEFINED_TRANSFORMATION($,$,#42868,#45488);
#2571=ITEM_DEFINED_TRANSFORMATION($,$,#42868,#45489);
#2572=ITEM_DEFINED_TRANSFORMATION($,$,#42868,#45490);
#2573=ITEM_DEFINED_TRANSFORMATION($,$,#42868,#45491);
#2574=ITEM_DEFINED_TRANSFORMATION($,$,#42868,#45492);
#2575=ITEM_DEFINED_TRANSFORMATION($,$,#42868,#45493);
#2576=ITEM_DEFINED_TRANSFORMATION($,$,#42868,#45494);
#2577=ITEM_DEFINED_TRANSFORMATION($,$,#42868,#45495);
#2578=ITEM_DEFINED_TRANSFORMATION($,$,#42917,#45496);
#2579=ITEM_DEFINED_TRANSFORMATION($,$,#44080,#45497);
#2580=ITEM_DEFINED_TRANSFORMATION($,$,#44193,#45498);
#2581=ITEM_DEFINED_TRANSFORMATION($,$,#44392,#45499);
#2582=ITEM_DEFINED_TRANSFORMATION($,$,#44392,#45500);
#2583=ITEM_DEFINED_TRANSFORMATION($,$,#44392,#45501);
#2584=ITEM_DEFINED_TRANSFORMATION($,$,#44392,#45502);
#2585=ITEM_DEFINED_TRANSFORMATION($,$,#44392,#45503);
#2586=ITEM_DEFINED_TRANSFORMATION($,$,#44392,#45504);
#2587=ITEM_DEFINED_TRANSFORMATION($,$,#44392,#45505);
#2588=ITEM_DEFINED_TRANSFORMATION($,$,#44437,#45506);
#2589=ITEM_DEFINED_TRANSFORMATION($,$,#44564,#45507);
#2590=ITEM_DEFINED_TRANSFORMATION($,$,#44633,#45508);
#2591=ITEM_DEFINED_TRANSFORMATION($,$,#44633,#45509);
#2592=ITEM_DEFINED_TRANSFORMATION($,$,#44633,#45510);
#2593=ITEM_DEFINED_TRANSFORMATION($,$,#44633,#45511);
#2594=ITEM_DEFINED_TRANSFORMATION($,$,#44633,#45512);
#2595=ITEM_DEFINED_TRANSFORMATION($,$,#44633,#45513);
#2596=ITEM_DEFINED_TRANSFORMATION($,$,#44633,#45514);
#2597=ITEM_DEFINED_TRANSFORMATION($,$,#44633,#45515);
#2598=ITEM_DEFINED_TRANSFORMATION($,$,#44633,#45516);
#2599=ITEM_DEFINED_TRANSFORMATION($,$,#44633,#45517);
#2600=ITEM_DEFINED_TRANSFORMATION($,$,#44633,#45518);
#2601=ITEM_DEFINED_TRANSFORMATION($,$,#44633,#45519);
#2602=ITEM_DEFINED_TRANSFORMATION($,$,#44633,#45520);
#2603=ITEM_DEFINED_TRANSFORMATION($,$,#44633,#45521);
#2604=ITEM_DEFINED_TRANSFORMATION($,$,#44633,#45522);
#2605=ITEM_DEFINED_TRANSFORMATION($,$,#44688,#45523);
#2606=ITEM_DEFINED_TRANSFORMATION($,$,#42628,#46319);
#2607=ITEM_DEFINED_TRANSFORMATION($,$,#45524,#46320);
#2608=ITEM_DEFINED_TRANSFORMATION($,$,#45524,#46321);
#2609=ITEM_DEFINED_TRANSFORMATION($,$,#45524,#46322);
#2610=ITEM_DEFINED_TRANSFORMATION($,$,#45524,#46323);
#2611=ITEM_DEFINED_TRANSFORMATION($,$,#45524,#46324);
#2612=ITEM_DEFINED_TRANSFORMATION($,$,#45524,#46325);
#2613=ITEM_DEFINED_TRANSFORMATION($,$,#45564,#46326);
#2614=ITEM_DEFINED_TRANSFORMATION($,$,#45777,#46327);
#2615=(
REPRESENTATION_RELATIONSHIP($,$,#72321,#72320)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2525)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2616=(
REPRESENTATION_RELATIONSHIP($,$,#72323,#72322)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2526)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2617=(
REPRESENTATION_RELATIONSHIP($,$,#72325,#72324)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2527)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2618=(
REPRESENTATION_RELATIONSHIP($,$,#72327,#72326)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2528)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2619=(
REPRESENTATION_RELATIONSHIP($,$,#72329,#72328)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2529)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2620=(
REPRESENTATION_RELATIONSHIP($,$,#72331,#72330)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2530)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2621=(
REPRESENTATION_RELATIONSHIP($,$,#72333,#72332)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2531)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2622=(
REPRESENTATION_RELATIONSHIP($,$,#72335,#72334)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2532)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2623=(
REPRESENTATION_RELATIONSHIP($,$,#72337,#72336)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2533)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2624=(
REPRESENTATION_RELATIONSHIP($,$,#72339,#72338)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2534)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2625=(
REPRESENTATION_RELATIONSHIP($,$,#72341,#72340)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2535)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2626=(
REPRESENTATION_RELATIONSHIP($,$,#72320,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2536)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2627=(
REPRESENTATION_RELATIONSHIP($,$,#72320,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2537)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2628=(
REPRESENTATION_RELATIONSHIP($,$,#72320,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2538)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2629=(
REPRESENTATION_RELATIONSHIP($,$,#72320,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2539)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2630=(
REPRESENTATION_RELATIONSHIP($,$,#72320,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2540)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2631=(
REPRESENTATION_RELATIONSHIP($,$,#72320,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2541)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2632=(
REPRESENTATION_RELATIONSHIP($,$,#72322,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2542)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2633=(
REPRESENTATION_RELATIONSHIP($,$,#72322,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2543)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2634=(
REPRESENTATION_RELATIONSHIP($,$,#72322,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2544)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2635=(
REPRESENTATION_RELATIONSHIP($,$,#72322,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2545)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2636=(
REPRESENTATION_RELATIONSHIP($,$,#72322,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2546)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2637=(
REPRESENTATION_RELATIONSHIP($,$,#72322,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2547)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2638=(
REPRESENTATION_RELATIONSHIP($,$,#72322,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2548)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2639=(
REPRESENTATION_RELATIONSHIP($,$,#72334,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2549)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2640=(
REPRESENTATION_RELATIONSHIP($,$,#72334,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2550)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2641=(
REPRESENTATION_RELATIONSHIP($,$,#72334,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2551)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2642=(
REPRESENTATION_RELATIONSHIP($,$,#72334,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2552)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2643=(
REPRESENTATION_RELATIONSHIP($,$,#72334,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2553)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2644=(
REPRESENTATION_RELATIONSHIP($,$,#72334,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2554)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2645=(
REPRESENTATION_RELATIONSHIP($,$,#72334,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2555)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2646=(
REPRESENTATION_RELATIONSHIP($,$,#72338,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2556)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2647=(
REPRESENTATION_RELATIONSHIP($,$,#72338,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2557)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2648=(
REPRESENTATION_RELATIONSHIP($,$,#72338,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2558)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2649=(
REPRESENTATION_RELATIONSHIP($,$,#72338,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2559)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2650=(
REPRESENTATION_RELATIONSHIP($,$,#72338,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2560)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2651=(
REPRESENTATION_RELATIONSHIP($,$,#72338,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2561)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2652=(
REPRESENTATION_RELATIONSHIP($,$,#72324,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2562)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2653=(
REPRESENTATION_RELATIONSHIP($,$,#72324,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2563)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2654=(
REPRESENTATION_RELATIONSHIP($,$,#72324,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2564)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2655=(
REPRESENTATION_RELATIONSHIP($,$,#72324,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2565)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2656=(
REPRESENTATION_RELATIONSHIP($,$,#72326,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2566)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2657=(
REPRESENTATION_RELATIONSHIP($,$,#72326,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2567)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2658=(
REPRESENTATION_RELATIONSHIP($,$,#72326,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2568)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2659=(
REPRESENTATION_RELATIONSHIP($,$,#72326,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2569)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2660=(
REPRESENTATION_RELATIONSHIP($,$,#72326,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2570)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2661=(
REPRESENTATION_RELATIONSHIP($,$,#72326,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2571)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2662=(
REPRESENTATION_RELATIONSHIP($,$,#72326,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2572)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2663=(
REPRESENTATION_RELATIONSHIP($,$,#72326,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2573)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2664=(
REPRESENTATION_RELATIONSHIP($,$,#72326,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2574)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2665=(
REPRESENTATION_RELATIONSHIP($,$,#72326,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2575)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2666=(
REPRESENTATION_RELATIONSHIP($,$,#72326,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2576)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2667=(
REPRESENTATION_RELATIONSHIP($,$,#72326,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2577)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2668=(
REPRESENTATION_RELATIONSHIP($,$,#72328,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2578)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2669=(
REPRESENTATION_RELATIONSHIP($,$,#72330,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2579)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2670=(
REPRESENTATION_RELATIONSHIP($,$,#72332,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2580)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2671=(
REPRESENTATION_RELATIONSHIP($,$,#72334,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2581)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2672=(
REPRESENTATION_RELATIONSHIP($,$,#72334,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2582)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2673=(
REPRESENTATION_RELATIONSHIP($,$,#72334,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2583)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2674=(
REPRESENTATION_RELATIONSHIP($,$,#72334,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2584)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2675=(
REPRESENTATION_RELATIONSHIP($,$,#72334,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2585)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2676=(
REPRESENTATION_RELATIONSHIP($,$,#72334,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2586)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2677=(
REPRESENTATION_RELATIONSHIP($,$,#72334,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2587)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2678=(
REPRESENTATION_RELATIONSHIP($,$,#72336,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2588)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2679=(
REPRESENTATION_RELATIONSHIP($,$,#72338,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2589)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2680=(
REPRESENTATION_RELATIONSHIP($,$,#72340,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2590)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2681=(
REPRESENTATION_RELATIONSHIP($,$,#72340,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2591)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2682=(
REPRESENTATION_RELATIONSHIP($,$,#72340,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2592)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2683=(
REPRESENTATION_RELATIONSHIP($,$,#72340,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2593)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2684=(
REPRESENTATION_RELATIONSHIP($,$,#72340,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2594)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2685=(
REPRESENTATION_RELATIONSHIP($,$,#72340,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2595)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2686=(
REPRESENTATION_RELATIONSHIP($,$,#72340,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2596)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2687=(
REPRESENTATION_RELATIONSHIP($,$,#72340,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2597)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2688=(
REPRESENTATION_RELATIONSHIP($,$,#72340,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2598)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2689=(
REPRESENTATION_RELATIONSHIP($,$,#72340,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2599)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2690=(
REPRESENTATION_RELATIONSHIP($,$,#72340,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2600)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2691=(
REPRESENTATION_RELATIONSHIP($,$,#72340,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2601)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2692=(
REPRESENTATION_RELATIONSHIP($,$,#72340,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2602)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2693=(
REPRESENTATION_RELATIONSHIP($,$,#72340,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2603)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2694=(
REPRESENTATION_RELATIONSHIP($,$,#72340,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2604)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2695=(
REPRESENTATION_RELATIONSHIP($,$,#72342,#72319)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2605)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2696=(
REPRESENTATION_RELATIONSHIP($,$,#72319,#72318)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2606)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2697=(
REPRESENTATION_RELATIONSHIP($,$,#72343,#72318)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2607)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2698=(
REPRESENTATION_RELATIONSHIP($,$,#72343,#72318)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2608)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2699=(
REPRESENTATION_RELATIONSHIP($,$,#72343,#72318)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2609)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2700=(
REPRESENTATION_RELATIONSHIP($,$,#72343,#72318)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2610)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2701=(
REPRESENTATION_RELATIONSHIP($,$,#72343,#72318)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2611)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2702=(
REPRESENTATION_RELATIONSHIP($,$,#72343,#72318)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2612)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2703=(
REPRESENTATION_RELATIONSHIP($,$,#72344,#72318)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2613)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2704=(
REPRESENTATION_RELATIONSHIP($,$,#72345,#72318)
REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#2614)
SHAPE_REPRESENTATION_RELATIONSHIP()
);
#2705=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2615,#72204);
#2706=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2616,#72207);
#2707=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2617,#72210);
#2708=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2618,#72213);
#2709=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2619,#72216);
#2710=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2620,#72219);
#2711=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2621,#72222);
#2712=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2622,#72225);
#2713=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2623,#72228);
#2714=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2624,#72231);
#2715=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2625,#72234);
#2716=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2626,#72236);
#2717=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2627,#72237);
#2718=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2628,#72238);
#2719=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2629,#72239);
#2720=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2630,#72240);
#2721=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2631,#72241);
#2722=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2632,#72242);
#2723=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2633,#72243);
#2724=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2634,#72244);
#2725=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2635,#72245);
#2726=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2636,#72246);
#2727=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2637,#72247);
#2728=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2638,#72248);
#2729=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2639,#72249);
#2730=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2640,#72250);
#2731=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2641,#72251);
#2732=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2642,#72252);
#2733=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2643,#72253);
#2734=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2644,#72254);
#2735=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2645,#72255);
#2736=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2646,#72256);
#2737=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2647,#72257);
#2738=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2648,#72258);
#2739=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2649,#72259);
#2740=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2650,#72260);
#2741=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2651,#72261);
#2742=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2652,#72262);
#2743=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2653,#72263);
#2744=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2654,#72264);
#2745=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2655,#72265);
#2746=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2656,#72266);
#2747=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2657,#72267);
#2748=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2658,#72268);
#2749=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2659,#72269);
#2750=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2660,#72270);
#2751=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2661,#72271);
#2752=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2662,#72272);
#2753=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2663,#72273);
#2754=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2664,#72274);
#2755=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2665,#72275);
#2756=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2666,#72276);
#2757=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2667,#72277);
#2758=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2668,#72278);
#2759=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2669,#72279);
#2760=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2670,#72280);
#2761=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2671,#72281);
#2762=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2672,#72282);
#2763=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2673,#72283);
#2764=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2674,#72284);
#2765=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2675,#72285);
#2766=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2676,#72286);
#2767=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2677,#72287);
#2768=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2678,#72288);
#2769=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2679,#72289);
#2770=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2680,#72290);
#2771=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2681,#72291);
#2772=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2682,#72292);
#2773=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2683,#72293);
#2774=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2684,#72294);
#2775=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2685,#72295);
#2776=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2686,#72296);
#2777=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2687,#72297);
#2778=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2688,#72298);
#2779=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2689,#72299);
#2780=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2690,#72300);
#2781=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2691,#72301);
#2782=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2692,#72302);
#2783=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2693,#72303);
#2784=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2694,#72304);
#2785=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2695,#72305);
#2786=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2696,#72309);
#2787=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2697,#72310);
#2788=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2698,#72311);
#2789=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2699,#72312);
#2790=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2700,#72313);
#2791=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2701,#72314);
#2792=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2702,#72315);
#2793=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2703,#72316);
#2794=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#2704,#72317);
#2795=NEXT_ASSEMBLY_USAGE_OCCURRENCE('COMPOUND:1','COMPOUND:1',
'COMPOUND:1',#72349,#72350,'COMPOUND:1');
#2796=NEXT_ASSEMBLY_USAGE_OCCURRENCE('COMPOUND (1):1','COMPOUND (1):1',
'COMPOUND (1):1',#72351,#72352,'COMPOUND (1):1');
#2797=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOLID (2):1','SOLID (2):1',
'SOLID (2):1',#72353,#72354,'SOLID (2):1');
#2798=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOLID (3):1','SOLID (3):1',
'SOLID (3):1',#72355,#72356,'SOLID (3):1');
#2799=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOLID (4):1','SOLID (4):1',
'SOLID (4):1',#72357,#72358,'SOLID (4):1');
#2800=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOLID (5):1','SOLID (5):1',
'SOLID (5):1',#72359,#72360,'SOLID (5):1');
#2801=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOLID (6):1','SOLID (6):1',
'SOLID (6):1',#72361,#72362,'SOLID (6):1');
#2802=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOLID:1','SOLID:1','SOLID:1',#72363,
#72364,'SOLID:1');
#2803=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOLID (7):1','SOLID (7):1',
'SOLID (7):1',#72365,#72366,'SOLID (7):1');
#2804=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOLID (1):1','SOLID (1):1',
'SOLID (1):1',#72367,#72368,'SOLID (1):1');
#2805=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOLID (8):1','SOLID (8):1',
'SOLID (8):1',#72369,#72370,'SOLID (8):1');
#2806=NEXT_ASSEMBLY_USAGE_OCCURRENCE('XKB5858-X-TP:1','XKB5858-X-TP:1',
'XKB5858-X-TP:1',#72348,#72349,'XKB5858-X-TP:1');
#2807=NEXT_ASSEMBLY_USAGE_OCCURRENCE('XKB5858-X-TP:2','XKB5858-X-TP:2',
'XKB5858-X-TP:2',#72348,#72349,'XKB5858-X-TP:2');
#2808=NEXT_ASSEMBLY_USAGE_OCCURRENCE('XKB5858-X-TP:3','XKB5858-X-TP:3',
'XKB5858-X-TP:3',#72348,#72349,'XKB5858-X-TP:3');
#2809=NEXT_ASSEMBLY_USAGE_OCCURRENCE('XKB5858-X-TP:4','XKB5858-X-TP:4',
'XKB5858-X-TP:4',#72348,#72349,'XKB5858-X-TP:4');
#2810=NEXT_ASSEMBLY_USAGE_OCCURRENCE('XKB5858-X-TP:5','XKB5858-X-TP:5',
'XKB5858-X-TP:5',#72348,#72349,'XKB5858-X-TP:5');
#2811=NEXT_ASSEMBLY_USAGE_OCCURRENCE('XKB5858-X-TP:6','XKB5858-X-TP:6',
'XKB5858-X-TP:6',#72348,#72349,'XKB5858-X-TP:6');
#2812=NEXT_ASSEMBLY_USAGE_OCCURRENCE('JC-128:1','JC-128:1','JC-128:1',#72348,
#72351,'JC-128:1');
#2813=NEXT_ASSEMBLY_USAGE_OCCURRENCE('JC-128:2','JC-128:2','JC-128:2',#72348,
#72351,'JC-128:2');
#2814=NEXT_ASSEMBLY_USAGE_OCCURRENCE('JC-128:3','JC-128:3','JC-128:3',#72348,
#72351,'JC-128:3');
#2815=NEXT_ASSEMBLY_USAGE_OCCURRENCE('JC-128:4','JC-128:4','JC-128:4',#72348,
#72351,'JC-128:4');
#2816=NEXT_ASSEMBLY_USAGE_OCCURRENCE('JC-128:5','JC-128:5','JC-128:5',#72348,
#72351,'JC-128:5');
#2817=NEXT_ASSEMBLY_USAGE_OCCURRENCE('JC-128:6','JC-128:6','JC-128:6',#72348,
#72351,'JC-128:6');
#2818=NEXT_ASSEMBLY_USAGE_OCCURRENCE('JC-128:7','JC-128:7','JC-128:7',#72348,
#72351,'JC-128:7');
#2819=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R_0603_1608Metric:1',
'R_0603_1608Metric:1','R_0603_1608Metric:1',#72348,#72363,
'R_0603_1608Metric:1');
#2820=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R_0603_1608Metric:2',
'R_0603_1608Metric:2','R_0603_1608Metric:2',#72348,#72363,
'R_0603_1608Metric:2');
#2821=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R_0603_1608Metric:3',
'R_0603_1608Metric:3','R_0603_1608Metric:3',#72348,#72363,
'R_0603_1608Metric:3');
#2822=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R_0603_1608Metric:4',
'R_0603_1608Metric:4','R_0603_1608Metric:4',#72348,#72363,
'R_0603_1608Metric:4');
#2823=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R_0603_1608Metric:5',
'R_0603_1608Metric:5','R_0603_1608Metric:5',#72348,#72363,
'R_0603_1608Metric:5');
#2824=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R_0603_1608Metric:6',
'R_0603_1608Metric:6','R_0603_1608Metric:6',#72348,#72363,
'R_0603_1608Metric:6');
#2825=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R_0603_1608Metric:7',
'R_0603_1608Metric:7','R_0603_1608Metric:7',#72348,#72363,
'R_0603_1608Metric:7');
#2826=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED_0805_2012Metric:1',
'LED_0805_2012Metric:1','LED_0805_2012Metric:1',#72348,#72367,
'LED_0805_2012Metric:1');
#2827=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED_0805_2012Metric:2',
'LED_0805_2012Metric:2','LED_0805_2012Metric:2',#72348,#72367,
'LED_0805_2012Metric:2');
#2828=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED_0805_2012Metric:3',
'LED_0805_2012Metric:3','LED_0805_2012Metric:3',#72348,#72367,
'LED_0805_2012Metric:3');
#2829=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED_0805_2012Metric:4',
'LED_0805_2012Metric:4','LED_0805_2012Metric:4',#72348,#72367,
'LED_0805_2012Metric:4');
#2830=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED_0805_2012Metric:5',
'LED_0805_2012Metric:5','LED_0805_2012Metric:5',#72348,#72367,
'LED_0805_2012Metric:5');
#2831=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED_0805_2012Metric:6',
'LED_0805_2012Metric:6','LED_0805_2012Metric:6',#72348,#72367,
'LED_0805_2012Metric:6');
#2832=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CP_Radial_D5.0mm_P2:1',
'CP_Radial_D5.0mm_P2:1','CP_Radial_D5.0mm_P2:1',#72348,#72353,
'CP_Radial_D5.0mm_P2:1');
#2833=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CP_Radial_D5.0mm_P2:2',
'CP_Radial_D5.0mm_P2:2','CP_Radial_D5.0mm_P2:2',#72348,#72353,
'CP_Radial_D5.0mm_P2:2');
#2834=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CP_Radial_D5.0mm_P2:3',
'CP_Radial_D5.0mm_P2:3','CP_Radial_D5.0mm_P2:3',#72348,#72353,
'CP_Radial_D5.0mm_P2:3');
#2835=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CP_Radial_D5.0mm_P2:4',
'CP_Radial_D5.0mm_P2:4','CP_Radial_D5.0mm_P2:4',#72348,#72353,
'CP_Radial_D5.0mm_P2:4');
#2836=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_Rect_L7.2mm_W5.5mm_P5:1',
'C_Rect_L7.2mm_W5.5mm_P5:1','C_Rect_L7.2mm_W5.5mm_P5:1',#72348,#72355,
'C_Rect_L7.2mm_W5.5mm_P5:1');
#2837=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_Rect_L7.2mm_W5.5mm_P5:2',
'C_Rect_L7.2mm_W5.5mm_P5:2','C_Rect_L7.2mm_W5.5mm_P5:2',#72348,#72355,
'C_Rect_L7.2mm_W5.5mm_P5:2');
#2838=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_Rect_L7.2mm_W5.5mm_P5:3',
'C_Rect_L7.2mm_W5.5mm_P5:3','C_Rect_L7.2mm_W5.5mm_P5:3',#72348,#72355,
'C_Rect_L7.2mm_W5.5mm_P5:3');
#2839=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_Rect_L7.2mm_W5.5mm_P5:4',
'C_Rect_L7.2mm_W5.5mm_P5:4','C_Rect_L7.2mm_W5.5mm_P5:4',#72348,#72355,
'C_Rect_L7.2mm_W5.5mm_P5:4');
#2840=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_Rect_L7.2mm_W5.5mm_P5:5',
'C_Rect_L7.2mm_W5.5mm_P5:5','C_Rect_L7.2mm_W5.5mm_P5:5',#72348,#72355,
'C_Rect_L7.2mm_W5.5mm_P5:5');
#2841=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_Rect_L7.2mm_W5.5mm_P5:6',
'C_Rect_L7.2mm_W5.5mm_P5:6','C_Rect_L7.2mm_W5.5mm_P5:6',#72348,#72355,
'C_Rect_L7.2mm_W5.5mm_P5:6');
#2842=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_Rect_L7.2mm_W5.5mm_P5:7',
'C_Rect_L7.2mm_W5.5mm_P5:7','C_Rect_L7.2mm_W5.5mm_P5:7',#72348,#72355,
'C_Rect_L7.2mm_W5.5mm_P5:7');
#2843=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_Rect_L7.2mm_W5.5mm_P5:8',
'C_Rect_L7.2mm_W5.5mm_P5:8','C_Rect_L7.2mm_W5.5mm_P5:8',#72348,#72355,
'C_Rect_L7.2mm_W5.5mm_P5:8');
#2844=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_Rect_L7.2mm_W5.5mm_P5:9',
'C_Rect_L7.2mm_W5.5mm_P5:9','C_Rect_L7.2mm_W5.5mm_P5:9',#72348,#72355,
'C_Rect_L7.2mm_W5.5mm_P5:9');
#2845=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_Rect_L7.2mm_W5.5mm_P5:10',
'C_Rect_L7.2mm_W5.5mm_P5:10','C_Rect_L7.2mm_W5.5mm_P5:10',#72348,#72355,
'C_Rect_L7.2mm_W5.5mm_P5:10');
#2846=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_Rect_L7.2mm_W5.5mm_P5:11',
'C_Rect_L7.2mm_W5.5mm_P5:11','C_Rect_L7.2mm_W5.5mm_P5:11',#72348,#72355,
'C_Rect_L7.2mm_W5.5mm_P5:11');
#2847=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_Rect_L7.2mm_W5.5mm_P5:12',
'C_Rect_L7.2mm_W5.5mm_P5:12','C_Rect_L7.2mm_W5.5mm_P5:12',#72348,#72355,
'C_Rect_L7.2mm_W5.5mm_P5:12');
#2848=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LQFP-48_7x7mm_P0:1',
'LQFP-48_7x7mm_P0:1','LQFP-48_7x7mm_P0:1',#72348,#72357,
'LQFP-48_7x7mm_P0:1');
#2849=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOT-223:1','SOT-223:1',
'SOT-223:1',#72348,#72359,'SOT-223:1');
#2850=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SW_PUSH_6mm:1','SW_PUSH_6mm:1',
'SW_PUSH_6mm:1',#72348,#72361,'SW_PUSH_6mm:1');
#2851=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R_0603_1608Metric:8',
'R_0603_1608Metric:8','R_0603_1608Metric:8',#72348,#72363,
'R_0603_1608Metric:8');
#2852=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R_0603_1608Metric:9',
'R_0603_1608Metric:9','R_0603_1608Metric:9',#72348,#72363,
'R_0603_1608Metric:9');
#2853=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R_0603_1608Metric:10',
'R_0603_1608Metric:10','R_0603_1608Metric:10',#72348,#72363,
'R_0603_1608Metric:10');
#2854=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R_0603_1608Metric:11',
'R_0603_1608Metric:11','R_0603_1608Metric:11',#72348,#72363,
'R_0603_1608Metric:11');
#2855=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R_0603_1608Metric:12',
'R_0603_1608Metric:12','R_0603_1608Metric:12',#72348,#72363,
'R_0603_1608Metric:12');
#2856=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R_0603_1608Metric:13',
'R_0603_1608Metric:13','R_0603_1608Metric:13',#72348,#72363,
'R_0603_1608Metric:13');
#2857=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R_0603_1608Metric:14',
'R_0603_1608Metric:14','R_0603_1608Metric:14',#72348,#72363,
'R_0603_1608Metric:14');
#2858=NEXT_ASSEMBLY_USAGE_OCCURRENCE('PinHeader_1x05_P2:1',
'PinHeader_1x05_P2:1','PinHeader_1x05_P2:1',#72348,#72365,
'PinHeader_1x05_P2:1');
#2859=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED_0805_2012Metric:7',
'LED_0805_2012Metric:7','LED_0805_2012Metric:7',#72348,#72367,
'LED_0805_2012Metric:7');
#2860=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_0603_1608Metric:1',
'C_0603_1608Metric:1','C_0603_1608Metric:1',#72348,#72369,
'C_0603_1608Metric:1');
#2861=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_0603_1608Metric:2',
'C_0603_1608Metric:2','C_0603_1608Metric:2',#72348,#72369,
'C_0603_1608Metric:2');
#2862=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_0603_1608Metric:3',
'C_0603_1608Metric:3','C_0603_1608Metric:3',#72348,#72369,
'C_0603_1608Metric:3');
#2863=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_0603_1608Metric:4',
'C_0603_1608Metric:4','C_0603_1608Metric:4',#72348,#72369,
'C_0603_1608Metric:4');
#2864=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_0603_1608Metric:5',
'C_0603_1608Metric:5','C_0603_1608Metric:5',#72348,#72369,
'C_0603_1608Metric:5');
#2865=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_0603_1608Metric:6',
'C_0603_1608Metric:6','C_0603_1608Metric:6',#72348,#72369,
'C_0603_1608Metric:6');
#2866=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_0603_1608Metric:7',
'C_0603_1608Metric:7','C_0603_1608Metric:7',#72348,#72369,
'C_0603_1608Metric:7');
#2867=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_0603_1608Metric:8',
'C_0603_1608Metric:8','C_0603_1608Metric:8',#72348,#72369,
'C_0603_1608Metric:8');
#2868=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_0603_1608Metric:9',
'C_0603_1608Metric:9','C_0603_1608Metric:9',#72348,#72369,
'C_0603_1608Metric:9');
#2869=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_0603_1608Metric:10',
'C_0603_1608Metric:10','C_0603_1608Metric:10',#72348,#72369,
'C_0603_1608Metric:10');
#2870=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_0603_1608Metric:11',
'C_0603_1608Metric:11','C_0603_1608Metric:11',#72348,#72369,
'C_0603_1608Metric:11');
#2871=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_0603_1608Metric:12',
'C_0603_1608Metric:12','C_0603_1608Metric:12',#72348,#72369,
'C_0603_1608Metric:12');
#2872=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_0603_1608Metric:13',
'C_0603_1608Metric:13','C_0603_1608Metric:13',#72348,#72369,
'C_0603_1608Metric:13');
#2873=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_0603_1608Metric:14',
'C_0603_1608Metric:14','C_0603_1608Metric:14',#72348,#72369,
'C_0603_1608Metric:14');
#2874=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C_0603_1608Metric:15',
'C_0603_1608Metric:15','C_0603_1608Metric:15',#72348,#72369,
'C_0603_1608Metric:15');
#2875=NEXT_ASSEMBLY_USAGE_OCCURRENCE('COMPOUND (2):1','COMPOUND (2):1',
'COMPOUND (2):1',#72348,#72371,'COMPOUND (2):1');
#2876=NEXT_ASSEMBLY_USAGE_OCCURRENCE('audioMux v1:1','audioMux v1:1',
'audioMux v1:1',#72347,#72348,'audioMux v1:1');
#2877=NEXT_ASSEMBLY_USAGE_OCCURRENCE('push_tops v6:1','push_tops v6:1',
'push_tops v6:1',#72347,#72372,'push_tops v6:1');
#2878=NEXT_ASSEMBLY_USAGE_OCCURRENCE('push_tops v6:2','push_tops v6:2',
'push_tops v6:2',#72347,#72372,'push_tops v6:2');
#2879=NEXT_ASSEMBLY_USAGE_OCCURRENCE('push_tops v6:3','push_tops v6:3',
'push_tops v6:3',#72347,#72372,'push_tops v6:3');
#2880=NEXT_ASSEMBLY_USAGE_OCCURRENCE('push_tops v6:4','push_tops v6:4',
'push_tops v6:4',#72347,#72372,'push_tops v6:4');
#2881=NEXT_ASSEMBLY_USAGE_OCCURRENCE('push_tops v6:5','push_tops v6:5',
'push_tops v6:5',#72347,#72372,'push_tops v6:5');
#2882=NEXT_ASSEMBLY_USAGE_OCCURRENCE('push_tops v6:6','push_tops v6:6',
'push_tops v6:6',#72347,#72372,'push_tops v6:6');
#2883=NEXT_ASSEMBLY_USAGE_OCCURRENCE('upper_half:1','upper_half:1',
'upper_half:1',#72347,#72373,'upper_half:1');
#2884=NEXT_ASSEMBLY_USAGE_OCCURRENCE('shim:1','shim:1','shim:1',#72347,
#72374,'shim:1');
#2885=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#72321,#2901);
#2886=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#72323,#2902);
#2887=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#72325,#2903);
#2888=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#72327,#2904);
#2889=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#72329,#2905);
#2890=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#72331,#2906);
#2891=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#72333,#2907);
#2892=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#72335,#2908);
#2893=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#72337,#2909);
#2894=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#72339,#2910);
#2895=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#72341,#2911);
#2896=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#72342,#2912);
#2897=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#72343,#2913);
#2898=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#72344,#2914);
#2899=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#72345,#2915);
#2900=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#72318,#2916);
#2901=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4569,#4570,#4571,#4572,#4573,
#4574,#4575,#4576),#72142);
#2902=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4577,#4578,#4579,#4580,#4581,
#4582),#72144);
#2903=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#407),#72146);
#2904=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4583),#72148);
#2905=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4584),#72150);
#2906=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4585),#72152);
#2907=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4586),#72154);
#2908=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4587),#72156);
#2909=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4588),#72158);
#2910=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4589),#72160);
#2911=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4590),#72162);
#2912=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4591),#72163);
#2913=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4592),#72164);
#2914=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4593),#72165);
#2915=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4594,#4595),#72166);
#2916=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4596),#72139);
#2917=FACE_BOUND('',#6726,.T.);
#2918=FACE_BOUND('',#6779,.T.);
#2919=FACE_BOUND('',#6785,.T.);
#2920=FACE_BOUND('',#6792,.T.);
#2921=FACE_BOUND('',#6793,.T.);
#2922=FACE_BOUND('',#6794,.T.);
#2923=FACE_BOUND('',#6795,.T.);
#2924=FACE_BOUND('',#6796,.T.);
#2925=FACE_BOUND('',#6834,.T.);
#2926=FACE_BOUND('',#6835,.T.);
#2927=FACE_BOUND('',#6881,.T.);
#2928=FACE_BOUND('',#6882,.T.);
#2929=FACE_BOUND('',#7183,.T.);
#2930=FACE_BOUND('',#7747,.T.);
#2931=FACE_BOUND('',#7748,.T.);
#2932=FACE_BOUND('',#7749,.T.);
#2933=FACE_BOUND('',#7750,.T.);
#2934=FACE_BOUND('',#7751,.T.);
#2935=FACE_BOUND('',#7884,.T.);
#2936=FACE_BOUND('',#7885,.T.);
#2937=FACE_BOUND('',#7886,.T.);
#2938=FACE_BOUND('',#7887,.T.);
#2939=FACE_BOUND('',#7888,.T.);
#2940=FACE_BOUND('',#7890,.T.);
#2941=FACE_BOUND('',#7891,.T.);
#2942=FACE_BOUND('',#7892,.T.);
#2943=FACE_BOUND('',#7893,.T.);
#2944=FACE_BOUND('',#7894,.T.);
#2945=FACE_BOUND('',#8025,.T.);
#2946=FACE_BOUND('',#8075,.T.);
#2947=FACE_BOUND('',#8085,.T.);
#2948=FACE_BOUND('',#8099,.T.);
#2949=FACE_BOUND('',#8100,.T.);
#2950=FACE_BOUND('',#8101,.T.);
#2951=FACE_BOUND('',#8102,.T.);
#2952=FACE_BOUND('',#8103,.T.);
#2953=FACE_BOUND('',#8104,.T.);
#2954=FACE_BOUND('',#8105,.T.);
#2955=FACE_BOUND('',#8106,.T.);
#2956=FACE_BOUND('',#8107,.T.);
#2957=FACE_BOUND('',#8108,.T.);
#2958=FACE_BOUND('',#8109,.T.);
#2959=FACE_BOUND('',#8110,.T.);
#2960=FACE_BOUND('',#8111,.T.);
#2961=FACE_BOUND('',#8112,.T.);
#2962=FACE_BOUND('',#8113,.T.);
#2963=FACE_BOUND('',#8114,.T.);
#2964=FACE_BOUND('',#8115,.T.);
#2965=FACE_BOUND('',#8116,.T.);
#2966=FACE_BOUND('',#8117,.T.);
#2967=FACE_BOUND('',#8118,.T.);
#2968=FACE_BOUND('',#8119,.T.);
#2969=FACE_BOUND('',#8120,.T.);
#2970=FACE_BOUND('',#8121,.T.);
#2971=FACE_BOUND('',#8122,.T.);
#2972=FACE_BOUND('',#8123,.T.);
#2973=FACE_BOUND('',#8124,.T.);
#2974=FACE_BOUND('',#8125,.T.);
#2975=FACE_BOUND('',#8126,.T.);
#2976=FACE_BOUND('',#8127,.T.);
#2977=FACE_BOUND('',#8128,.T.);
#2978=FACE_BOUND('',#8129,.T.);
#2979=FACE_BOUND('',#8130,.T.);
#2980=FACE_BOUND('',#8131,.T.);
#2981=FACE_BOUND('',#8132,.T.);
#2982=FACE_BOUND('',#8133,.T.);
#2983=FACE_BOUND('',#8134,.T.);
#2984=FACE_BOUND('',#8135,.T.);
#2985=FACE_BOUND('',#8136,.T.);
#2986=FACE_BOUND('',#8137,.T.);
#2987=FACE_BOUND('',#8138,.T.);
#2988=FACE_BOUND('',#8139,.T.);
#2989=FACE_BOUND('',#8140,.T.);
#2990=FACE_BOUND('',#8141,.T.);
#2991=FACE_BOUND('',#8142,.T.);
#2992=FACE_BOUND('',#8143,.T.);
#2993=FACE_BOUND('',#8144,.T.);
#2994=FACE_BOUND('',#8145,.T.);
#2995=FACE_BOUND('',#8146,.T.);
#2996=FACE_BOUND('',#8147,.T.);
#2997=FACE_BOUND('',#8148,.T.);
#2998=FACE_BOUND('',#8149,.T.);
#2999=FACE_BOUND('',#8150,.T.);
#3000=FACE_BOUND('',#8151,.T.);
#3001=FACE_BOUND('',#8152,.T.);
#3002=FACE_BOUND('',#8153,.T.);
#3003=FACE_BOUND('',#8154,.T.);
#3004=FACE_BOUND('',#8155,.T.);
#3005=FACE_BOUND('',#8156,.T.);
#3006=FACE_BOUND('',#8157,.T.);
#3007=FACE_BOUND('',#8158,.T.);
#3008=FACE_BOUND('',#8159,.T.);
#3009=FACE_BOUND('',#8160,.T.);
#3010=FACE_BOUND('',#8161,.T.);
#3011=FACE_BOUND('',#8162,.T.);
#3012=FACE_BOUND('',#8163,.T.);
#3013=FACE_BOUND('',#8164,.T.);
#3014=FACE_BOUND('',#8165,.T.);
#3015=FACE_BOUND('',#8166,.T.);
#3016=FACE_BOUND('',#8167,.T.);
#3017=FACE_BOUND('',#8168,.T.);
#3018=FACE_BOUND('',#8169,.T.);
#3019=FACE_BOUND('',#8170,.T.);
#3020=FACE_BOUND('',#8171,.T.);
#3021=FACE_BOUND('',#8172,.T.);
#3022=FACE_BOUND('',#8173,.T.);
#3023=FACE_BOUND('',#8174,.T.);
#3024=FACE_BOUND('',#8175,.T.);
#3025=FACE_BOUND('',#8176,.T.);
#3026=FACE_BOUND('',#8177,.T.);
#3027=FACE_BOUND('',#8178,.T.);
#3028=FACE_BOUND('',#8179,.T.);
#3029=FACE_BOUND('',#8180,.T.);
#3030=FACE_BOUND('',#8181,.T.);
#3031=FACE_BOUND('',#8182,.T.);
#3032=FACE_BOUND('',#8183,.T.);
#3033=FACE_BOUND('',#8184,.T.);
#3034=FACE_BOUND('',#8185,.T.);
#3035=FACE_BOUND('',#8186,.T.);
#3036=FACE_BOUND('',#8187,.T.);
#3037=FACE_BOUND('',#8188,.T.);
#3038=FACE_BOUND('',#8189,.T.);
#3039=FACE_BOUND('',#8190,.T.);
#3040=FACE_BOUND('',#8191,.T.);
#3041=FACE_BOUND('',#8192,.T.);
#3042=FACE_BOUND('',#8193,.T.);
#3043=FACE_BOUND('',#8194,.T.);
#3044=FACE_BOUND('',#8195,.T.);
#3045=FACE_BOUND('',#8196,.T.);
#3046=FACE_BOUND('',#8197,.T.);
#3047=FACE_BOUND('',#8198,.T.);
#3048=FACE_BOUND('',#8199,.T.);
#3049=FACE_BOUND('',#8200,.T.);
#3050=FACE_BOUND('',#8201,.T.);
#3051=FACE_BOUND('',#8202,.T.);
#3052=FACE_BOUND('',#8203,.T.);
#3053=FACE_BOUND('',#8204,.T.);
#3054=FACE_BOUND('',#8205,.T.);
#3055=FACE_BOUND('',#8206,.T.);
#3056=FACE_BOUND('',#8207,.T.);
#3057=FACE_BOUND('',#8208,.T.);
#3058=FACE_BOUND('',#8209,.T.);
#3059=FACE_BOUND('',#8210,.T.);
#3060=FACE_BOUND('',#8211,.T.);
#3061=FACE_BOUND('',#8212,.T.);
#3062=FACE_BOUND('',#8213,.T.);
#3063=FACE_BOUND('',#8214,.T.);
#3064=FACE_BOUND('',#8215,.T.);
#3065=FACE_BOUND('',#8216,.T.);
#3066=FACE_BOUND('',#8217,.T.);
#3067=FACE_BOUND('',#8218,.T.);
#3068=FACE_BOUND('',#8219,.T.);
#3069=FACE_BOUND('',#8220,.T.);
#3070=FACE_BOUND('',#8221,.T.);
#3071=FACE_BOUND('',#8222,.T.);
#3072=FACE_BOUND('',#8223,.T.);
#3073=FACE_BOUND('',#8224,.T.);
#3074=FACE_BOUND('',#8225,.T.);
#3075=FACE_BOUND('',#8226,.T.);
#3076=FACE_BOUND('',#8227,.T.);
#3077=FACE_BOUND('',#8228,.T.);
#3078=FACE_BOUND('',#8229,.T.);
#3079=FACE_BOUND('',#8230,.T.);
#3080=FACE_BOUND('',#8231,.T.);
#3081=FACE_BOUND('',#8232,.T.);
#3082=FACE_BOUND('',#8233,.T.);
#3083=FACE_BOUND('',#8234,.T.);
#3084=FACE_BOUND('',#8235,.T.);
#3085=FACE_BOUND('',#8236,.T.);
#3086=FACE_BOUND('',#8237,.T.);
#3087=FACE_BOUND('',#8238,.T.);
#3088=FACE_BOUND('',#8239,.T.);
#3089=FACE_BOUND('',#8240,.T.);
#3090=FACE_BOUND('',#8241,.T.);
#3091=FACE_BOUND('',#8242,.T.);
#3092=FACE_BOUND('',#8243,.T.);
#3093=FACE_BOUND('',#8244,.T.);
#3094=FACE_BOUND('',#8245,.T.);
#3095=FACE_BOUND('',#8246,.T.);
#3096=FACE_BOUND('',#8247,.T.);
#3097=FACE_BOUND('',#8248,.T.);
#3098=FACE_BOUND('',#8250,.T.);
#3099=FACE_BOUND('',#8251,.T.);
#3100=FACE_BOUND('',#8252,.T.);
#3101=FACE_BOUND('',#8253,.T.);
#3102=FACE_BOUND('',#8254,.T.);
#3103=FACE_BOUND('',#8255,.T.);
#3104=FACE_BOUND('',#8256,.T.);
#3105=FACE_BOUND('',#8257,.T.);
#3106=FACE_BOUND('',#8258,.T.);
#3107=FACE_BOUND('',#8259,.T.);
#3108=FACE_BOUND('',#8260,.T.);
#3109=FACE_BOUND('',#8261,.T.);
#3110=FACE_BOUND('',#8262,.T.);
#3111=FACE_BOUND('',#8263,.T.);
#3112=FACE_BOUND('',#8264,.T.);
#3113=FACE_BOUND('',#8265,.T.);
#3114=FACE_BOUND('',#8266,.T.);
#3115=FACE_BOUND('',#8267,.T.);
#3116=FACE_BOUND('',#8268,.T.);
#3117=FACE_BOUND('',#8269,.T.);
#3118=FACE_BOUND('',#8270,.T.);
#3119=FACE_BOUND('',#8271,.T.);
#3120=FACE_BOUND('',#8272,.T.);
#3121=FACE_BOUND('',#8273,.T.);
#3122=FACE_BOUND('',#8274,.T.);
#3123=FACE_BOUND('',#8275,.T.);
#3124=FACE_BOUND('',#8276,.T.);
#3125=FACE_BOUND('',#8277,.T.);
#3126=FACE_BOUND('',#8278,.T.);
#3127=FACE_BOUND('',#8279,.T.);
#3128=FACE_BOUND('',#8280,.T.);
#3129=FACE_BOUND('',#8281,.T.);
#3130=FACE_BOUND('',#8282,.T.);
#3131=FACE_BOUND('',#8283,.T.);
#3132=FACE_BOUND('',#8284,.T.);
#3133=FACE_BOUND('',#8285,.T.);
#3134=FACE_BOUND('',#8286,.T.);
#3135=FACE_BOUND('',#8287,.T.);
#3136=FACE_BOUND('',#8288,.T.);
#3137=FACE_BOUND('',#8289,.T.);
#3138=FACE_BOUND('',#8290,.T.);
#3139=FACE_BOUND('',#8291,.T.);
#3140=FACE_BOUND('',#8292,.T.);
#3141=FACE_BOUND('',#8293,.T.);
#3142=FACE_BOUND('',#8294,.T.);
#3143=FACE_BOUND('',#8295,.T.);
#3144=FACE_BOUND('',#8296,.T.);
#3145=FACE_BOUND('',#8297,.T.);
#3146=FACE_BOUND('',#8298,.T.);
#3147=FACE_BOUND('',#8299,.T.);
#3148=FACE_BOUND('',#8300,.T.);
#3149=FACE_BOUND('',#8301,.T.);
#3150=FACE_BOUND('',#8302,.T.);
#3151=FACE_BOUND('',#8303,.T.);
#3152=FACE_BOUND('',#8304,.T.);
#3153=FACE_BOUND('',#8305,.T.);
#3154=FACE_BOUND('',#8306,.T.);
#3155=FACE_BOUND('',#8307,.T.);
#3156=FACE_BOUND('',#8308,.T.);
#3157=FACE_BOUND('',#8309,.T.);
#3158=FACE_BOUND('',#8310,.T.);
#3159=FACE_BOUND('',#8311,.T.);
#3160=FACE_BOUND('',#8312,.T.);
#3161=FACE_BOUND('',#8313,.T.);
#3162=FACE_BOUND('',#8314,.T.);
#3163=FACE_BOUND('',#8315,.T.);
#3164=FACE_BOUND('',#8316,.T.);
#3165=FACE_BOUND('',#8317,.T.);
#3166=FACE_BOUND('',#8318,.T.);
#3167=FACE_BOUND('',#8319,.T.);
#3168=FACE_BOUND('',#8320,.T.);
#3169=FACE_BOUND('',#8321,.T.);
#3170=FACE_BOUND('',#8322,.T.);
#3171=FACE_BOUND('',#8323,.T.);
#3172=FACE_BOUND('',#8324,.T.);
#3173=FACE_BOUND('',#8325,.T.);
#3174=FACE_BOUND('',#8326,.T.);
#3175=FACE_BOUND('',#8327,.T.);
#3176=FACE_BOUND('',#8328,.T.);
#3177=FACE_BOUND('',#8329,.T.);
#3178=FACE_BOUND('',#8330,.T.);
#3179=FACE_BOUND('',#8331,.T.);
#3180=FACE_BOUND('',#8332,.T.);
#3181=FACE_BOUND('',#8333,.T.);
#3182=FACE_BOUND('',#8334,.T.);
#3183=FACE_BOUND('',#8335,.T.);
#3184=FACE_BOUND('',#8336,.T.);
#3185=FACE_BOUND('',#8337,.T.);
#3186=FACE_BOUND('',#8338,.T.);
#3187=FACE_BOUND('',#8339,.T.);
#3188=FACE_BOUND('',#8340,.T.);
#3189=FACE_BOUND('',#8341,.T.);
#3190=FACE_BOUND('',#8342,.T.);
#3191=FACE_BOUND('',#8343,.T.);
#3192=FACE_BOUND('',#8344,.T.);
#3193=FACE_BOUND('',#8345,.T.);
#3194=FACE_BOUND('',#8346,.T.);
#3195=FACE_BOUND('',#8347,.T.);
#3196=FACE_BOUND('',#8348,.T.);
#3197=FACE_BOUND('',#8349,.T.);
#3198=FACE_BOUND('',#8350,.T.);
#3199=FACE_BOUND('',#8351,.T.);
#3200=FACE_BOUND('',#8352,.T.);
#3201=FACE_BOUND('',#8353,.T.);
#3202=FACE_BOUND('',#8354,.T.);
#3203=FACE_BOUND('',#8355,.T.);
#3204=FACE_BOUND('',#8356,.T.);
#3205=FACE_BOUND('',#8357,.T.);
#3206=FACE_BOUND('',#8358,.T.);
#3207=FACE_BOUND('',#8359,.T.);
#3208=FACE_BOUND('',#8360,.T.);
#3209=FACE_BOUND('',#8361,.T.);
#3210=FACE_BOUND('',#8362,.T.);
#3211=FACE_BOUND('',#8363,.T.);
#3212=FACE_BOUND('',#8364,.T.);
#3213=FACE_BOUND('',#8365,.T.);
#3214=FACE_BOUND('',#8366,.T.);
#3215=FACE_BOUND('',#8367,.T.);
#3216=FACE_BOUND('',#8368,.T.);
#3217=FACE_BOUND('',#8369,.T.);
#3218=FACE_BOUND('',#8370,.T.);
#3219=FACE_BOUND('',#8371,.T.);
#3220=FACE_BOUND('',#8372,.T.);
#3221=FACE_BOUND('',#8373,.T.);
#3222=FACE_BOUND('',#8374,.T.);
#3223=FACE_BOUND('',#8375,.T.);
#3224=FACE_BOUND('',#8376,.T.);
#3225=FACE_BOUND('',#8377,.T.);
#3226=FACE_BOUND('',#8378,.T.);
#3227=FACE_BOUND('',#8379,.T.);
#3228=FACE_BOUND('',#8380,.T.);
#3229=FACE_BOUND('',#8381,.T.);
#3230=FACE_BOUND('',#8382,.T.);
#3231=FACE_BOUND('',#8383,.T.);
#3232=FACE_BOUND('',#8384,.T.);
#3233=FACE_BOUND('',#8385,.T.);
#3234=FACE_BOUND('',#8386,.T.);
#3235=FACE_BOUND('',#8387,.T.);
#3236=FACE_BOUND('',#8388,.T.);
#3237=FACE_BOUND('',#8389,.T.);
#3238=FACE_BOUND('',#8390,.T.);
#3239=FACE_BOUND('',#8391,.T.);
#3240=FACE_BOUND('',#8392,.T.);
#3241=FACE_BOUND('',#8393,.T.);
#3242=FACE_BOUND('',#8394,.T.);
#3243=FACE_BOUND('',#8395,.T.);
#3244=FACE_BOUND('',#8396,.T.);
#3245=FACE_BOUND('',#8397,.T.);
#3246=FACE_BOUND('',#8398,.T.);
#3247=FACE_BOUND('',#8399,.T.);
#3248=FACE_BOUND('',#8567,.T.);
#3249=FACE_BOUND('',#8626,.T.);
#3250=FACE_BOUND('',#8627,.T.);
#3251=FACE_BOUND('',#8633,.T.);
#3252=FACE_BOUND('',#8634,.T.);
#3253=FACE_BOUND('',#8693,.T.);
#3254=FACE_BOUND('',#8695,.T.);
#3255=FACE_BOUND('',#8697,.T.);
#3256=FACE_BOUND('',#8699,.T.);
#3257=FACE_BOUND('',#8705,.T.);
#3258=FACE_BOUND('',#8710,.T.);
#3259=FACE_BOUND('',#8711,.T.);
#3260=FACE_BOUND('',#8712,.T.);
#3261=FACE_BOUND('',#8713,.T.);
#3262=FACE_BOUND('',#8866,.T.);
#3263=FACE_BOUND('',#8867,.T.);
#3264=FACE_BOUND('',#8868,.T.);
#3265=FACE_BOUND('',#8869,.T.);
#3266=FACE_BOUND('',#8870,.T.);
#3267=FACE_BOUND('',#8871,.T.);
#3268=FACE_BOUND('',#8872,.T.);
#3269=FACE_BOUND('',#8873,.T.);
#3270=FACE_BOUND('',#8874,.T.);
#3271=FACE_BOUND('',#8875,.T.);
#3272=FACE_BOUND('',#8876,.T.);
#3273=FACE_BOUND('',#8877,.T.);
#3274=FACE_BOUND('',#9001,.T.);
#3275=FACE_BOUND('',#9043,.T.);
#3276=FACE_BOUND('',#9055,.T.);
#3277=FACE_BOUND('',#9056,.T.);
#3278=FACE_BOUND('',#9057,.T.);
#3279=FACE_BOUND('',#9058,.T.);
#3280=FACE_BOUND('',#9059,.T.);
#3281=FACE_BOUND('',#9060,.T.);
#3282=FACE_BOUND('',#9061,.T.);
#3283=FACE_BOUND('',#9062,.T.);
#3284=FACE_BOUND('',#9063,.T.);
#3285=FACE_BOUND('',#9064,.T.);
#3286=FACE_BOUND('',#9065,.T.);
#3287=FACE_BOUND('',#9066,.T.);
#3288=FACE_BOUND('',#9088,.T.);
#3289=FACE_BOUND('',#9095,.T.);
#3290=FACE_BOUND('',#9102,.T.);
#3291=FACE_BOUND('',#9109,.T.);
#3292=FACE_BOUND('',#9116,.T.);
#3293=FACE_BOUND('',#9123,.T.);
#3294=FACE_BOUND('',#9129,.T.);
#3295=FACE_BOUND('',#9135,.T.);
#3296=FACE_BOUND('',#9143,.T.);
#3297=FACE_BOUND('',#9151,.T.);
#3298=STYLED_ITEM('',(#72465),#4569);
#3299=STYLED_ITEM('',(#72466),#4570);
#3300=STYLED_ITEM('',(#72467),#4571);
#3301=STYLED_ITEM('',(#72467),#4572);
#3302=STYLED_ITEM('',(#72467),#4573);
#3303=STYLED_ITEM('',(#72467),#4574);
#3304=STYLED_ITEM('',(#72467),#4575);
#3305=STYLED_ITEM('',(#72467),#4576);
#3306=STYLED_ITEM('',(#72468),#4577);
#3307=STYLED_ITEM('',(#72467),#4578);
#3308=STYLED_ITEM('',(#72467),#4579);
#3309=STYLED_ITEM('',(#72467),#4580);
#3310=STYLED_ITEM('',(#72467),#4581);
#3311=STYLED_ITEM('',(#72467),#4582);
#3312=STYLED_ITEM('',(#72469),#40431);
#3313=STYLED_ITEM('',(#72469),#40432);
#3314=STYLED_ITEM('',(#72470),#40433);
#3315=STYLED_ITEM('',(#72470),#40434);
#3316=STYLED_ITEM('',(#72471),#40435);
#3317=STYLED_ITEM('',(#72469),#40436);
#3318=STYLED_ITEM('',(#72470),#40437);
#3319=STYLED_ITEM('',(#72471),#40438);
#3320=STYLED_ITEM('',(#72469),#40439);
#3321=STYLED_ITEM('',(#72470),#40440);
#3322=STYLED_ITEM('',(#72471),#40441);
#3323=STYLED_ITEM('',(#72470),#40442);
#3324=STYLED_ITEM('',(#72471),#40443);
#3325=STYLED_ITEM('',(#72470),#40444);
#3326=STYLED_ITEM('',(#72471),#40445);
#3327=STYLED_ITEM('',(#72470),#40446);
#3328=STYLED_ITEM('',(#72471),#40447);
#3329=STYLED_ITEM('',(#72470),#40448);
#3330=STYLED_ITEM('',(#72471),#40449);
#3331=STYLED_ITEM('',(#72472),#40450);
#3332=STYLED_ITEM('',(#72471),#40451);
#3333=STYLED_ITEM('',(#72470),#40452);
#3334=STYLED_ITEM('',(#72472),#40453);
#3335=STYLED_ITEM('',(#72471),#40454);
#3336=STYLED_ITEM('',(#72470),#40455);
#3337=STYLED_ITEM('',(#72472),#40456);
#3338=STYLED_ITEM('',(#72471),#40457);
#3339=STYLED_ITEM('',(#72470),#40458);
#3340=STYLED_ITEM('',(#72471),#40459);
#3341=STYLED_ITEM('',(#72470),#40460);
#3342=STYLED_ITEM('',(#72471),#40461);
#3343=STYLED_ITEM('',(#72469),#40462);
#3344=STYLED_ITEM('',(#72469),#40463);
#3345=STYLED_ITEM('',(#72469),#40464);
#3346=STYLED_ITEM('',(#72469),#40465);
#3347=STYLED_ITEM('',(#72469),#40466);
#3348=STYLED_ITEM('',(#72469),#40467);
#3349=STYLED_ITEM('',(#72469),#40468);
#3350=STYLED_ITEM('',(#72469),#40469);
#3351=STYLED_ITEM('',(#72469),#40470);
#3352=STYLED_ITEM('',(#72469),#40471);
#3353=STYLED_ITEM('',(#72469),#40472);
#3354=STYLED_ITEM('',(#72469),#40473);
#3355=STYLED_ITEM('',(#72469),#40474);
#3356=STYLED_ITEM('',(#72462),#407);
#3357=STYLED_ITEM('',(#72473),#40475);
#3358=STYLED_ITEM('',(#72473),#40476);
#3359=STYLED_ITEM('',(#72473),#40477);
#3360=STYLED_ITEM('',(#72473),#40478);
#3361=STYLED_ITEM('',(#72473),#40479);
#3362=STYLED_ITEM('',(#72473),#40480);
#3363=STYLED_ITEM('',(#72473),#40481);
#3364=STYLED_ITEM('',(#72473),#40482);
#3365=STYLED_ITEM('',(#72473),#40483);
#3366=STYLED_ITEM('',(#72473),#40484);
#3367=STYLED_ITEM('',(#72473),#40485);
#3368=STYLED_ITEM('',(#72473),#40486);
#3369=STYLED_ITEM('',(#72473),#40487);
#3370=STYLED_ITEM('',(#72469),#40488);
#3371=STYLED_ITEM('',(#72469),#40489);
#3372=STYLED_ITEM('',(#72473),#40490);
#3373=STYLED_ITEM('',(#72473),#40491);
#3374=STYLED_ITEM('',(#72473),#40492);
#3375=STYLED_ITEM('',(#72473),#40493);
#3376=STYLED_ITEM('',(#72473),#40494);
#3377=STYLED_ITEM('',(#72469),#40495);
#3378=STYLED_ITEM('',(#72469),#40496);
#3379=STYLED_ITEM('',(#72462),#4583);
#3380=STYLED_ITEM('',(#72469),#40497);
#3381=STYLED_ITEM('',(#72469),#40498);
#3382=STYLED_ITEM('',(#72469),#40499);
#3383=STYLED_ITEM('',(#72469),#40500);
#3384=STYLED_ITEM('',(#72469),#40501);
#3385=STYLED_ITEM('',(#72474),#40502);
#3386=STYLED_ITEM('',(#72469),#40503);
#3387=STYLED_ITEM('',(#72474),#40504);
#3388=STYLED_ITEM('',(#72469),#40505);
#3389=STYLED_ITEM('',(#72469),#40506);
#3390=STYLED_ITEM('',(#72469),#40507);
#3391=STYLED_ITEM('',(#72469),#40508);
#3392=STYLED_ITEM('',(#72469),#40509);
#3393=STYLED_ITEM('',(#72469),#40510);
#3394=STYLED_ITEM('',(#72469),#40511);
#3395=STYLED_ITEM('',(#72474),#40512);
#3396=STYLED_ITEM('',(#72474),#40513);
#3397=STYLED_ITEM('',(#72474),#40514);
#3398=STYLED_ITEM('',(#72474),#40515);
#3399=STYLED_ITEM('',(#72474),#40516);
#3400=STYLED_ITEM('',(#72469),#40517);
#3401=STYLED_ITEM('',(#72474),#40518);
#3402=STYLED_ITEM('',(#72469),#40519);
#3403=STYLED_ITEM('',(#72469),#40520);
#3404=STYLED_ITEM('',(#72474),#40521);
#3405=STYLED_ITEM('',(#72474),#40522);
#3406=STYLED_ITEM('',(#72469),#40523);
#3407=STYLED_ITEM('',(#72469),#40524);
#3408=STYLED_ITEM('',(#72474),#40525);
#3409=STYLED_ITEM('',(#72474),#40526);
#3410=STYLED_ITEM('',(#72469),#40527);
#3411=STYLED_ITEM('',(#72469),#40528);
#3412=STYLED_ITEM('',(#72474),#40529);
#3413=STYLED_ITEM('',(#72474),#40530);
#3414=STYLED_ITEM('',(#72469),#40531);
#3415=STYLED_ITEM('',(#72469),#40532);
#3416=STYLED_ITEM('',(#72474),#40533);
#3417=STYLED_ITEM('',(#72474),#40534);
#3418=STYLED_ITEM('',(#72469),#40535);
#3419=STYLED_ITEM('',(#72469),#40536);
#3420=STYLED_ITEM('',(#72474),#40537);
#3421=STYLED_ITEM('',(#72474),#40538);
#3422=STYLED_ITEM('',(#72474),#40539);
#3423=STYLED_ITEM('',(#72474),#40540);
#3424=STYLED_ITEM('',(#72474),#40541);
#3425=STYLED_ITEM('',(#72469),#40542);
#3426=STYLED_ITEM('',(#72474),#40543);
#3427=STYLED_ITEM('',(#72474),#40544);
#3428=STYLED_ITEM('',(#72474),#40545);
#3429=STYLED_ITEM('',(#72474),#40546);
#3430=STYLED_ITEM('',(#72474),#40547);
#3431=STYLED_ITEM('',(#72474),#40548);
#3432=STYLED_ITEM('',(#72469),#40549);
#3433=STYLED_ITEM('',(#72474),#40550);
#3434=STYLED_ITEM('',(#72469),#40551);
#3435=STYLED_ITEM('',(#72469),#40552);
#3436=STYLED_ITEM('',(#72469),#40553);
#3437=STYLED_ITEM('',(#72474),#40554);
#3438=STYLED_ITEM('',(#72469),#40555);
#3439=STYLED_ITEM('',(#72469),#40556);
#3440=STYLED_ITEM('',(#72469),#40557);
#3441=STYLED_ITEM('',(#72469),#40558);
#3442=STYLED_ITEM('',(#72474),#40559);
#3443=STYLED_ITEM('',(#72469),#40560);
#3444=STYLED_ITEM('',(#72469),#40561);
#3445=STYLED_ITEM('',(#72474),#40562);
#3446=STYLED_ITEM('',(#72469),#40563);
#3447=STYLED_ITEM('',(#72469),#40564);
#3448=STYLED_ITEM('',(#72469),#40565);
#3449=STYLED_ITEM('',(#72469),#40566);
#3450=STYLED_ITEM('',(#72474),#40567);
#3451=STYLED_ITEM('',(#72469),#40568);
#3452=STYLED_ITEM('',(#72469),#40569);
#3453=STYLED_ITEM('',(#72474),#40570);
#3454=STYLED_ITEM('',(#72469),#40571);
#3455=STYLED_ITEM('',(#72469),#40572);
#3456=STYLED_ITEM('',(#72469),#40573);
#3457=STYLED_ITEM('',(#72469),#40574);
#3458=STYLED_ITEM('',(#72474),#40575);
#3459=STYLED_ITEM('',(#72469),#40576);
#3460=STYLED_ITEM('',(#72469),#40577);
#3461=STYLED_ITEM('',(#72474),#40578);
#3462=STYLED_ITEM('',(#72469),#40579);
#3463=STYLED_ITEM('',(#72469),#40580);
#3464=STYLED_ITEM('',(#72469),#40581);
#3465=STYLED_ITEM('',(#72469),#40582);
#3466=STYLED_ITEM('',(#72474),#40583);
#3467=STYLED_ITEM('',(#72469),#40584);
#3468=STYLED_ITEM('',(#72469),#40585);
#3469=STYLED_ITEM('',(#72474),#40586);
#3470=STYLED_ITEM('',(#72469),#40587);
#3471=STYLED_ITEM('',(#72469),#40588);
#3472=STYLED_ITEM('',(#72469),#40589);
#3473=STYLED_ITEM('',(#72469),#40590);
#3474=STYLED_ITEM('',(#72474),#40591);
#3475=STYLED_ITEM('',(#72474),#40592);
#3476=STYLED_ITEM('',(#72474),#40593);
#3477=STYLED_ITEM('',(#72474),#40594);
#3478=STYLED_ITEM('',(#72474),#40595);
#3479=STYLED_ITEM('',(#72474),#40596);
#3480=STYLED_ITEM('',(#72469),#40597);
#3481=STYLED_ITEM('',(#72469),#40598);
#3482=STYLED_ITEM('',(#72469),#40599);
#3483=STYLED_ITEM('',(#72469),#40600);
#3484=STYLED_ITEM('',(#72469),#40601);
#3485=STYLED_ITEM('',(#72469),#40602);
#3486=STYLED_ITEM('',(#72469),#40603);
#3487=STYLED_ITEM('',(#72469),#40604);
#3488=STYLED_ITEM('',(#72469),#40605);
#3489=STYLED_ITEM('',(#72469),#40606);
#3490=STYLED_ITEM('',(#72469),#40607);
#3491=STYLED_ITEM('',(#72469),#40608);
#3492=STYLED_ITEM('',(#72469),#40609);
#3493=STYLED_ITEM('',(#72469),#40610);
#3494=STYLED_ITEM('',(#72469),#40611);
#3495=STYLED_ITEM('',(#72469),#40612);
#3496=STYLED_ITEM('',(#72469),#40613);
#3497=STYLED_ITEM('',(#72469),#40614);
#3498=STYLED_ITEM('',(#72469),#40615);
#3499=STYLED_ITEM('',(#72474),#40616);
#3500=STYLED_ITEM('',(#72474),#40617);
#3501=STYLED_ITEM('',(#72469),#40618);
#3502=STYLED_ITEM('',(#72469),#40619);
#3503=STYLED_ITEM('',(#72474),#40620);
#3504=STYLED_ITEM('',(#72474),#40621);
#3505=STYLED_ITEM('',(#72469),#40622);
#3506=STYLED_ITEM('',(#72469),#40623);
#3507=STYLED_ITEM('',(#72474),#40624);
#3508=STYLED_ITEM('',(#72474),#40625);
#3509=STYLED_ITEM('',(#72469),#40626);
#3510=STYLED_ITEM('',(#72469),#40627);
#3511=STYLED_ITEM('',(#72474),#40628);
#3512=STYLED_ITEM('',(#72474),#40629);
#3513=STYLED_ITEM('',(#72469),#40630);
#3514=STYLED_ITEM('',(#72469),#40631);
#3515=STYLED_ITEM('',(#72474),#40632);
#3516=STYLED_ITEM('',(#72474),#40633);
#3517=STYLED_ITEM('',(#72469),#40634);
#3518=STYLED_ITEM('',(#72469),#40635);
#3519=STYLED_ITEM('',(#72474),#40636);
#3520=STYLED_ITEM('',(#72474),#40637);
#3521=STYLED_ITEM('',(#72469),#40638);
#3522=STYLED_ITEM('',(#72469),#40639);
#3523=STYLED_ITEM('',(#72474),#40640);
#3524=STYLED_ITEM('',(#72474),#40641);
#3525=STYLED_ITEM('',(#72474),#40642);
#3526=STYLED_ITEM('',(#72474),#40643);
#3527=STYLED_ITEM('',(#72469),#40644);
#3528=STYLED_ITEM('',(#72469),#40645);
#3529=STYLED_ITEM('',(#72474),#40646);
#3530=STYLED_ITEM('',(#72474),#40647);
#3531=STYLED_ITEM('',(#72469),#40648);
#3532=STYLED_ITEM('',(#72469),#40649);
#3533=STYLED_ITEM('',(#72474),#40650);
#3534=STYLED_ITEM('',(#72474),#40651);
#3535=STYLED_ITEM('',(#72469),#40652);
#3536=STYLED_ITEM('',(#72469),#40653);
#3537=STYLED_ITEM('',(#72474),#40654);
#3538=STYLED_ITEM('',(#72474),#40655);
#3539=STYLED_ITEM('',(#72469),#40656);
#3540=STYLED_ITEM('',(#72469),#40657);
#3541=STYLED_ITEM('',(#72474),#40658);
#3542=STYLED_ITEM('',(#72474),#40659);
#3543=STYLED_ITEM('',(#72469),#40660);
#3544=STYLED_ITEM('',(#72469),#40661);
#3545=STYLED_ITEM('',(#72474),#40662);
#3546=STYLED_ITEM('',(#72474),#40663);
#3547=STYLED_ITEM('',(#72469),#40664);
#3548=STYLED_ITEM('',(#72469),#40665);
#3549=STYLED_ITEM('',(#72474),#40666);
#3550=STYLED_ITEM('',(#72474),#40667);
#3551=STYLED_ITEM('',(#72474),#40668);
#3552=STYLED_ITEM('',(#72469),#40669);
#3553=STYLED_ITEM('',(#72469),#40670);
#3554=STYLED_ITEM('',(#72474),#40671);
#3555=STYLED_ITEM('',(#72474),#40672);
#3556=STYLED_ITEM('',(#72469),#40673);
#3557=STYLED_ITEM('',(#72469),#40674);
#3558=STYLED_ITEM('',(#72474),#40675);
#3559=STYLED_ITEM('',(#72474),#40676);
#3560=STYLED_ITEM('',(#72469),#40677);
#3561=STYLED_ITEM('',(#72469),#40678);
#3562=STYLED_ITEM('',(#72474),#40679);
#3563=STYLED_ITEM('',(#72474),#40680);
#3564=STYLED_ITEM('',(#72469),#40681);
#3565=STYLED_ITEM('',(#72469),#40682);
#3566=STYLED_ITEM('',(#72474),#40683);
#3567=STYLED_ITEM('',(#72474),#40684);
#3568=STYLED_ITEM('',(#72469),#40685);
#3569=STYLED_ITEM('',(#72469),#40686);
#3570=STYLED_ITEM('',(#72474),#40687);
#3571=STYLED_ITEM('',(#72474),#40688);
#3572=STYLED_ITEM('',(#72469),#40689);
#3573=STYLED_ITEM('',(#72469),#40690);
#3574=STYLED_ITEM('',(#72474),#40691);
#3575=STYLED_ITEM('',(#72474),#40692);
#3576=STYLED_ITEM('',(#72469),#40693);
#3577=STYLED_ITEM('',(#72469),#40694);
#3578=STYLED_ITEM('',(#72469),#40695);
#3579=STYLED_ITEM('',(#72469),#40696);
#3580=STYLED_ITEM('',(#72469),#40697);
#3581=STYLED_ITEM('',(#72469),#40698);
#3582=STYLED_ITEM('',(#72469),#40699);
#3583=STYLED_ITEM('',(#72469),#40700);
#3584=STYLED_ITEM('',(#72469),#40701);
#3585=STYLED_ITEM('',(#72469),#40702);
#3586=STYLED_ITEM('',(#72469),#40703);
#3587=STYLED_ITEM('',(#72469),#40704);
#3588=STYLED_ITEM('',(#72469),#40705);
#3589=STYLED_ITEM('',(#72469),#40706);
#3590=STYLED_ITEM('',(#72469),#40707);
#3591=STYLED_ITEM('',(#72469),#40708);
#3592=STYLED_ITEM('',(#72469),#40709);
#3593=STYLED_ITEM('',(#72469),#40710);
#3594=STYLED_ITEM('',(#72469),#40711);
#3595=STYLED_ITEM('',(#72469),#40712);
#3596=STYLED_ITEM('',(#72469),#40713);
#3597=STYLED_ITEM('',(#72469),#40714);
#3598=STYLED_ITEM('',(#72469),#40715);
#3599=STYLED_ITEM('',(#72469),#40716);
#3600=STYLED_ITEM('',(#72469),#40717);
#3601=STYLED_ITEM('',(#72469),#40718);
#3602=STYLED_ITEM('',(#72469),#40719);
#3603=STYLED_ITEM('',(#72469),#40720);
#3604=STYLED_ITEM('',(#72469),#40721);
#3605=STYLED_ITEM('',(#72469),#40722);
#3606=STYLED_ITEM('',(#72469),#40723);
#3607=STYLED_ITEM('',(#72469),#40724);
#3608=STYLED_ITEM('',(#72469),#40725);
#3609=STYLED_ITEM('',(#72469),#40726);
#3610=STYLED_ITEM('',(#72469),#40727);
#3611=STYLED_ITEM('',(#72469),#40728);
#3612=STYLED_ITEM('',(#72469),#40729);
#3613=STYLED_ITEM('',(#72469),#40730);
#3614=STYLED_ITEM('',(#72469),#40731);
#3615=STYLED_ITEM('',(#72469),#40732);
#3616=STYLED_ITEM('',(#72469),#40733);
#3617=STYLED_ITEM('',(#72469),#40734);
#3618=STYLED_ITEM('',(#72469),#40735);
#3619=STYLED_ITEM('',(#72469),#40736);
#3620=STYLED_ITEM('',(#72469),#40737);
#3621=STYLED_ITEM('',(#72469),#40738);
#3622=STYLED_ITEM('',(#72469),#40739);
#3623=STYLED_ITEM('',(#72469),#40740);
#3624=STYLED_ITEM('',(#72469),#40741);
#3625=STYLED_ITEM('',(#72469),#40742);
#3626=STYLED_ITEM('',(#72469),#40743);
#3627=STYLED_ITEM('',(#72469),#40744);
#3628=STYLED_ITEM('',(#72469),#40745);
#3629=STYLED_ITEM('',(#72469),#40746);
#3630=STYLED_ITEM('',(#72469),#40747);
#3631=STYLED_ITEM('',(#72469),#40748);
#3632=STYLED_ITEM('',(#72469),#40749);
#3633=STYLED_ITEM('',(#72469),#40750);
#3634=STYLED_ITEM('',(#72469),#40751);
#3635=STYLED_ITEM('',(#72469),#40752);
#3636=STYLED_ITEM('',(#72469),#40753);
#3637=STYLED_ITEM('',(#72469),#40754);
#3638=STYLED_ITEM('',(#72469),#40755);
#3639=STYLED_ITEM('',(#72469),#40756);
#3640=STYLED_ITEM('',(#72469),#40757);
#3641=STYLED_ITEM('',(#72469),#40758);
#3642=STYLED_ITEM('',(#72469),#40759);
#3643=STYLED_ITEM('',(#72469),#40760);
#3644=STYLED_ITEM('',(#72469),#40761);
#3645=STYLED_ITEM('',(#72469),#40762);
#3646=STYLED_ITEM('',(#72469),#40763);
#3647=STYLED_ITEM('',(#72469),#40764);
#3648=STYLED_ITEM('',(#72469),#40765);
#3649=STYLED_ITEM('',(#72469),#40766);
#3650=STYLED_ITEM('',(#72469),#40767);
#3651=STYLED_ITEM('',(#72469),#40768);
#3652=STYLED_ITEM('',(#72469),#40769);
#3653=STYLED_ITEM('',(#72469),#40770);
#3654=STYLED_ITEM('',(#72469),#40771);
#3655=STYLED_ITEM('',(#72469),#40772);
#3656=STYLED_ITEM('',(#72474),#40773);
#3657=STYLED_ITEM('',(#72469),#40774);
#3658=STYLED_ITEM('',(#72474),#40775);
#3659=STYLED_ITEM('',(#72474),#40776);
#3660=STYLED_ITEM('',(#72474),#40777);
#3661=STYLED_ITEM('',(#72474),#40778);
#3662=STYLED_ITEM('',(#72474),#40779);
#3663=STYLED_ITEM('',(#72474),#40780);
#3664=STYLED_ITEM('',(#72469),#40781);
#3665=STYLED_ITEM('',(#72469),#40782);
#3666=STYLED_ITEM('',(#72474),#40783);
#3667=STYLED_ITEM('',(#72469),#40784);
#3668=STYLED_ITEM('',(#72469),#40785);
#3669=STYLED_ITEM('',(#72469),#40786);
#3670=STYLED_ITEM('',(#72469),#40787);
#3671=STYLED_ITEM('',(#72474),#40788);
#3672=STYLED_ITEM('',(#72469),#40789);
#3673=STYLED_ITEM('',(#72469),#40790);
#3674=STYLED_ITEM('',(#72474),#40791);
#3675=STYLED_ITEM('',(#72469),#40792);
#3676=STYLED_ITEM('',(#72469),#40793);
#3677=STYLED_ITEM('',(#72469),#40794);
#3678=STYLED_ITEM('',(#72469),#40795);
#3679=STYLED_ITEM('',(#72474),#40796);
#3680=STYLED_ITEM('',(#72469),#40797);
#3681=STYLED_ITEM('',(#72469),#40798);
#3682=STYLED_ITEM('',(#72474),#40799);
#3683=STYLED_ITEM('',(#72469),#40800);
#3684=STYLED_ITEM('',(#72469),#40801);
#3685=STYLED_ITEM('',(#72469),#40802);
#3686=STYLED_ITEM('',(#72469),#40803);
#3687=STYLED_ITEM('',(#72474),#40804);
#3688=STYLED_ITEM('',(#72469),#40805);
#3689=STYLED_ITEM('',(#72469),#40806);
#3690=STYLED_ITEM('',(#72474),#40807);
#3691=STYLED_ITEM('',(#72469),#40808);
#3692=STYLED_ITEM('',(#72469),#40809);
#3693=STYLED_ITEM('',(#72469),#40810);
#3694=STYLED_ITEM('',(#72469),#40811);
#3695=STYLED_ITEM('',(#72474),#40812);
#3696=STYLED_ITEM('',(#72469),#40813);
#3697=STYLED_ITEM('',(#72469),#40814);
#3698=STYLED_ITEM('',(#72474),#40815);
#3699=STYLED_ITEM('',(#72469),#40816);
#3700=STYLED_ITEM('',(#72469),#40817);
#3701=STYLED_ITEM('',(#72469),#40818);
#3702=STYLED_ITEM('',(#72469),#40819);
#3703=STYLED_ITEM('',(#72474),#40820);
#3704=STYLED_ITEM('',(#72469),#40821);
#3705=STYLED_ITEM('',(#72469),#40822);
#3706=STYLED_ITEM('',(#72474),#40823);
#3707=STYLED_ITEM('',(#72469),#40824);
#3708=STYLED_ITEM('',(#72469),#40825);
#3709=STYLED_ITEM('',(#72469),#40826);
#3710=STYLED_ITEM('',(#72469),#40827);
#3711=STYLED_ITEM('',(#72474),#40828);
#3712=STYLED_ITEM('',(#72474),#40829);
#3713=STYLED_ITEM('',(#72474),#40830);
#3714=STYLED_ITEM('',(#72469),#40831);
#3715=STYLED_ITEM('',(#72469),#40832);
#3716=STYLED_ITEM('',(#72474),#40833);
#3717=STYLED_ITEM('',(#72469),#40834);
#3718=STYLED_ITEM('',(#72469),#40835);
#3719=STYLED_ITEM('',(#72469),#40836);
#3720=STYLED_ITEM('',(#72469),#40837);
#3721=STYLED_ITEM('',(#72474),#40838);
#3722=STYLED_ITEM('',(#72469),#40839);
#3723=STYLED_ITEM('',(#72469),#40840);
#3724=STYLED_ITEM('',(#72474),#40841);
#3725=STYLED_ITEM('',(#72469),#40842);
#3726=STYLED_ITEM('',(#72469),#40843);
#3727=STYLED_ITEM('',(#72469),#40844);
#3728=STYLED_ITEM('',(#72469),#40845);
#3729=STYLED_ITEM('',(#72474),#40846);
#3730=STYLED_ITEM('',(#72469),#40847);
#3731=STYLED_ITEM('',(#72469),#40848);
#3732=STYLED_ITEM('',(#72474),#40849);
#3733=STYLED_ITEM('',(#72469),#40850);
#3734=STYLED_ITEM('',(#72469),#40851);
#3735=STYLED_ITEM('',(#72469),#40852);
#3736=STYLED_ITEM('',(#72469),#40853);
#3737=STYLED_ITEM('',(#72474),#40854);
#3738=STYLED_ITEM('',(#72469),#40855);
#3739=STYLED_ITEM('',(#72469),#40856);
#3740=STYLED_ITEM('',(#72474),#40857);
#3741=STYLED_ITEM('',(#72469),#40858);
#3742=STYLED_ITEM('',(#72469),#40859);
#3743=STYLED_ITEM('',(#72469),#40860);
#3744=STYLED_ITEM('',(#72469),#40861);
#3745=STYLED_ITEM('',(#72474),#40862);
#3746=STYLED_ITEM('',(#72469),#40863);
#3747=STYLED_ITEM('',(#72469),#40864);
#3748=STYLED_ITEM('',(#72474),#40865);
#3749=STYLED_ITEM('',(#72469),#40866);
#3750=STYLED_ITEM('',(#72469),#40867);
#3751=STYLED_ITEM('',(#72469),#40868);
#3752=STYLED_ITEM('',(#72469),#40869);
#3753=STYLED_ITEM('',(#72474),#40870);
#3754=STYLED_ITEM('',(#72469),#40871);
#3755=STYLED_ITEM('',(#72469),#40872);
#3756=STYLED_ITEM('',(#72474),#40873);
#3757=STYLED_ITEM('',(#72469),#40874);
#3758=STYLED_ITEM('',(#72469),#40875);
#3759=STYLED_ITEM('',(#72469),#40876);
#3760=STYLED_ITEM('',(#72469),#40877);
#3761=STYLED_ITEM('',(#72474),#40878);
#3762=STYLED_ITEM('',(#72474),#40879);
#3763=STYLED_ITEM('',(#72474),#40880);
#3764=STYLED_ITEM('',(#72469),#40881);
#3765=STYLED_ITEM('',(#72469),#40882);
#3766=STYLED_ITEM('',(#72469),#40883);
#3767=STYLED_ITEM('',(#72469),#40884);
#3768=STYLED_ITEM('',(#72469),#40885);
#3769=STYLED_ITEM('',(#72474),#40886);
#3770=STYLED_ITEM('',(#72469),#40887);
#3771=STYLED_ITEM('',(#72469),#40888);
#3772=STYLED_ITEM('',(#72474),#40889);
#3773=STYLED_ITEM('',(#72469),#40890);
#3774=STYLED_ITEM('',(#72469),#40891);
#3775=STYLED_ITEM('',(#72469),#40892);
#3776=STYLED_ITEM('',(#72469),#40893);
#3777=STYLED_ITEM('',(#72474),#40894);
#3778=STYLED_ITEM('',(#72469),#40895);
#3779=STYLED_ITEM('',(#72469),#40896);
#3780=STYLED_ITEM('',(#72474),#40897);
#3781=STYLED_ITEM('',(#72469),#40898);
#3782=STYLED_ITEM('',(#72469),#40899);
#3783=STYLED_ITEM('',(#72469),#40900);
#3784=STYLED_ITEM('',(#72469),#40901);
#3785=STYLED_ITEM('',(#72474),#40902);
#3786=STYLED_ITEM('',(#72469),#40903);
#3787=STYLED_ITEM('',(#72469),#40904);
#3788=STYLED_ITEM('',(#72474),#40905);
#3789=STYLED_ITEM('',(#72469),#40906);
#3790=STYLED_ITEM('',(#72469),#40907);
#3791=STYLED_ITEM('',(#72469),#40908);
#3792=STYLED_ITEM('',(#72469),#40909);
#3793=STYLED_ITEM('',(#72474),#40910);
#3794=STYLED_ITEM('',(#72469),#40911);
#3795=STYLED_ITEM('',(#72469),#40912);
#3796=STYLED_ITEM('',(#72474),#40913);
#3797=STYLED_ITEM('',(#72469),#40914);
#3798=STYLED_ITEM('',(#72469),#40915);
#3799=STYLED_ITEM('',(#72469),#40916);
#3800=STYLED_ITEM('',(#72469),#40917);
#3801=STYLED_ITEM('',(#72474),#40918);
#3802=STYLED_ITEM('',(#72469),#40919);
#3803=STYLED_ITEM('',(#72469),#40920);
#3804=STYLED_ITEM('',(#72474),#40921);
#3805=STYLED_ITEM('',(#72469),#40922);
#3806=STYLED_ITEM('',(#72469),#40923);
#3807=STYLED_ITEM('',(#72469),#40924);
#3808=STYLED_ITEM('',(#72469),#40925);
#3809=STYLED_ITEM('',(#72474),#40926);
#3810=STYLED_ITEM('',(#72469),#40927);
#3811=STYLED_ITEM('',(#72469),#40928);
#3812=STYLED_ITEM('',(#72469),#40929);
#3813=STYLED_ITEM('',(#72469),#40930);
#3814=STYLED_ITEM('',(#72469),#40931);
#3815=STYLED_ITEM('',(#72469),#40932);
#3816=STYLED_ITEM('',(#72469),#40933);
#3817=STYLED_ITEM('',(#72469),#40934);
#3818=STYLED_ITEM('',(#72469),#40935);
#3819=STYLED_ITEM('',(#72474),#40936);
#3820=STYLED_ITEM('',(#72474),#40937);
#3821=STYLED_ITEM('',(#72469),#40938);
#3822=STYLED_ITEM('',(#72469),#40939);
#3823=STYLED_ITEM('',(#72469),#40940);
#3824=STYLED_ITEM('',(#72469),#40941);
#3825=STYLED_ITEM('',(#72469),#40942);
#3826=STYLED_ITEM('',(#72469),#40943);
#3827=STYLED_ITEM('',(#72469),#40944);
#3828=STYLED_ITEM('',(#72469),#40945);
#3829=STYLED_ITEM('',(#72469),#40946);
#3830=STYLED_ITEM('',(#72469),#40947);
#3831=STYLED_ITEM('',(#72469),#40948);
#3832=STYLED_ITEM('',(#72469),#40949);
#3833=STYLED_ITEM('',(#72474),#40950);
#3834=STYLED_ITEM('',(#72474),#40951);
#3835=STYLED_ITEM('',(#72474),#40952);
#3836=STYLED_ITEM('',(#72474),#40953);
#3837=STYLED_ITEM('',(#72471),#40954);
#3838=STYLED_ITEM('',(#72474),#40955);
#3839=STYLED_ITEM('',(#72469),#40956);
#3840=STYLED_ITEM('',(#72469),#40957);
#3841=STYLED_ITEM('',(#72469),#40958);
#3842=STYLED_ITEM('',(#72469),#40959);
#3843=STYLED_ITEM('',(#72469),#40960);
#3844=STYLED_ITEM('',(#72469),#40961);
#3845=STYLED_ITEM('',(#72469),#40962);
#3846=STYLED_ITEM('',(#72469),#40963);
#3847=STYLED_ITEM('',(#72469),#40964);
#3848=STYLED_ITEM('',(#72469),#40965);
#3849=STYLED_ITEM('',(#72469),#40966);
#3850=STYLED_ITEM('',(#72469),#40967);
#3851=STYLED_ITEM('',(#72469),#40968);
#3852=STYLED_ITEM('',(#72469),#40969);
#3853=STYLED_ITEM('',(#72469),#40970);
#3854=STYLED_ITEM('',(#72469),#40971);
#3855=STYLED_ITEM('',(#72469),#40972);
#3856=STYLED_ITEM('',(#72469),#40973);
#3857=STYLED_ITEM('',(#72469),#40974);
#3858=STYLED_ITEM('',(#72469),#40975);
#3859=STYLED_ITEM('',(#72469),#40976);
#3860=STYLED_ITEM('',(#72469),#40977);
#3861=STYLED_ITEM('',(#72469),#40978);
#3862=STYLED_ITEM('',(#72469),#40979);
#3863=STYLED_ITEM('',(#72469),#40980);
#3864=STYLED_ITEM('',(#72469),#40981);
#3865=STYLED_ITEM('',(#72469),#40982);
#3866=STYLED_ITEM('',(#72469),#40983);
#3867=STYLED_ITEM('',(#72469),#40984);
#3868=STYLED_ITEM('',(#72469),#40985);
#3869=STYLED_ITEM('',(#72469),#40986);
#3870=STYLED_ITEM('',(#72469),#40987);
#3871=STYLED_ITEM('',(#72469),#40988);
#3872=STYLED_ITEM('',(#72469),#40989);
#3873=STYLED_ITEM('',(#72469),#40990);
#3874=STYLED_ITEM('',(#72469),#40991);
#3875=STYLED_ITEM('',(#72469),#40992);
#3876=STYLED_ITEM('',(#72469),#40993);
#3877=STYLED_ITEM('',(#72469),#40994);
#3878=STYLED_ITEM('',(#72469),#40995);
#3879=STYLED_ITEM('',(#72469),#40996);
#3880=STYLED_ITEM('',(#72469),#40997);
#3881=STYLED_ITEM('',(#72469),#40998);
#3882=STYLED_ITEM('',(#72469),#40999);
#3883=STYLED_ITEM('',(#72469),#41000);
#3884=STYLED_ITEM('',(#72469),#41001);
#3885=STYLED_ITEM('',(#72469),#41002);
#3886=STYLED_ITEM('',(#72469),#41003);
#3887=STYLED_ITEM('',(#72469),#41004);
#3888=STYLED_ITEM('',(#72469),#41005);
#3889=STYLED_ITEM('',(#72469),#41006);
#3890=STYLED_ITEM('',(#72469),#41007);
#3891=STYLED_ITEM('',(#72469),#41008);
#3892=STYLED_ITEM('',(#72469),#41009);
#3893=STYLED_ITEM('',(#72469),#41010);
#3894=STYLED_ITEM('',(#72469),#41011);
#3895=STYLED_ITEM('',(#72469),#41012);
#3896=STYLED_ITEM('',(#72469),#41013);
#3897=STYLED_ITEM('',(#72469),#41014);
#3898=STYLED_ITEM('',(#72469),#41015);
#3899=STYLED_ITEM('',(#72469),#41016);
#3900=STYLED_ITEM('',(#72469),#41017);
#3901=STYLED_ITEM('',(#72469),#41018);
#3902=STYLED_ITEM('',(#72469),#41019);
#3903=STYLED_ITEM('',(#72469),#41020);
#3904=STYLED_ITEM('',(#72469),#41021);
#3905=STYLED_ITEM('',(#72469),#41022);
#3906=STYLED_ITEM('',(#72469),#41023);
#3907=STYLED_ITEM('',(#72469),#41024);
#3908=STYLED_ITEM('',(#72469),#41025);
#3909=STYLED_ITEM('',(#72469),#41026);
#3910=STYLED_ITEM('',(#72469),#41027);
#3911=STYLED_ITEM('',(#72469),#41028);
#3912=STYLED_ITEM('',(#72469),#41029);
#3913=STYLED_ITEM('',(#72469),#41030);
#3914=STYLED_ITEM('',(#72469),#41031);
#3915=STYLED_ITEM('',(#72469),#41032);
#3916=STYLED_ITEM('',(#72469),#41033);
#3917=STYLED_ITEM('',(#72469),#41034);
#3918=STYLED_ITEM('',(#72469),#41035);
#3919=STYLED_ITEM('',(#72469),#41036);
#3920=STYLED_ITEM('',(#72469),#41037);
#3921=STYLED_ITEM('',(#72469),#41038);
#3922=STYLED_ITEM('',(#72469),#41039);
#3923=STYLED_ITEM('',(#72469),#41040);
#3924=STYLED_ITEM('',(#72469),#41041);
#3925=STYLED_ITEM('',(#72469),#41042);
#3926=STYLED_ITEM('',(#72469),#41043);
#3927=STYLED_ITEM('',(#72469),#41044);
#3928=STYLED_ITEM('',(#72469),#41045);
#3929=STYLED_ITEM('',(#72469),#41046);
#3930=STYLED_ITEM('',(#72469),#41047);
#3931=STYLED_ITEM('',(#72469),#41048);
#3932=STYLED_ITEM('',(#72469),#41049);
#3933=STYLED_ITEM('',(#72469),#41050);
#3934=STYLED_ITEM('',(#72469),#41051);
#3935=STYLED_ITEM('',(#72469),#41052);
#3936=STYLED_ITEM('',(#72469),#41053);
#3937=STYLED_ITEM('',(#72469),#41054);
#3938=STYLED_ITEM('',(#72469),#41055);
#3939=STYLED_ITEM('',(#72469),#41056);
#3940=STYLED_ITEM('',(#72469),#41057);
#3941=STYLED_ITEM('',(#72469),#41058);
#3942=STYLED_ITEM('',(#72469),#41059);
#3943=STYLED_ITEM('',(#72469),#41060);
#3944=STYLED_ITEM('',(#72469),#41061);
#3945=STYLED_ITEM('',(#72469),#41062);
#3946=STYLED_ITEM('',(#72474),#41063);
#3947=STYLED_ITEM('',(#72469),#41064);
#3948=STYLED_ITEM('',(#72469),#41065);
#3949=STYLED_ITEM('',(#72469),#41066);
#3950=STYLED_ITEM('',(#72469),#41067);
#3951=STYLED_ITEM('',(#72469),#41068);
#3952=STYLED_ITEM('',(#72469),#41069);
#3953=STYLED_ITEM('',(#72469),#41070);
#3954=STYLED_ITEM('',(#72469),#41071);
#3955=STYLED_ITEM('',(#72469),#41072);
#3956=STYLED_ITEM('',(#72469),#41073);
#3957=STYLED_ITEM('',(#72469),#41074);
#3958=STYLED_ITEM('',(#72469),#41075);
#3959=STYLED_ITEM('',(#72469),#41076);
#3960=STYLED_ITEM('',(#72469),#41077);
#3961=STYLED_ITEM('',(#72469),#41078);
#3962=STYLED_ITEM('',(#72469),#41079);
#3963=STYLED_ITEM('',(#72469),#41080);
#3964=STYLED_ITEM('',(#72469),#41081);
#3965=STYLED_ITEM('',(#72469),#41082);
#3966=STYLED_ITEM('',(#72469),#41083);
#3967=STYLED_ITEM('',(#72469),#41084);
#3968=STYLED_ITEM('',(#72469),#41085);
#3969=STYLED_ITEM('',(#72469),#41086);
#3970=STYLED_ITEM('',(#72469),#41087);
#3971=STYLED_ITEM('',(#72469),#41088);
#3972=STYLED_ITEM('',(#72469),#41089);
#3973=STYLED_ITEM('',(#72469),#41090);
#3974=STYLED_ITEM('',(#72469),#41091);
#3975=STYLED_ITEM('',(#72469),#41092);
#3976=STYLED_ITEM('',(#72469),#41093);
#3977=STYLED_ITEM('',(#72469),#41094);
#3978=STYLED_ITEM('',(#72469),#41095);
#3979=STYLED_ITEM('',(#72469),#41096);
#3980=STYLED_ITEM('',(#72469),#41097);
#3981=STYLED_ITEM('',(#72469),#41098);
#3982=STYLED_ITEM('',(#72469),#41099);
#3983=STYLED_ITEM('',(#72469),#41100);
#3984=STYLED_ITEM('',(#72469),#41101);
#3985=STYLED_ITEM('',(#72469),#41102);
#3986=STYLED_ITEM('',(#72469),#41103);
#3987=STYLED_ITEM('',(#72469),#41104);
#3988=STYLED_ITEM('',(#72469),#41105);
#3989=STYLED_ITEM('',(#72469),#41106);
#3990=STYLED_ITEM('',(#72469),#41107);
#3991=STYLED_ITEM('',(#72469),#41108);
#3992=STYLED_ITEM('',(#72469),#41109);
#3993=STYLED_ITEM('',(#72469),#41110);
#3994=STYLED_ITEM('',(#72469),#41111);
#3995=STYLED_ITEM('',(#72469),#41112);
#3996=STYLED_ITEM('',(#72469),#41113);
#3997=STYLED_ITEM('',(#72469),#41114);
#3998=STYLED_ITEM('',(#72469),#41115);
#3999=STYLED_ITEM('',(#72469),#41116);
#4000=STYLED_ITEM('',(#72469),#41117);
#4001=STYLED_ITEM('',(#72469),#41118);
#4002=STYLED_ITEM('',(#72469),#41119);
#4003=STYLED_ITEM('',(#72469),#41120);
#4004=STYLED_ITEM('',(#72469),#41121);
#4005=STYLED_ITEM('',(#72469),#41122);
#4006=STYLED_ITEM('',(#72469),#41123);
#4007=STYLED_ITEM('',(#72469),#41124);
#4008=STYLED_ITEM('',(#72469),#41125);
#4009=STYLED_ITEM('',(#72469),#41126);
#4010=STYLED_ITEM('',(#72469),#41127);
#4011=STYLED_ITEM('',(#72469),#41128);
#4012=STYLED_ITEM('',(#72469),#41129);
#4013=STYLED_ITEM('',(#72469),#41130);
#4014=STYLED_ITEM('',(#72469),#41131);
#4015=STYLED_ITEM('',(#72469),#41132);
#4016=STYLED_ITEM('',(#72469),#41133);
#4017=STYLED_ITEM('',(#72469),#41134);
#4018=STYLED_ITEM('',(#72469),#41135);
#4019=STYLED_ITEM('',(#72469),#41136);
#4020=STYLED_ITEM('',(#72469),#41137);
#4021=STYLED_ITEM('',(#72469),#41138);
#4022=STYLED_ITEM('',(#72469),#41139);
#4023=STYLED_ITEM('',(#72469),#41140);
#4024=STYLED_ITEM('',(#72469),#41141);
#4025=STYLED_ITEM('',(#72469),#41142);
#4026=STYLED_ITEM('',(#72469),#41143);
#4027=STYLED_ITEM('',(#72469),#41144);
#4028=STYLED_ITEM('',(#72469),#41145);
#4029=STYLED_ITEM('',(#72469),#41146);
#4030=STYLED_ITEM('',(#72469),#41147);
#4031=STYLED_ITEM('',(#72469),#41148);
#4032=STYLED_ITEM('',(#72469),#41149);
#4033=STYLED_ITEM('',(#72469),#41150);
#4034=STYLED_ITEM('',(#72469),#41151);
#4035=STYLED_ITEM('',(#72469),#41152);
#4036=STYLED_ITEM('',(#72469),#41153);
#4037=STYLED_ITEM('',(#72469),#41154);
#4038=STYLED_ITEM('',(#72469),#41155);
#4039=STYLED_ITEM('',(#72469),#41156);
#4040=STYLED_ITEM('',(#72469),#41157);
#4041=STYLED_ITEM('',(#72469),#41158);
#4042=STYLED_ITEM('',(#72469),#41159);
#4043=STYLED_ITEM('',(#72469),#41160);
#4044=STYLED_ITEM('',(#72469),#41161);
#4045=STYLED_ITEM('',(#72469),#41162);
#4046=STYLED_ITEM('',(#72469),#41163);
#4047=STYLED_ITEM('',(#72469),#41164);
#4048=STYLED_ITEM('',(#72469),#41165);
#4049=STYLED_ITEM('',(#72469),#41166);
#4050=STYLED_ITEM('',(#72469),#41167);
#4051=STYLED_ITEM('',(#72469),#41168);
#4052=STYLED_ITEM('',(#72469),#41169);
#4053=STYLED_ITEM('',(#72469),#41170);
#4054=STYLED_ITEM('',(#72469),#41171);
#4055=STYLED_ITEM('',(#72469),#41172);
#4056=STYLED_ITEM('',(#72469),#41173);
#4057=STYLED_ITEM('',(#72469),#41174);
#4058=STYLED_ITEM('',(#72469),#41175);
#4059=STYLED_ITEM('',(#72469),#41176);
#4060=STYLED_ITEM('',(#72469),#41177);
#4061=STYLED_ITEM('',(#72469),#41178);
#4062=STYLED_ITEM('',(#72469),#41179);
#4063=STYLED_ITEM('',(#72469),#41180);
#4064=STYLED_ITEM('',(#72469),#41181);
#4065=STYLED_ITEM('',(#72469),#41182);
#4066=STYLED_ITEM('',(#72469),#41183);
#4067=STYLED_ITEM('',(#72469),#41184);
#4068=STYLED_ITEM('',(#72469),#41185);
#4069=STYLED_ITEM('',(#72469),#41186);
#4070=STYLED_ITEM('',(#72469),#41187);
#4071=STYLED_ITEM('',(#72469),#41188);
#4072=STYLED_ITEM('',(#72469),#41189);
#4073=STYLED_ITEM('',(#72469),#41190);
#4074=STYLED_ITEM('',(#72469),#41191);
#4075=STYLED_ITEM('',(#72469),#41192);
#4076=STYLED_ITEM('',(#72469),#41193);
#4077=STYLED_ITEM('',(#72469),#41194);
#4078=STYLED_ITEM('',(#72469),#41195);
#4079=STYLED_ITEM('',(#72469),#41196);
#4080=STYLED_ITEM('',(#72469),#41197);
#4081=STYLED_ITEM('',(#72469),#41198);
#4082=STYLED_ITEM('',(#72469),#41199);
#4083=STYLED_ITEM('',(#72469),#41200);
#4084=STYLED_ITEM('',(#72469),#41201);
#4085=STYLED_ITEM('',(#72469),#41202);
#4086=STYLED_ITEM('',(#72469),#41203);
#4087=STYLED_ITEM('',(#72469),#41204);
#4088=STYLED_ITEM('',(#72469),#41205);
#4089=STYLED_ITEM('',(#72469),#41206);
#4090=STYLED_ITEM('',(#72469),#41207);
#4091=STYLED_ITEM('',(#72469),#41208);
#4092=STYLED_ITEM('',(#72469),#41209);
#4093=STYLED_ITEM('',(#72469),#41210);
#4094=STYLED_ITEM('',(#72469),#41211);
#4095=STYLED_ITEM('',(#72469),#41212);
#4096=STYLED_ITEM('',(#72469),#41213);
#4097=STYLED_ITEM('',(#72469),#41214);
#4098=STYLED_ITEM('',(#72469),#41215);
#4099=STYLED_ITEM('',(#72469),#41216);
#4100=STYLED_ITEM('',(#72469),#41217);
#4101=STYLED_ITEM('',(#72469),#41218);
#4102=STYLED_ITEM('',(#72469),#41219);
#4103=STYLED_ITEM('',(#72469),#41220);
#4104=STYLED_ITEM('',(#72469),#41221);
#4105=STYLED_ITEM('',(#72469),#41222);
#4106=STYLED_ITEM('',(#72469),#41223);
#4107=STYLED_ITEM('',(#72469),#41224);
#4108=STYLED_ITEM('',(#72469),#41225);
#4109=STYLED_ITEM('',(#72469),#41226);
#4110=STYLED_ITEM('',(#72469),#41227);
#4111=STYLED_ITEM('',(#72469),#41228);
#4112=STYLED_ITEM('',(#72469),#41229);
#4113=STYLED_ITEM('',(#72469),#41230);
#4114=STYLED_ITEM('',(#72469),#41231);
#4115=STYLED_ITEM('',(#72469),#41232);
#4116=STYLED_ITEM('',(#72469),#41233);
#4117=STYLED_ITEM('',(#72469),#41234);
#4118=STYLED_ITEM('',(#72469),#41235);
#4119=STYLED_ITEM('',(#72469),#41236);
#4120=STYLED_ITEM('',(#72469),#41237);
#4121=STYLED_ITEM('',(#72469),#41238);
#4122=STYLED_ITEM('',(#72469),#41239);
#4123=STYLED_ITEM('',(#72469),#41240);
#4124=STYLED_ITEM('',(#72469),#41241);
#4125=STYLED_ITEM('',(#72469),#41242);
#4126=STYLED_ITEM('',(#72469),#41243);
#4127=STYLED_ITEM('',(#72469),#41244);
#4128=STYLED_ITEM('',(#72469),#41245);
#4129=STYLED_ITEM('',(#72469),#41246);
#4130=STYLED_ITEM('',(#72469),#41247);
#4131=STYLED_ITEM('',(#72469),#41248);
#4132=STYLED_ITEM('',(#72469),#41249);
#4133=STYLED_ITEM('',(#72469),#41250);
#4134=STYLED_ITEM('',(#72469),#41251);
#4135=STYLED_ITEM('',(#72469),#41252);
#4136=STYLED_ITEM('',(#72469),#41253);
#4137=STYLED_ITEM('',(#72469),#41254);
#4138=STYLED_ITEM('',(#72469),#41255);
#4139=STYLED_ITEM('',(#72469),#41256);
#4140=STYLED_ITEM('',(#72469),#41257);
#4141=STYLED_ITEM('',(#72469),#41258);
#4142=STYLED_ITEM('',(#72469),#41259);
#4143=STYLED_ITEM('',(#72471),#41260);
#4144=STYLED_ITEM('',(#72462),#4584);
#4145=STYLED_ITEM('',(#72474),#41261);
#4146=STYLED_ITEM('',(#72474),#41262);
#4147=STYLED_ITEM('',(#72474),#41263);
#4148=STYLED_ITEM('',(#72474),#41264);
#4149=STYLED_ITEM('',(#72474),#41265);
#4150=STYLED_ITEM('',(#72474),#41266);
#4151=STYLED_ITEM('',(#72474),#41267);
#4152=STYLED_ITEM('',(#72469),#41268);
#4153=STYLED_ITEM('',(#72469),#41269);
#4154=STYLED_ITEM('',(#72474),#41270);
#4155=STYLED_ITEM('',(#72474),#41271);
#4156=STYLED_ITEM('',(#72469),#41272);
#4157=STYLED_ITEM('',(#72474),#41273);
#4158=STYLED_ITEM('',(#72474),#41274);
#4159=STYLED_ITEM('',(#72474),#41275);
#4160=STYLED_ITEM('',(#72469),#41276);
#4161=STYLED_ITEM('',(#72474),#41277);
#4162=STYLED_ITEM('',(#72474),#41278);
#4163=STYLED_ITEM('',(#72469),#41279);
#4164=STYLED_ITEM('',(#72469),#41280);
#4165=STYLED_ITEM('',(#72474),#41281);
#4166=STYLED_ITEM('',(#72469),#41282);
#4167=STYLED_ITEM('',(#72469),#41283);
#4168=STYLED_ITEM('',(#72469),#41284);
#4169=STYLED_ITEM('',(#72469),#41285);
#4170=STYLED_ITEM('',(#72474),#41286);
#4171=STYLED_ITEM('',(#72469),#41287);
#4172=STYLED_ITEM('',(#72469),#41288);
#4173=STYLED_ITEM('',(#72474),#41289);
#4174=STYLED_ITEM('',(#72469),#41290);
#4175=STYLED_ITEM('',(#72474),#41291);
#4176=STYLED_ITEM('',(#72474),#41292);
#4177=STYLED_ITEM('',(#72469),#41293);
#4178=STYLED_ITEM('',(#72469),#41294);
#4179=STYLED_ITEM('',(#72474),#41295);
#4180=STYLED_ITEM('',(#72469),#41296);
#4181=STYLED_ITEM('',(#72474),#41297);
#4182=STYLED_ITEM('',(#72474),#41298);
#4183=STYLED_ITEM('',(#72469),#41299);
#4184=STYLED_ITEM('',(#72469),#41300);
#4185=STYLED_ITEM('',(#72469),#41301);
#4186=STYLED_ITEM('',(#72469),#41302);
#4187=STYLED_ITEM('',(#72469),#41303);
#4188=STYLED_ITEM('',(#72469),#41304);
#4189=STYLED_ITEM('',(#72469),#41305);
#4190=STYLED_ITEM('',(#72469),#41306);
#4191=STYLED_ITEM('',(#72469),#41307);
#4192=STYLED_ITEM('',(#72469),#41308);
#4193=STYLED_ITEM('',(#72469),#41309);
#4194=STYLED_ITEM('',(#72469),#41310);
#4195=STYLED_ITEM('',(#72469),#41311);
#4196=STYLED_ITEM('',(#72469),#41312);
#4197=STYLED_ITEM('',(#72469),#41313);
#4198=STYLED_ITEM('',(#72469),#41314);
#4199=STYLED_ITEM('',(#72469),#41315);
#4200=STYLED_ITEM('',(#72469),#41316);
#4201=STYLED_ITEM('',(#72469),#41317);
#4202=STYLED_ITEM('',(#72469),#41318);
#4203=STYLED_ITEM('',(#72469),#41319);
#4204=STYLED_ITEM('',(#72469),#41320);
#4205=STYLED_ITEM('',(#72469),#41321);
#4206=STYLED_ITEM('',(#72469),#41322);
#4207=STYLED_ITEM('',(#72469),#41323);
#4208=STYLED_ITEM('',(#72469),#41324);
#4209=STYLED_ITEM('',(#72469),#41325);
#4210=STYLED_ITEM('',(#72474),#41326);
#4211=STYLED_ITEM('',(#72474),#41327);
#4212=STYLED_ITEM('',(#72469),#41328);
#4213=STYLED_ITEM('',(#72469),#41329);
#4214=STYLED_ITEM('',(#72469),#41330);
#4215=STYLED_ITEM('',(#72469),#41331);
#4216=STYLED_ITEM('',(#72469),#41332);
#4217=STYLED_ITEM('',(#72469),#41333);
#4218=STYLED_ITEM('',(#72469),#41334);
#4219=STYLED_ITEM('',(#72469),#41335);
#4220=STYLED_ITEM('',(#72469),#41336);
#4221=STYLED_ITEM('',(#72474),#41337);
#4222=STYLED_ITEM('',(#72474),#41338);
#4223=STYLED_ITEM('',(#72462),#4585);
#4224=STYLED_ITEM('',(#72472),#41339);
#4225=STYLED_ITEM('',(#72472),#41340);
#4226=STYLED_ITEM('',(#72469),#41341);
#4227=STYLED_ITEM('',(#72469),#41342);
#4228=STYLED_ITEM('',(#72469),#41343);
#4229=STYLED_ITEM('',(#72469),#41344);
#4230=STYLED_ITEM('',(#72469),#41345);
#4231=STYLED_ITEM('',(#72469),#41346);
#4232=STYLED_ITEM('',(#72469),#41347);
#4233=STYLED_ITEM('',(#72469),#41348);
#4234=STYLED_ITEM('',(#72469),#41349);
#4235=STYLED_ITEM('',(#72472),#41350);
#4236=STYLED_ITEM('',(#72472),#41351);
#4237=STYLED_ITEM('',(#72472),#41352);
#4238=STYLED_ITEM('',(#72472),#41353);
#4239=STYLED_ITEM('',(#72472),#41354);
#4240=STYLED_ITEM('',(#72472),#41355);
#4241=STYLED_ITEM('',(#72472),#41356);
#4242=STYLED_ITEM('',(#72472),#41357);
#4243=STYLED_ITEM('',(#72472),#41358);
#4244=STYLED_ITEM('',(#72472),#41359);
#4245=STYLED_ITEM('',(#72472),#41360);
#4246=STYLED_ITEM('',(#72472),#41361);
#4247=STYLED_ITEM('',(#72472),#41362);
#4248=STYLED_ITEM('',(#72472),#41363);
#4249=STYLED_ITEM('',(#72472),#41364);
#4250=STYLED_ITEM('',(#72472),#41365);
#4251=STYLED_ITEM('',(#72472),#41366);
#4252=STYLED_ITEM('',(#72469),#41367);
#4253=STYLED_ITEM('',(#72469),#41368);
#4254=STYLED_ITEM('',(#72469),#41369);
#4255=STYLED_ITEM('',(#72469),#41370);
#4256=STYLED_ITEM('',(#72469),#41371);
#4257=STYLED_ITEM('',(#72469),#41372);
#4258=STYLED_ITEM('',(#72469),#41373);
#4259=STYLED_ITEM('',(#72469),#41374);
#4260=STYLED_ITEM('',(#72469),#41375);
#4261=STYLED_ITEM('',(#72469),#41376);
#4262=STYLED_ITEM('',(#72469),#41377);
#4263=STYLED_ITEM('',(#72469),#41378);
#4264=STYLED_ITEM('',(#72472),#41379);
#4265=STYLED_ITEM('',(#72469),#41380);
#4266=STYLED_ITEM('',(#72469),#41381);
#4267=STYLED_ITEM('',(#72469),#41382);
#4268=STYLED_ITEM('',(#72469),#41383);
#4269=STYLED_ITEM('',(#72469),#41384);
#4270=STYLED_ITEM('',(#72469),#41385);
#4271=STYLED_ITEM('',(#72469),#41386);
#4272=STYLED_ITEM('',(#72469),#41387);
#4273=STYLED_ITEM('',(#72469),#41388);
#4274=STYLED_ITEM('',(#72469),#41389);
#4275=STYLED_ITEM('',(#72469),#41390);
#4276=STYLED_ITEM('',(#72469),#41391);
#4277=STYLED_ITEM('',(#72469),#41392);
#4278=STYLED_ITEM('',(#72469),#41393);
#4279=STYLED_ITEM('',(#72469),#41394);
#4280=STYLED_ITEM('',(#72469),#41395);
#4281=STYLED_ITEM('',(#72469),#41396);
#4282=STYLED_ITEM('',(#72469),#41397);
#4283=STYLED_ITEM('',(#72469),#41398);
#4284=STYLED_ITEM('',(#72469),#41399);
#4285=STYLED_ITEM('',(#72469),#41400);
#4286=STYLED_ITEM('',(#72469),#41401);
#4287=STYLED_ITEM('',(#72469),#41402);
#4288=STYLED_ITEM('',(#72469),#41403);
#4289=STYLED_ITEM('',(#72469),#41404);
#4290=STYLED_ITEM('',(#72469),#41405);
#4291=STYLED_ITEM('',(#72469),#41406);
#4292=STYLED_ITEM('',(#72469),#41407);
#4293=STYLED_ITEM('',(#72469),#41408);
#4294=STYLED_ITEM('',(#72469),#41409);
#4295=STYLED_ITEM('',(#72469),#41410);
#4296=STYLED_ITEM('',(#72469),#41411);
#4297=STYLED_ITEM('',(#72469),#41412);
#4298=STYLED_ITEM('',(#72469),#41413);
#4299=STYLED_ITEM('',(#72469),#41414);
#4300=STYLED_ITEM('',(#72469),#41415);
#4301=STYLED_ITEM('',(#72469),#41416);
#4302=STYLED_ITEM('',(#72469),#41417);
#4303=STYLED_ITEM('',(#72469),#41418);
#4304=STYLED_ITEM('',(#72469),#41419);
#4305=STYLED_ITEM('',(#72469),#41420);
#4306=STYLED_ITEM('',(#72469),#41421);
#4307=STYLED_ITEM('',(#72469),#41422);
#4308=STYLED_ITEM('',(#72469),#41423);
#4309=STYLED_ITEM('',(#72469),#41424);
#4310=STYLED_ITEM('',(#72469),#41425);
#4311=STYLED_ITEM('',(#72469),#41426);
#4312=STYLED_ITEM('',(#72469),#41427);
#4313=STYLED_ITEM('',(#72469),#41428);
#4314=STYLED_ITEM('',(#72469),#41429);
#4315=STYLED_ITEM('',(#72469),#41430);
#4316=STYLED_ITEM('',(#72469),#41431);
#4317=STYLED_ITEM('',(#72469),#41432);
#4318=STYLED_ITEM('',(#72469),#41433);
#4319=STYLED_ITEM('',(#72469),#41434);
#4320=STYLED_ITEM('',(#72469),#41435);
#4321=STYLED_ITEM('',(#72469),#41436);
#4322=STYLED_ITEM('',(#72469),#41437);
#4323=STYLED_ITEM('',(#72469),#41438);
#4324=STYLED_ITEM('',(#72469),#41439);
#4325=STYLED_ITEM('',(#72469),#41440);
#4326=STYLED_ITEM('',(#72469),#41441);
#4327=STYLED_ITEM('',(#72469),#41442);
#4328=STYLED_ITEM('',(#72469),#41443);
#4329=STYLED_ITEM('',(#72462),#4586);
#4330=STYLED_ITEM('',(#72469),#41444);
#4331=STYLED_ITEM('',(#72469),#41445);
#4332=STYLED_ITEM('',(#72469),#41446);
#4333=STYLED_ITEM('',(#72469),#41447);
#4334=STYLED_ITEM('',(#72469),#41448);
#4335=STYLED_ITEM('',(#72469),#41449);
#4336=STYLED_ITEM('',(#72469),#41450);
#4337=STYLED_ITEM('',(#72475),#41451);
#4338=STYLED_ITEM('',(#72469),#41452);
#4339=STYLED_ITEM('',(#72469),#41453);
#4340=STYLED_ITEM('',(#72475),#41454);
#4341=STYLED_ITEM('',(#72475),#41455);
#4342=STYLED_ITEM('',(#72469),#41456);
#4343=STYLED_ITEM('',(#72476),#41457);
#4344=STYLED_ITEM('',(#72476),#41458);
#4345=STYLED_ITEM('',(#72469),#41459);
#4346=STYLED_ITEM('',(#72476),#41460);
#4347=STYLED_ITEM('',(#72469),#41461);
#4348=STYLED_ITEM('',(#72469),#41462);
#4349=STYLED_ITEM('',(#72469),#41463);
#4350=STYLED_ITEM('',(#72469),#41464);
#4351=STYLED_ITEM('',(#72469),#41465);
#4352=STYLED_ITEM('',(#72469),#41466);
#4353=STYLED_ITEM('',(#72469),#41467);
#4354=STYLED_ITEM('',(#72476),#41468);
#4355=STYLED_ITEM('',(#72476),#41469);
#4356=STYLED_ITEM('',(#72462),#4587);
#4357=STYLED_ITEM('',(#72472),#41470);
#4358=STYLED_ITEM('',(#72472),#41471);
#4359=STYLED_ITEM('',(#72472),#41472);
#4360=STYLED_ITEM('',(#72472),#41473);
#4361=STYLED_ITEM('',(#72472),#41474);
#4362=STYLED_ITEM('',(#72472),#41475);
#4363=STYLED_ITEM('',(#72472),#41476);
#4364=STYLED_ITEM('',(#72472),#41477);
#4365=STYLED_ITEM('',(#72472),#41478);
#4366=STYLED_ITEM('',(#72472),#41479);
#4367=STYLED_ITEM('',(#72472),#41480);
#4368=STYLED_ITEM('',(#72472),#41481);
#4369=STYLED_ITEM('',(#72472),#41482);
#4370=STYLED_ITEM('',(#72472),#41483);
#4371=STYLED_ITEM('',(#72472),#41484);
#4372=STYLED_ITEM('',(#72472),#41485);
#4373=STYLED_ITEM('',(#72472),#41486);
#4374=STYLED_ITEM('',(#72472),#41487);
#4375=STYLED_ITEM('',(#72472),#41488);
#4376=STYLED_ITEM('',(#72472),#41489);
#4377=STYLED_ITEM('',(#72472),#41490);
#4378=STYLED_ITEM('',(#72472),#41491);
#4379=STYLED_ITEM('',(#72472),#41492);
#4380=STYLED_ITEM('',(#72472),#41493);
#4381=STYLED_ITEM('',(#72472),#41494);
#4382=STYLED_ITEM('',(#72472),#41495);
#4383=STYLED_ITEM('',(#72472),#41496);
#4384=STYLED_ITEM('',(#72472),#41497);
#4385=STYLED_ITEM('',(#72472),#41498);
#4386=STYLED_ITEM('',(#72472),#41499);
#4387=STYLED_ITEM('',(#72472),#41500);
#4388=STYLED_ITEM('',(#72472),#41501);
#4389=STYLED_ITEM('',(#72472),#41502);
#4390=STYLED_ITEM('',(#72472),#41503);
#4391=STYLED_ITEM('',(#72477),#41504);
#4392=STYLED_ITEM('',(#72477),#41505);
#4393=STYLED_ITEM('',(#72477),#41506);
#4394=STYLED_ITEM('',(#72477),#41507);
#4395=STYLED_ITEM('',(#72477),#41508);
#4396=STYLED_ITEM('',(#72477),#41509);
#4397=STYLED_ITEM('',(#72477),#41510);
#4398=STYLED_ITEM('',(#72477),#41511);
#4399=STYLED_ITEM('',(#72477),#41512);
#4400=STYLED_ITEM('',(#72477),#41513);
#4401=STYLED_ITEM('',(#72477),#41514);
#4402=STYLED_ITEM('',(#72477),#41515);
#4403=STYLED_ITEM('',(#72477),#41516);
#4404=STYLED_ITEM('',(#72477),#41517);
#4405=STYLED_ITEM('',(#72477),#41518);
#4406=STYLED_ITEM('',(#72477),#41519);
#4407=STYLED_ITEM('',(#72477),#41520);
#4408=STYLED_ITEM('',(#72477),#41521);
#4409=STYLED_ITEM('',(#72477),#41522);
#4410=STYLED_ITEM('',(#72477),#41523);
#4411=STYLED_ITEM('',(#72477),#41524);
#4412=STYLED_ITEM('',(#72477),#41525);
#4413=STYLED_ITEM('',(#72477),#41526);
#4414=STYLED_ITEM('',(#72477),#41527);
#4415=STYLED_ITEM('',(#72477),#41528);
#4416=STYLED_ITEM('',(#72477),#41529);
#4417=STYLED_ITEM('',(#72477),#41530);
#4418=STYLED_ITEM('',(#72477),#41531);
#4419=STYLED_ITEM('',(#72477),#41532);
#4420=STYLED_ITEM('',(#72477),#41533);
#4421=STYLED_ITEM('',(#72477),#41534);
#4422=STYLED_ITEM('',(#72477),#41535);
#4423=STYLED_ITEM('',(#72477),#41536);
#4424=STYLED_ITEM('',(#72477),#41537);
#4425=STYLED_ITEM('',(#72477),#41538);
#4426=STYLED_ITEM('',(#72477),#41539);
#4427=STYLED_ITEM('',(#72477),#41540);
#4428=STYLED_ITEM('',(#72477),#41541);
#4429=STYLED_ITEM('',(#72477),#41542);
#4430=STYLED_ITEM('',(#72477),#41543);
#4431=STYLED_ITEM('',(#72477),#41544);
#4432=STYLED_ITEM('',(#72477),#41545);
#4433=STYLED_ITEM('',(#72477),#41546);
#4434=STYLED_ITEM('',(#72477),#41547);
#4435=STYLED_ITEM('',(#72477),#41548);
#4436=STYLED_ITEM('',(#72477),#41549);
#4437=STYLED_ITEM('',(#72477),#41550);
#4438=STYLED_ITEM('',(#72477),#41551);
#4439=STYLED_ITEM('',(#72477),#41552);
#4440=STYLED_ITEM('',(#72477),#41553);
#4441=STYLED_ITEM('',(#72477),#41554);
#4442=STYLED_ITEM('',(#72477),#41555);
#4443=STYLED_ITEM('',(#72477),#41556);
#4444=STYLED_ITEM('',(#72477),#41557);
#4445=STYLED_ITEM('',(#72477),#41558);
#4446=STYLED_ITEM('',(#72477),#41559);
#4447=STYLED_ITEM('',(#72477),#41560);
#4448=STYLED_ITEM('',(#72477),#41561);
#4449=STYLED_ITEM('',(#72477),#41562);
#4450=STYLED_ITEM('',(#72477),#41563);
#4451=STYLED_ITEM('',(#72477),#41564);
#4452=STYLED_ITEM('',(#72477),#41565);
#4453=STYLED_ITEM('',(#72477),#41566);
#4454=STYLED_ITEM('',(#72477),#41567);
#4455=STYLED_ITEM('',(#72477),#41568);
#4456=STYLED_ITEM('',(#72477),#41569);
#4457=STYLED_ITEM('',(#72477),#41570);
#4458=STYLED_ITEM('',(#72477),#41571);
#4459=STYLED_ITEM('',(#72477),#41572);
#4460=STYLED_ITEM('',(#72477),#41573);
#4461=STYLED_ITEM('',(#72477),#41574);
#4462=STYLED_ITEM('',(#72477),#41575);
#4463=STYLED_ITEM('',(#72477),#41576);
#4464=STYLED_ITEM('',(#72477),#41577);
#4465=STYLED_ITEM('',(#72477),#41578);
#4466=STYLED_ITEM('',(#72477),#41579);
#4467=STYLED_ITEM('',(#72477),#41580);
#4468=STYLED_ITEM('',(#72477),#41581);
#4469=STYLED_ITEM('',(#72477),#41582);
#4470=STYLED_ITEM('',(#72477),#41583);
#4471=STYLED_ITEM('',(#72477),#41584);
#4472=STYLED_ITEM('',(#72477),#41585);
#4473=STYLED_ITEM('',(#72477),#41586);
#4474=STYLED_ITEM('',(#72477),#41587);
#4475=STYLED_ITEM('',(#72477),#41588);
#4476=STYLED_ITEM('',(#72477),#41589);
#4477=STYLED_ITEM('',(#72477),#41590);
#4478=STYLED_ITEM('',(#72477),#41591);
#4479=STYLED_ITEM('',(#72477),#41592);
#4480=STYLED_ITEM('',(#72477),#41593);
#4481=STYLED_ITEM('',(#72462),#4588);
#4482=STYLED_ITEM('',(#72475),#41594);
#4483=STYLED_ITEM('',(#72475),#41595);
#4484=STYLED_ITEM('',(#72475),#41596);
#4485=STYLED_ITEM('',(#72475),#41597);
#4486=STYLED_ITEM('',(#72475),#41598);
#4487=STYLED_ITEM('',(#72475),#41599);
#4488=STYLED_ITEM('',(#72475),#41600);
#4489=STYLED_ITEM('',(#72477),#41601);
#4490=STYLED_ITEM('',(#72475),#41602);
#4491=STYLED_ITEM('',(#72477),#41603);
#4492=STYLED_ITEM('',(#72475),#41604);
#4493=STYLED_ITEM('',(#72477),#41605);
#4494=STYLED_ITEM('',(#72475),#41606);
#4495=STYLED_ITEM('',(#72475),#41607);
#4496=STYLED_ITEM('',(#72477),#41608);
#4497=STYLED_ITEM('',(#72475),#41609);
#4498=STYLED_ITEM('',(#72477),#41610);
#4499=STYLED_ITEM('',(#72477),#41611);
#4500=STYLED_ITEM('',(#72477),#41612);
#4501=STYLED_ITEM('',(#72477),#41613);
#4502=STYLED_ITEM('',(#72477),#41614);
#4503=STYLED_ITEM('',(#72477),#41615);
#4504=STYLED_ITEM('',(#72477),#41616);
#4505=STYLED_ITEM('',(#72477),#41617);
#4506=STYLED_ITEM('',(#72477),#41618);
#4507=STYLED_ITEM('',(#72477),#41619);
#4508=STYLED_ITEM('',(#72477),#41620);
#4509=STYLED_ITEM('',(#72477),#41621);
#4510=STYLED_ITEM('',(#72477),#41622);
#4511=STYLED_ITEM('',(#72477),#41623);
#4512=STYLED_ITEM('',(#72477),#41624);
#4513=STYLED_ITEM('',(#72477),#41625);
#4514=STYLED_ITEM('',(#72477),#41626);
#4515=STYLED_ITEM('',(#72477),#41627);
#4516=STYLED_ITEM('',(#72477),#41628);
#4517=STYLED_ITEM('',(#72478),#41629);
#4518=STYLED_ITEM('',(#72478),#41630);
#4519=STYLED_ITEM('',(#72478),#41631);
#4520=STYLED_ITEM('',(#72477),#41632);
#4521=STYLED_ITEM('',(#72477),#41633);
#4522=STYLED_ITEM('',(#72477),#41634);
#4523=STYLED_ITEM('',(#72477),#41635);
#4524=STYLED_ITEM('',(#72477),#41636);
#4525=STYLED_ITEM('',(#72477),#41637);
#4526=STYLED_ITEM('',(#72477),#41638);
#4527=STYLED_ITEM('',(#72477),#41639);
#4528=STYLED_ITEM('',(#72477),#41640);
#4529=STYLED_ITEM('',(#72477),#41641);
#4530=STYLED_ITEM('',(#72477),#41642);
#4531=STYLED_ITEM('',(#72478),#41643);
#4532=STYLED_ITEM('',(#72462),#4589);
#4533=STYLED_ITEM('',(#72469),#41644);
#4534=STYLED_ITEM('',(#72469),#41645);
#4535=STYLED_ITEM('',(#72469),#41646);
#4536=STYLED_ITEM('',(#72469),#41647);
#4537=STYLED_ITEM('',(#72469),#41648);
#4538=STYLED_ITEM('',(#72469),#41649);
#4539=STYLED_ITEM('',(#72469),#41650);
#4540=STYLED_ITEM('',(#72469),#41651);
#4541=STYLED_ITEM('',(#72469),#41652);
#4542=STYLED_ITEM('',(#72469),#41653);
#4543=STYLED_ITEM('',(#72479),#41654);
#4544=STYLED_ITEM('',(#72479),#41655);
#4545=STYLED_ITEM('',(#72479),#41656);
#4546=STYLED_ITEM('',(#72479),#41657);
#4547=STYLED_ITEM('',(#72479),#41658);
#4548=STYLED_ITEM('',(#72479),#41659);
#4549=STYLED_ITEM('',(#72479),#41660);
#4550=STYLED_ITEM('',(#72479),#41661);
#4551=STYLED_ITEM('',(#72469),#41662);
#4552=STYLED_ITEM('',(#72469),#41663);
#4553=STYLED_ITEM('',(#72469),#41664);
#4554=STYLED_ITEM('',(#72469),#41665);
#4555=STYLED_ITEM('',(#72469),#41666);
#4556=STYLED_ITEM('',(#72469),#41667);
#4557=STYLED_ITEM('',(#72469),#41668);
#4558=STYLED_ITEM('',(#72469),#41669);
#4559=STYLED_ITEM('',(#72469),#41670);
#4560=STYLED_ITEM('',(#72469),#41671);
#4561=STYLED_ITEM('',(#72462),#4590);
#4562=STYLED_ITEM('',(#72480),#4591);
#4563=STYLED_ITEM('',(#72463),#4592);
#4564=STYLED_ITEM('',(#72464),#4593);
#4565=STYLED_ITEM('',(#72463),#4594);
#4566=STYLED_ITEM('',(#72463),#4595);
#4567=STYLED_ITEM('',(#72464),#4596);
#4568=STYLED_ITEM('',(#72481),#17);
#4569=MANIFOLD_SOLID_BREP('K\X\F6rper1',#42431);
#4570=MANIFOLD_SOLID_BREP('K\X\F6rper2',#42432);
#4571=MANIFOLD_SOLID_BREP('K\X\F6rper3',#42433);
#4572=MANIFOLD_SOLID_BREP('K\X\F6rper4',#42434);
#4573=MANIFOLD_SOLID_BREP('K\X\F6rper5',#42435);
#4574=MANIFOLD_SOLID_BREP('K\X\F6rper6',#42436);
#4575=MANIFOLD_SOLID_BREP('K\X\F6rper7',#42437);
#4576=MANIFOLD_SOLID_BREP('K\X\F6rper8',#42438);
#4577=MANIFOLD_SOLID_BREP('K\X\F6rper1',#42439);
#4578=MANIFOLD_SOLID_BREP('K\X\F6rper2',#42440);
#4579=MANIFOLD_SOLID_BREP('K\X\F6rper3',#42441);
#4580=MANIFOLD_SOLID_BREP('K\X\F6rper4',#42442);
#4581=MANIFOLD_SOLID_BREP('K\X\F6rper5',#42443);
#4582=MANIFOLD_SOLID_BREP('K\X\F6rper6',#42444);
#4583=MANIFOLD_SOLID_BREP('K\X\F6rper1',#42447);
#4584=MANIFOLD_SOLID_BREP('K\X\F6rper1',#42448);
#4585=MANIFOLD_SOLID_BREP('K\X\F6rper1',#42449);
#4586=MANIFOLD_SOLID_BREP('K\X\F6rper1',#42450);
#4587=MANIFOLD_SOLID_BREP('K\X\F6rper1',#42451);
#4588=MANIFOLD_SOLID_BREP('K\X\F6rper1',#42452);
#4589=MANIFOLD_SOLID_BREP('K\X\F6rper1',#42453);
#4590=MANIFOLD_SOLID_BREP('K\X\F6rper1',#42454);
#4591=MANIFOLD_SOLID_BREP('K\X\F6rper1',#42455);
#4592=MANIFOLD_SOLID_BREP('K\X\F6rper1',#42456);
#4593=MANIFOLD_SOLID_BREP('K\X\F6rper1',#42457);
#4594=MANIFOLD_SOLID_BREP('K\X\F6rper2',#42458);
#4595=MANIFOLD_SOLID_BREP('K\X\F6rper8',#42459);
#4596=MANIFOLD_SOLID_BREP('K\X\F6rper1',#42460);
#4597=FACE_OUTER_BOUND('',#6710,.T.);
#4598=FACE_OUTER_BOUND('',#6711,.T.);
#4599=FACE_OUTER_BOUND('',#6712,.T.);
#4600=FACE_OUTER_BOUND('',#6713,.T.);
#4601=FACE_OUTER_BOUND('',#6714,.T.);
#4602=FACE_OUTER_BOUND('',#6715,.T.);
#4603=FACE_OUTER_BOUND('',#6716,.T.);
#4604=FACE_OUTER_BOUND('',#6717,.T.);
#4605=FACE_OUTER_BOUND('',#6718,.T.);
#4606=FACE_OUTER_BOUND('',#6719,.T.);
#4607=FACE_OUTER_BOUND('',#6720,.T.);
#4608=FACE_OUTER_BOUND('',#6721,.T.);
#4609=FACE_OUTER_BOUND('',#6722,.T.);
#4610=FACE_OUTER_BOUND('',#6723,.T.);
#4611=FACE_OUTER_BOUND('',#6724,.T.);
#4612=FACE_OUTER_BOUND('',#6725,.T.);
#4613=FACE_OUTER_BOUND('',#6727,.T.);
#4614=FACE_OUTER_BOUND('',#6728,.T.);
#4615=FACE_OUTER_BOUND('',#6729,.T.);
#4616=FACE_OUTER_BOUND('',#6730,.T.);
#4617=FACE_OUTER_BOUND('',#6731,.T.);
#4618=FACE_OUTER_BOUND('',#6732,.T.);
#4619=FACE_OUTER_BOUND('',#6733,.T.);
#4620=FACE_OUTER_BOUND('',#6734,.T.);
#4621=FACE_OUTER_BOUND('',#6735,.T.);
#4622=FACE_OUTER_BOUND('',#6736,.T.);
#4623=FACE_OUTER_BOUND('',#6737,.T.);
#4624=FACE_OUTER_BOUND('',#6738,.T.);
#4625=FACE_OUTER_BOUND('',#6739,.T.);
#4626=FACE_OUTER_BOUND('',#6740,.T.);
#4627=FACE_OUTER_BOUND('',#6741,.T.);
#4628=FACE_OUTER_BOUND('',#6742,.T.);
#4629=FACE_OUTER_BOUND('',#6743,.T.);
#4630=FACE_OUTER_BOUND('',#6744,.T.);
#4631=FACE_OUTER_BOUND('',#6745,.T.);
#4632=FACE_OUTER_BOUND('',#6746,.T.);
#4633=FACE_OUTER_BOUND('',#6747,.T.);
#4634=FACE_OUTER_BOUND('',#6748,.T.);
#4635=FACE_OUTER_BOUND('',#6749,.T.);
#4636=FACE_OUTER_BOUND('',#6750,.T.);
#4637=FACE_OUTER_BOUND('',#6751,.T.);
#4638=FACE_OUTER_BOUND('',#6752,.T.);
#4639=FACE_OUTER_BOUND('',#6753,.T.);
#4640=FACE_OUTER_BOUND('',#6754,.T.);
#4641=FACE_OUTER_BOUND('',#6755,.T.);
#4642=FACE_OUTER_BOUND('',#6756,.T.);
#4643=FACE_OUTER_BOUND('',#6757,.T.);
#4644=FACE_OUTER_BOUND('',#6758,.T.);
#4645=FACE_OUTER_BOUND('',#6759,.T.);
#4646=FACE_OUTER_BOUND('',#6760,.T.);
#4647=FACE_OUTER_BOUND('',#6761,.T.);
#4648=FACE_OUTER_BOUND('',#6762,.T.);
#4649=FACE_OUTER_BOUND('',#6763,.T.);
#4650=FACE_OUTER_BOUND('',#6764,.T.);
#4651=FACE_OUTER_BOUND('',#6765,.T.);
#4652=FACE_OUTER_BOUND('',#6766,.T.);
#4653=FACE_OUTER_BOUND('',#6767,.T.);
#4654=FACE_OUTER_BOUND('',#6768,.T.);
#4655=FACE_OUTER_BOUND('',#6769,.T.);
#4656=FACE_OUTER_BOUND('',#6770,.T.);
#4657=FACE_OUTER_BOUND('',#6771,.T.);
#4658=FACE_OUTER_BOUND('',#6772,.T.);
#4659=FACE_OUTER_BOUND('',#6773,.T.);
#4660=FACE_OUTER_BOUND('',#6774,.T.);
#4661=FACE_OUTER_BOUND('',#6775,.T.);
#4662=FACE_OUTER_BOUND('',#6776,.T.);
#4663=FACE_OUTER_BOUND('',#6777,.T.);
#4664=FACE_OUTER_BOUND('',#6778,.T.);
#4665=FACE_OUTER_BOUND('',#6780,.T.);
#4666=FACE_OUTER_BOUND('',#6781,.T.);
#4667=FACE_OUTER_BOUND('',#6782,.T.);
#4668=FACE_OUTER_BOUND('',#6783,.T.);
#4669=FACE_OUTER_BOUND('',#6784,.T.);
#4670=FACE_OUTER_BOUND('',#6786,.T.);
#4671=FACE_OUTER_BOUND('',#6787,.T.);
#4672=FACE_OUTER_BOUND('',#6788,.T.);
#4673=FACE_OUTER_BOUND('',#6789,.T.);
#4674=FACE_OUTER_BOUND('',#6790,.T.);
#4675=FACE_OUTER_BOUND('',#6791,.T.);
#4676=FACE_OUTER_BOUND('',#6797,.T.);
#4677=FACE_OUTER_BOUND('',#6798,.T.);
#4678=FACE_OUTER_BOUND('',#6799,.T.);
#4679=FACE_OUTER_BOUND('',#6800,.T.);
#4680=FACE_OUTER_BOUND('',#6801,.T.);
#4681=FACE_OUTER_BOUND('',#6802,.T.);
#4682=FACE_OUTER_BOUND('',#6803,.T.);
#4683=FACE_OUTER_BOUND('',#6804,.T.);
#4684=FACE_OUTER_BOUND('',#6805,.T.);
#4685=FACE_OUTER_BOUND('',#6806,.T.);
#4686=FACE_OUTER_BOUND('',#6807,.T.);
#4687=FACE_OUTER_BOUND('',#6808,.T.);
#4688=FACE_OUTER_BOUND('',#6809,.T.);
#4689=FACE_OUTER_BOUND('',#6810,.T.);
#4690=FACE_OUTER_BOUND('',#6811,.T.);
#4691=FACE_OUTER_BOUND('',#6812,.T.);
#4692=FACE_OUTER_BOUND('',#6813,.T.);
#4693=FACE_OUTER_BOUND('',#6814,.T.);
#4694=FACE_OUTER_BOUND('',#6815,.T.);
#4695=FACE_OUTER_BOUND('',#6816,.T.);
#4696=FACE_OUTER_BOUND('',#6817,.T.);
#4697=FACE_OUTER_BOUND('',#6818,.T.);
#4698=FACE_OUTER_BOUND('',#6819,.T.);
#4699=FACE_OUTER_BOUND('',#6820,.T.);
#4700=FACE_OUTER_BOUND('',#6821,.T.);
#4701=FACE_OUTER_BOUND('',#6822,.T.);
#4702=FACE_OUTER_BOUND('',#6823,.T.);
#4703=FACE_OUTER_BOUND('',#6824,.T.);
#4704=FACE_OUTER_BOUND('',#6825,.T.);
#4705=FACE_OUTER_BOUND('',#6826,.T.);
#4706=FACE_OUTER_BOUND('',#6827,.T.);
#4707=FACE_OUTER_BOUND('',#6828,.T.);
#4708=FACE_OUTER_BOUND('',#6829,.T.);
#4709=FACE_OUTER_BOUND('',#6830,.T.);
#4710=FACE_OUTER_BOUND('',#6831,.T.);
#4711=FACE_OUTER_BOUND('',#6832,.T.);
#4712=FACE_OUTER_BOUND('',#6833,.T.);
#4713=FACE_OUTER_BOUND('',#6836,.T.);
#4714=FACE_OUTER_BOUND('',#6837,.T.);
#4715=FACE_OUTER_BOUND('',#6838,.T.);
#4716=FACE_OUTER_BOUND('',#6839,.T.);
#4717=FACE_OUTER_BOUND('',#6840,.T.);
#4718=FACE_OUTER_BOUND('',#6841,.T.);
#4719=FACE_OUTER_BOUND('',#6842,.T.);
#4720=FACE_OUTER_BOUND('',#6843,.T.);
#4721=FACE_OUTER_BOUND('',#6844,.T.);
#4722=FACE_OUTER_BOUND('',#6845,.T.);
#4723=FACE_OUTER_BOUND('',#6846,.T.);
#4724=FACE_OUTER_BOUND('',#6847,.T.);
#4725=FACE_OUTER_BOUND('',#6848,.T.);
#4726=FACE_OUTER_BOUND('',#6849,.T.);
#4727=FACE_OUTER_BOUND('',#6850,.T.);
#4728=FACE_OUTER_BOUND('',#6851,.T.);
#4729=FACE_OUTER_BOUND('',#6852,.T.);
#4730=FACE_OUTER_BOUND('',#6853,.T.);
#4731=FACE_OUTER_BOUND('',#6854,.T.);
#4732=FACE_OUTER_BOUND('',#6855,.T.);
#4733=FACE_OUTER_BOUND('',#6856,.T.);
#4734=FACE_OUTER_BOUND('',#6857,.T.);
#4735=FACE_OUTER_BOUND('',#6858,.T.);
#4736=FACE_OUTER_BOUND('',#6859,.T.);
#4737=FACE_OUTER_BOUND('',#6860,.T.);
#4738=FACE_OUTER_BOUND('',#6861,.T.);
#4739=FACE_OUTER_BOUND('',#6862,.T.);
#4740=FACE_OUTER_BOUND('',#6863,.T.);
#4741=FACE_OUTER_BOUND('',#6864,.T.);
#4742=FACE_OUTER_BOUND('',#6865,.T.);
#4743=FACE_OUTER_BOUND('',#6866,.T.);
#4744=FACE_OUTER_BOUND('',#6867,.T.);
#4745=FACE_OUTER_BOUND('',#6868,.T.);
#4746=FACE_OUTER_BOUND('',#6869,.T.);
#4747=FACE_OUTER_BOUND('',#6870,.T.);
#4748=FACE_OUTER_BOUND('',#6871,.T.);
#4749=FACE_OUTER_BOUND('',#6872,.T.);
#4750=FACE_OUTER_BOUND('',#6873,.T.);
#4751=FACE_OUTER_BOUND('',#6874,.T.);
#4752=FACE_OUTER_BOUND('',#6875,.T.);
#4753=FACE_OUTER_BOUND('',#6876,.T.);
#4754=FACE_OUTER_BOUND('',#6877,.T.);
#4755=FACE_OUTER_BOUND('',#6878,.T.);
#4756=FACE_OUTER_BOUND('',#6879,.T.);
#4757=FACE_OUTER_BOUND('',#6880,.T.);
#4758=FACE_OUTER_BOUND('',#6883,.T.);
#4759=FACE_OUTER_BOUND('',#6884,.T.);
#4760=FACE_OUTER_BOUND('',#6885,.T.);
#4761=FACE_OUTER_BOUND('',#6886,.T.);
#4762=FACE_OUTER_BOUND('',#6887,.T.);
#4763=FACE_OUTER_BOUND('',#6888,.T.);
#4764=FACE_OUTER_BOUND('',#6889,.T.);
#4765=FACE_OUTER_BOUND('',#6890,.T.);
#4766=FACE_OUTER_BOUND('',#6891,.T.);
#4767=FACE_OUTER_BOUND('',#6892,.T.);
#4768=FACE_OUTER_BOUND('',#6893,.T.);
#4769=FACE_OUTER_BOUND('',#6894,.T.);
#4770=FACE_OUTER_BOUND('',#6895,.T.);
#4771=FACE_OUTER_BOUND('',#6896,.T.);
#4772=FACE_OUTER_BOUND('',#6897,.T.);
#4773=FACE_OUTER_BOUND('',#6898,.T.);
#4774=FACE_OUTER_BOUND('',#6899,.T.);
#4775=FACE_OUTER_BOUND('',#6900,.T.);
#4776=FACE_OUTER_BOUND('',#6901,.T.);
#4777=FACE_OUTER_BOUND('',#6902,.T.);
#4778=FACE_OUTER_BOUND('',#6903,.T.);
#4779=FACE_OUTER_BOUND('',#6904,.T.);
#4780=FACE_OUTER_BOUND('',#6905,.T.);
#4781=FACE_OUTER_BOUND('',#6906,.T.);
#4782=FACE_OUTER_BOUND('',#6907,.T.);
#4783=FACE_OUTER_BOUND('',#6908,.T.);
#4784=FACE_OUTER_BOUND('',#6909,.T.);
#4785=FACE_OUTER_BOUND('',#6910,.T.);
#4786=FACE_OUTER_BOUND('',#6911,.T.);
#4787=FACE_OUTER_BOUND('',#6912,.T.);
#4788=FACE_OUTER_BOUND('',#6913,.T.);
#4789=FACE_OUTER_BOUND('',#6914,.T.);
#4790=FACE_OUTER_BOUND('',#6915,.T.);
#4791=FACE_OUTER_BOUND('',#6916,.T.);
#4792=FACE_OUTER_BOUND('',#6917,.T.);
#4793=FACE_OUTER_BOUND('',#6918,.T.);
#4794=FACE_OUTER_BOUND('',#6919,.T.);
#4795=FACE_OUTER_BOUND('',#6920,.T.);
#4796=FACE_OUTER_BOUND('',#6921,.T.);
#4797=FACE_OUTER_BOUND('',#6922,.T.);
#4798=FACE_OUTER_BOUND('',#6923,.T.);
#4799=FACE_OUTER_BOUND('',#6924,.T.);
#4800=FACE_OUTER_BOUND('',#6925,.T.);
#4801=FACE_OUTER_BOUND('',#6926,.T.);
#4802=FACE_OUTER_BOUND('',#6927,.T.);
#4803=FACE_OUTER_BOUND('',#6928,.T.);
#4804=FACE_OUTER_BOUND('',#6929,.T.);
#4805=FACE_OUTER_BOUND('',#6930,.T.);
#4806=FACE_OUTER_BOUND('',#6931,.T.);
#4807=FACE_OUTER_BOUND('',#6932,.T.);
#4808=FACE_OUTER_BOUND('',#6933,.T.);
#4809=FACE_OUTER_BOUND('',#6934,.T.);
#4810=FACE_OUTER_BOUND('',#6935,.T.);
#4811=FACE_OUTER_BOUND('',#6936,.T.);
#4812=FACE_OUTER_BOUND('',#6937,.T.);
#4813=FACE_OUTER_BOUND('',#6938,.T.);
#4814=FACE_OUTER_BOUND('',#6939,.T.);
#4815=FACE_OUTER_BOUND('',#6940,.T.);
#4816=FACE_OUTER_BOUND('',#6941,.T.);
#4817=FACE_OUTER_BOUND('',#6942,.T.);
#4818=FACE_OUTER_BOUND('',#6943,.T.);
#4819=FACE_OUTER_BOUND('',#6944,.T.);
#4820=FACE_OUTER_BOUND('',#6945,.T.);
#4821=FACE_OUTER_BOUND('',#6946,.T.);
#4822=FACE_OUTER_BOUND('',#6947,.T.);
#4823=FACE_OUTER_BOUND('',#6948,.T.);
#4824=FACE_OUTER_BOUND('',#6949,.T.);
#4825=FACE_OUTER_BOUND('',#6950,.T.);
#4826=FACE_OUTER_BOUND('',#6951,.T.);
#4827=FACE_OUTER_BOUND('',#6952,.T.);
#4828=FACE_OUTER_BOUND('',#6953,.T.);
#4829=FACE_OUTER_BOUND('',#6954,.T.);
#4830=FACE_OUTER_BOUND('',#6955,.T.);
#4831=FACE_OUTER_BOUND('',#6956,.T.);
#4832=FACE_OUTER_BOUND('',#6957,.T.);
#4833=FACE_OUTER_BOUND('',#6958,.T.);
#4834=FACE_OUTER_BOUND('',#6959,.T.);
#4835=FACE_OUTER_BOUND('',#6960,.T.);
#4836=FACE_OUTER_BOUND('',#6961,.T.);
#4837=FACE_OUTER_BOUND('',#6962,.T.);
#4838=FACE_OUTER_BOUND('',#6963,.T.);
#4839=FACE_OUTER_BOUND('',#6964,.T.);
#4840=FACE_OUTER_BOUND('',#6965,.T.);
#4841=FACE_OUTER_BOUND('',#6966,.T.);
#4842=FACE_OUTER_BOUND('',#6967,.T.);
#4843=FACE_OUTER_BOUND('',#6968,.T.);
#4844=FACE_OUTER_BOUND('',#6969,.T.);
#4845=FACE_OUTER_BOUND('',#6970,.T.);
#4846=FACE_OUTER_BOUND('',#6971,.T.);
#4847=FACE_OUTER_BOUND('',#6972,.T.);
#4848=FACE_OUTER_BOUND('',#6973,.T.);
#4849=FACE_OUTER_BOUND('',#6974,.T.);
#4850=FACE_OUTER_BOUND('',#6975,.T.);
#4851=FACE_OUTER_BOUND('',#6976,.T.);
#4852=FACE_OUTER_BOUND('',#6977,.T.);
#4853=FACE_OUTER_BOUND('',#6978,.T.);
#4854=FACE_OUTER_BOUND('',#6979,.T.);
#4855=FACE_OUTER_BOUND('',#6980,.T.);
#4856=FACE_OUTER_BOUND('',#6981,.T.);
#4857=FACE_OUTER_BOUND('',#6982,.T.);
#4858=FACE_OUTER_BOUND('',#6983,.T.);
#4859=FACE_OUTER_BOUND('',#6984,.T.);
#4860=FACE_OUTER_BOUND('',#6985,.T.);
#4861=FACE_OUTER_BOUND('',#6986,.T.);
#4862=FACE_OUTER_BOUND('',#6987,.T.);
#4863=FACE_OUTER_BOUND('',#6988,.T.);
#4864=FACE_OUTER_BOUND('',#6989,.T.);
#4865=FACE_OUTER_BOUND('',#6990,.T.);
#4866=FACE_OUTER_BOUND('',#6991,.T.);
#4867=FACE_OUTER_BOUND('',#6992,.T.);
#4868=FACE_OUTER_BOUND('',#6993,.T.);
#4869=FACE_OUTER_BOUND('',#6994,.T.);
#4870=FACE_OUTER_BOUND('',#6995,.T.);
#4871=FACE_OUTER_BOUND('',#6996,.T.);
#4872=FACE_OUTER_BOUND('',#6997,.T.);
#4873=FACE_OUTER_BOUND('',#6998,.T.);
#4874=FACE_OUTER_BOUND('',#6999,.T.);
#4875=FACE_OUTER_BOUND('',#7000,.T.);
#4876=FACE_OUTER_BOUND('',#7001,.T.);
#4877=FACE_OUTER_BOUND('',#7002,.T.);
#4878=FACE_OUTER_BOUND('',#7003,.T.);
#4879=FACE_OUTER_BOUND('',#7004,.T.);
#4880=FACE_OUTER_BOUND('',#7005,.T.);
#4881=FACE_OUTER_BOUND('',#7006,.T.);
#4882=FACE_OUTER_BOUND('',#7007,.T.);
#4883=FACE_OUTER_BOUND('',#7008,.T.);
#4884=FACE_OUTER_BOUND('',#7009,.T.);
#4885=FACE_OUTER_BOUND('',#7010,.T.);
#4886=FACE_OUTER_BOUND('',#7011,.T.);
#4887=FACE_OUTER_BOUND('',#7012,.T.);
#4888=FACE_OUTER_BOUND('',#7013,.T.);
#4889=FACE_OUTER_BOUND('',#7014,.T.);
#4890=FACE_OUTER_BOUND('',#7015,.T.);
#4891=FACE_OUTER_BOUND('',#7016,.T.);
#4892=FACE_OUTER_BOUND('',#7017,.T.);
#4893=FACE_OUTER_BOUND('',#7018,.T.);
#4894=FACE_OUTER_BOUND('',#7019,.T.);
#4895=FACE_OUTER_BOUND('',#7020,.T.);
#4896=FACE_OUTER_BOUND('',#7021,.T.);
#4897=FACE_OUTER_BOUND('',#7022,.T.);
#4898=FACE_OUTER_BOUND('',#7023,.T.);
#4899=FACE_OUTER_BOUND('',#7024,.T.);
#4900=FACE_OUTER_BOUND('',#7025,.T.);
#4901=FACE_OUTER_BOUND('',#7026,.T.);
#4902=FACE_OUTER_BOUND('',#7027,.T.);
#4903=FACE_OUTER_BOUND('',#7028,.T.);
#4904=FACE_OUTER_BOUND('',#7029,.T.);
#4905=FACE_OUTER_BOUND('',#7030,.T.);
#4906=FACE_OUTER_BOUND('',#7031,.T.);
#4907=FACE_OUTER_BOUND('',#7032,.T.);
#4908=FACE_OUTER_BOUND('',#7033,.T.);
#4909=FACE_OUTER_BOUND('',#7034,.T.);
#4910=FACE_OUTER_BOUND('',#7035,.T.);
#4911=FACE_OUTER_BOUND('',#7036,.T.);
#4912=FACE_OUTER_BOUND('',#7037,.T.);
#4913=FACE_OUTER_BOUND('',#7038,.T.);
#4914=FACE_OUTER_BOUND('',#7039,.T.);
#4915=FACE_OUTER_BOUND('',#7040,.T.);
#4916=FACE_OUTER_BOUND('',#7041,.T.);
#4917=FACE_OUTER_BOUND('',#7042,.T.);
#4918=FACE_OUTER_BOUND('',#7043,.T.);
#4919=FACE_OUTER_BOUND('',#7044,.T.);
#4920=FACE_OUTER_BOUND('',#7045,.T.);
#4921=FACE_OUTER_BOUND('',#7046,.T.);
#4922=FACE_OUTER_BOUND('',#7047,.T.);
#4923=FACE_OUTER_BOUND('',#7048,.T.);
#4924=FACE_OUTER_BOUND('',#7049,.T.);
#4925=FACE_OUTER_BOUND('',#7050,.T.);
#4926=FACE_OUTER_BOUND('',#7051,.T.);
#4927=FACE_OUTER_BOUND('',#7052,.T.);
#4928=FACE_OUTER_BOUND('',#7053,.T.);
#4929=FACE_OUTER_BOUND('',#7054,.T.);
#4930=FACE_OUTER_BOUND('',#7055,.T.);
#4931=FACE_OUTER_BOUND('',#7056,.T.);
#4932=FACE_OUTER_BOUND('',#7057,.T.);
#4933=FACE_OUTER_BOUND('',#7058,.T.);
#4934=FACE_OUTER_BOUND('',#7059,.T.);
#4935=FACE_OUTER_BOUND('',#7060,.T.);
#4936=FACE_OUTER_BOUND('',#7061,.T.);
#4937=FACE_OUTER_BOUND('',#7062,.T.);
#4938=FACE_OUTER_BOUND('',#7063,.T.);
#4939=FACE_OUTER_BOUND('',#7064,.T.);
#4940=FACE_OUTER_BOUND('',#7065,.T.);
#4941=FACE_OUTER_BOUND('',#7066,.T.);
#4942=FACE_OUTER_BOUND('',#7067,.T.);
#4943=FACE_OUTER_BOUND('',#7068,.T.);
#4944=FACE_OUTER_BOUND('',#7069,.T.);
#4945=FACE_OUTER_BOUND('',#7070,.T.);
#4946=FACE_OUTER_BOUND('',#7071,.T.);
#4947=FACE_OUTER_BOUND('',#7072,.T.);
#4948=FACE_OUTER_BOUND('',#7073,.T.);
#4949=FACE_OUTER_BOUND('',#7074,.T.);
#4950=FACE_OUTER_BOUND('',#7075,.T.);
#4951=FACE_OUTER_BOUND('',#7076,.T.);
#4952=FACE_OUTER_BOUND('',#7077,.T.);
#4953=FACE_OUTER_BOUND('',#7078,.T.);
#4954=FACE_OUTER_BOUND('',#7079,.T.);
#4955=FACE_OUTER_BOUND('',#7080,.T.);
#4956=FACE_OUTER_BOUND('',#7081,.T.);
#4957=FACE_OUTER_BOUND('',#7082,.T.);
#4958=FACE_OUTER_BOUND('',#7083,.T.);
#4959=FACE_OUTER_BOUND('',#7084,.T.);
#4960=FACE_OUTER_BOUND('',#7085,.T.);
#4961=FACE_OUTER_BOUND('',#7086,.T.);
#4962=FACE_OUTER_BOUND('',#7087,.T.);
#4963=FACE_OUTER_BOUND('',#7088,.T.);
#4964=FACE_OUTER_BOUND('',#7089,.T.);
#4965=FACE_OUTER_BOUND('',#7090,.T.);
#4966=FACE_OUTER_BOUND('',#7091,.T.);
#4967=FACE_OUTER_BOUND('',#7092,.T.);
#4968=FACE_OUTER_BOUND('',#7093,.T.);
#4969=FACE_OUTER_BOUND('',#7094,.T.);
#4970=FACE_OUTER_BOUND('',#7095,.T.);
#4971=FACE_OUTER_BOUND('',#7096,.T.);
#4972=FACE_OUTER_BOUND('',#7097,.T.);
#4973=FACE_OUTER_BOUND('',#7098,.T.);
#4974=FACE_OUTER_BOUND('',#7099,.T.);
#4975=FACE_OUTER_BOUND('',#7100,.T.);
#4976=FACE_OUTER_BOUND('',#7101,.T.);
#4977=FACE_OUTER_BOUND('',#7102,.T.);
#4978=FACE_OUTER_BOUND('',#7103,.T.);
#4979=FACE_OUTER_BOUND('',#7104,.T.);
#4980=FACE_OUTER_BOUND('',#7105,.T.);
#4981=FACE_OUTER_BOUND('',#7106,.T.);
#4982=FACE_OUTER_BOUND('',#7107,.T.);
#4983=FACE_OUTER_BOUND('',#7108,.T.);
#4984=FACE_OUTER_BOUND('',#7109,.T.);
#4985=FACE_OUTER_BOUND('',#7110,.T.);
#4986=FACE_OUTER_BOUND('',#7111,.T.);
#4987=FACE_OUTER_BOUND('',#7112,.T.);
#4988=FACE_OUTER_BOUND('',#7113,.T.);
#4989=FACE_OUTER_BOUND('',#7114,.T.);
#4990=FACE_OUTER_BOUND('',#7115,.T.);
#4991=FACE_OUTER_BOUND('',#7116,.T.);
#4992=FACE_OUTER_BOUND('',#7117,.T.);
#4993=FACE_OUTER_BOUND('',#7118,.T.);
#4994=FACE_OUTER_BOUND('',#7119,.T.);
#4995=FACE_OUTER_BOUND('',#7120,.T.);
#4996=FACE_OUTER_BOUND('',#7121,.T.);
#4997=FACE_OUTER_BOUND('',#7122,.T.);
#4998=FACE_OUTER_BOUND('',#7123,.T.);
#4999=FACE_OUTER_BOUND('',#7124,.T.);
#5000=FACE_OUTER_BOUND('',#7125,.T.);
#5001=FACE_OUTER_BOUND('',#7126,.T.);
#5002=FACE_OUTER_BOUND('',#7127,.T.);
#5003=FACE_OUTER_BOUND('',#7128,.T.);
#5004=FACE_OUTER_BOUND('',#7129,.T.);
#5005=FACE_OUTER_BOUND('',#7130,.T.);
#5006=FACE_OUTER_BOUND('',#7131,.T.);
#5007=FACE_OUTER_BOUND('',#7132,.T.);
#5008=FACE_OUTER_BOUND('',#7133,.T.);
#5009=FACE_OUTER_BOUND('',#7134,.T.);
#5010=FACE_OUTER_BOUND('',#7135,.T.);
#5011=FACE_OUTER_BOUND('',#7136,.T.);
#5012=FACE_OUTER_BOUND('',#7137,.T.);
#5013=FACE_OUTER_BOUND('',#7138,.T.);
#5014=FACE_OUTER_BOUND('',#7139,.T.);
#5015=FACE_OUTER_BOUND('',#7140,.T.);
#5016=FACE_OUTER_BOUND('',#7141,.T.);
#5017=FACE_OUTER_BOUND('',#7142,.T.);
#5018=FACE_OUTER_BOUND('',#7143,.T.);
#5019=FACE_OUTER_BOUND('',#7144,.T.);
#5020=FACE_OUTER_BOUND('',#7145,.T.);
#5021=FACE_OUTER_BOUND('',#7146,.T.);
#5022=FACE_OUTER_BOUND('',#7147,.T.);
#5023=FACE_OUTER_BOUND('',#7148,.T.);
#5024=FACE_OUTER_BOUND('',#7149,.T.);
#5025=FACE_OUTER_BOUND('',#7150,.T.);
#5026=FACE_OUTER_BOUND('',#7151,.T.);
#5027=FACE_OUTER_BOUND('',#7152,.T.);
#5028=FACE_OUTER_BOUND('',#7153,.T.);
#5029=FACE_OUTER_BOUND('',#7154,.T.);
#5030=FACE_OUTER_BOUND('',#7155,.T.);
#5031=FACE_OUTER_BOUND('',#7156,.T.);
#5032=FACE_OUTER_BOUND('',#7157,.T.);
#5033=FACE_OUTER_BOUND('',#7158,.T.);
#5034=FACE_OUTER_BOUND('',#7159,.T.);
#5035=FACE_OUTER_BOUND('',#7160,.T.);
#5036=FACE_OUTER_BOUND('',#7161,.T.);
#5037=FACE_OUTER_BOUND('',#7162,.T.);
#5038=FACE_OUTER_BOUND('',#7163,.T.);
#5039=FACE_OUTER_BOUND('',#7164,.T.);
#5040=FACE_OUTER_BOUND('',#7165,.T.);
#5041=FACE_OUTER_BOUND('',#7166,.T.);
#5042=FACE_OUTER_BOUND('',#7167,.T.);
#5043=FACE_OUTER_BOUND('',#7168,.T.);
#5044=FACE_OUTER_BOUND('',#7169,.T.);
#5045=FACE_OUTER_BOUND('',#7170,.T.);
#5046=FACE_OUTER_BOUND('',#7171,.T.);
#5047=FACE_OUTER_BOUND('',#7172,.T.);
#5048=FACE_OUTER_BOUND('',#7173,.T.);
#5049=FACE_OUTER_BOUND('',#7174,.T.);
#5050=FACE_OUTER_BOUND('',#7175,.T.);
#5051=FACE_OUTER_BOUND('',#7176,.T.);
#5052=FACE_OUTER_BOUND('',#7177,.T.);
#5053=FACE_OUTER_BOUND('',#7178,.T.);
#5054=FACE_OUTER_BOUND('',#7179,.T.);
#5055=FACE_OUTER_BOUND('',#7180,.T.);
#5056=FACE_OUTER_BOUND('',#7181,.T.);
#5057=FACE_OUTER_BOUND('',#7182,.T.);
#5058=FACE_OUTER_BOUND('',#7184,.T.);
#5059=FACE_OUTER_BOUND('',#7185,.T.);
#5060=FACE_OUTER_BOUND('',#7186,.T.);
#5061=FACE_OUTER_BOUND('',#7187,.T.);
#5062=FACE_OUTER_BOUND('',#7188,.T.);
#5063=FACE_OUTER_BOUND('',#7189,.T.);
#5064=FACE_OUTER_BOUND('',#7190,.T.);
#5065=FACE_OUTER_BOUND('',#7191,.T.);
#5066=FACE_OUTER_BOUND('',#7192,.T.);
#5067=FACE_OUTER_BOUND('',#7193,.T.);
#5068=FACE_OUTER_BOUND('',#7194,.T.);
#5069=FACE_OUTER_BOUND('',#7195,.T.);
#5070=FACE_OUTER_BOUND('',#7196,.T.);
#5071=FACE_OUTER_BOUND('',#7197,.T.);
#5072=FACE_OUTER_BOUND('',#7198,.T.);
#5073=FACE_OUTER_BOUND('',#7199,.T.);
#5074=FACE_OUTER_BOUND('',#7200,.T.);
#5075=FACE_OUTER_BOUND('',#7201,.T.);
#5076=FACE_OUTER_BOUND('',#7202,.T.);
#5077=FACE_OUTER_BOUND('',#7203,.T.);
#5078=FACE_OUTER_BOUND('',#7204,.T.);
#5079=FACE_OUTER_BOUND('',#7205,.T.);
#5080=FACE_OUTER_BOUND('',#7206,.T.);
#5081=FACE_OUTER_BOUND('',#7207,.T.);
#5082=FACE_OUTER_BOUND('',#7208,.T.);
#5083=FACE_OUTER_BOUND('',#7209,.T.);
#5084=FACE_OUTER_BOUND('',#7210,.T.);
#5085=FACE_OUTER_BOUND('',#7211,.T.);
#5086=FACE_OUTER_BOUND('',#7212,.T.);
#5087=FACE_OUTER_BOUND('',#7213,.T.);
#5088=FACE_OUTER_BOUND('',#7214,.T.);
#5089=FACE_OUTER_BOUND('',#7215,.T.);
#5090=FACE_OUTER_BOUND('',#7216,.T.);
#5091=FACE_OUTER_BOUND('',#7217,.T.);
#5092=FACE_OUTER_BOUND('',#7218,.T.);
#5093=FACE_OUTER_BOUND('',#7219,.T.);
#5094=FACE_OUTER_BOUND('',#7220,.T.);
#5095=FACE_OUTER_BOUND('',#7221,.T.);
#5096=FACE_OUTER_BOUND('',#7222,.T.);
#5097=FACE_OUTER_BOUND('',#7223,.T.);
#5098=FACE_OUTER_BOUND('',#7224,.T.);
#5099=FACE_OUTER_BOUND('',#7225,.T.);
#5100=FACE_OUTER_BOUND('',#7226,.T.);
#5101=FACE_OUTER_BOUND('',#7227,.T.);
#5102=FACE_OUTER_BOUND('',#7228,.T.);
#5103=FACE_OUTER_BOUND('',#7229,.T.);
#5104=FACE_OUTER_BOUND('',#7230,.T.);
#5105=FACE_OUTER_BOUND('',#7231,.T.);
#5106=FACE_OUTER_BOUND('',#7232,.T.);
#5107=FACE_OUTER_BOUND('',#7233,.T.);
#5108=FACE_OUTER_BOUND('',#7234,.T.);
#5109=FACE_OUTER_BOUND('',#7235,.T.);
#5110=FACE_OUTER_BOUND('',#7236,.T.);
#5111=FACE_OUTER_BOUND('',#7237,.T.);
#5112=FACE_OUTER_BOUND('',#7238,.T.);
#5113=FACE_OUTER_BOUND('',#7239,.T.);
#5114=FACE_OUTER_BOUND('',#7240,.T.);
#5115=FACE_OUTER_BOUND('',#7241,.T.);
#5116=FACE_OUTER_BOUND('',#7242,.T.);
#5117=FACE_OUTER_BOUND('',#7243,.T.);
#5118=FACE_OUTER_BOUND('',#7244,.T.);
#5119=FACE_OUTER_BOUND('',#7245,.T.);
#5120=FACE_OUTER_BOUND('',#7246,.T.);
#5121=FACE_OUTER_BOUND('',#7247,.T.);
#5122=FACE_OUTER_BOUND('',#7248,.T.);
#5123=FACE_OUTER_BOUND('',#7249,.T.);
#5124=FACE_OUTER_BOUND('',#7250,.T.);
#5125=FACE_OUTER_BOUND('',#7251,.T.);
#5126=FACE_OUTER_BOUND('',#7252,.T.);
#5127=FACE_OUTER_BOUND('',#7253,.T.);
#5128=FACE_OUTER_BOUND('',#7254,.T.);
#5129=FACE_OUTER_BOUND('',#7255,.T.);
#5130=FACE_OUTER_BOUND('',#7256,.T.);
#5131=FACE_OUTER_BOUND('',#7257,.T.);
#5132=FACE_OUTER_BOUND('',#7258,.T.);
#5133=FACE_OUTER_BOUND('',#7259,.T.);
#5134=FACE_OUTER_BOUND('',#7260,.T.);
#5135=FACE_OUTER_BOUND('',#7261,.T.);
#5136=FACE_OUTER_BOUND('',#7262,.T.);
#5137=FACE_OUTER_BOUND('',#7263,.T.);
#5138=FACE_OUTER_BOUND('',#7264,.T.);
#5139=FACE_OUTER_BOUND('',#7265,.T.);
#5140=FACE_OUTER_BOUND('',#7266,.T.);
#5141=FACE_OUTER_BOUND('',#7267,.T.);
#5142=FACE_OUTER_BOUND('',#7268,.T.);
#5143=FACE_OUTER_BOUND('',#7269,.T.);
#5144=FACE_OUTER_BOUND('',#7270,.T.);
#5145=FACE_OUTER_BOUND('',#7271,.T.);
#5146=FACE_OUTER_BOUND('',#7272,.T.);
#5147=FACE_OUTER_BOUND('',#7273,.T.);
#5148=FACE_OUTER_BOUND('',#7274,.T.);
#5149=FACE_OUTER_BOUND('',#7275,.T.);
#5150=FACE_OUTER_BOUND('',#7276,.T.);
#5151=FACE_OUTER_BOUND('',#7277,.T.);
#5152=FACE_OUTER_BOUND('',#7278,.T.);
#5153=FACE_OUTER_BOUND('',#7279,.T.);
#5154=FACE_OUTER_BOUND('',#7280,.T.);
#5155=FACE_OUTER_BOUND('',#7281,.T.);
#5156=FACE_OUTER_BOUND('',#7282,.T.);
#5157=FACE_OUTER_BOUND('',#7283,.T.);
#5158=FACE_OUTER_BOUND('',#7284,.T.);
#5159=FACE_OUTER_BOUND('',#7285,.T.);
#5160=FACE_OUTER_BOUND('',#7286,.T.);
#5161=FACE_OUTER_BOUND('',#7287,.T.);
#5162=FACE_OUTER_BOUND('',#7288,.T.);
#5163=FACE_OUTER_BOUND('',#7289,.T.);
#5164=FACE_OUTER_BOUND('',#7290,.T.);
#5165=FACE_OUTER_BOUND('',#7291,.T.);
#5166=FACE_OUTER_BOUND('',#7292,.T.);
#5167=FACE_OUTER_BOUND('',#7293,.T.);
#5168=FACE_OUTER_BOUND('',#7294,.T.);
#5169=FACE_OUTER_BOUND('',#7295,.T.);
#5170=FACE_OUTER_BOUND('',#7296,.T.);
#5171=FACE_OUTER_BOUND('',#7297,.T.);
#5172=FACE_OUTER_BOUND('',#7298,.T.);
#5173=FACE_OUTER_BOUND('',#7299,.T.);
#5174=FACE_OUTER_BOUND('',#7300,.T.);
#5175=FACE_OUTER_BOUND('',#7301,.T.);
#5176=FACE_OUTER_BOUND('',#7302,.T.);
#5177=FACE_OUTER_BOUND('',#7303,.T.);
#5178=FACE_OUTER_BOUND('',#7304,.T.);
#5179=FACE_OUTER_BOUND('',#7305,.T.);
#5180=FACE_OUTER_BOUND('',#7306,.T.);
#5181=FACE_OUTER_BOUND('',#7307,.T.);
#5182=FACE_OUTER_BOUND('',#7308,.T.);
#5183=FACE_OUTER_BOUND('',#7309,.T.);
#5184=FACE_OUTER_BOUND('',#7310,.T.);
#5185=FACE_OUTER_BOUND('',#7311,.T.);
#5186=FACE_OUTER_BOUND('',#7312,.T.);
#5187=FACE_OUTER_BOUND('',#7313,.T.);
#5188=FACE_OUTER_BOUND('',#7314,.T.);
#5189=FACE_OUTER_BOUND('',#7315,.T.);
#5190=FACE_OUTER_BOUND('',#7316,.T.);
#5191=FACE_OUTER_BOUND('',#7317,.T.);
#5192=FACE_OUTER_BOUND('',#7318,.T.);
#5193=FACE_OUTER_BOUND('',#7319,.T.);
#5194=FACE_OUTER_BOUND('',#7320,.T.);
#5195=FACE_OUTER_BOUND('',#7321,.T.);
#5196=FACE_OUTER_BOUND('',#7322,.T.);
#5197=FACE_OUTER_BOUND('',#7323,.T.);
#5198=FACE_OUTER_BOUND('',#7324,.T.);
#5199=FACE_OUTER_BOUND('',#7325,.T.);
#5200=FACE_OUTER_BOUND('',#7326,.T.);
#5201=FACE_OUTER_BOUND('',#7327,.T.);
#5202=FACE_OUTER_BOUND('',#7328,.T.);
#5203=FACE_OUTER_BOUND('',#7329,.T.);
#5204=FACE_OUTER_BOUND('',#7330,.T.);
#5205=FACE_OUTER_BOUND('',#7331,.T.);
#5206=FACE_OUTER_BOUND('',#7332,.T.);
#5207=FACE_OUTER_BOUND('',#7333,.T.);
#5208=FACE_OUTER_BOUND('',#7334,.T.);
#5209=FACE_OUTER_BOUND('',#7335,.T.);
#5210=FACE_OUTER_BOUND('',#7336,.T.);
#5211=FACE_OUTER_BOUND('',#7337,.T.);
#5212=FACE_OUTER_BOUND('',#7338,.T.);
#5213=FACE_OUTER_BOUND('',#7339,.T.);
#5214=FACE_OUTER_BOUND('',#7340,.T.);
#5215=FACE_OUTER_BOUND('',#7341,.T.);
#5216=FACE_OUTER_BOUND('',#7342,.T.);
#5217=FACE_OUTER_BOUND('',#7343,.T.);
#5218=FACE_OUTER_BOUND('',#7344,.T.);
#5219=FACE_OUTER_BOUND('',#7345,.T.);
#5220=FACE_OUTER_BOUND('',#7346,.T.);
#5221=FACE_OUTER_BOUND('',#7347,.T.);
#5222=FACE_OUTER_BOUND('',#7348,.T.);
#5223=FACE_OUTER_BOUND('',#7349,.T.);
#5224=FACE_OUTER_BOUND('',#7350,.T.);
#5225=FACE_OUTER_BOUND('',#7351,.T.);
#5226=FACE_OUTER_BOUND('',#7352,.T.);
#5227=FACE_OUTER_BOUND('',#7353,.T.);
#5228=FACE_OUTER_BOUND('',#7354,.T.);
#5229=FACE_OUTER_BOUND('',#7355,.T.);
#5230=FACE_OUTER_BOUND('',#7356,.T.);
#5231=FACE_OUTER_BOUND('',#7357,.T.);
#5232=FACE_OUTER_BOUND('',#7358,.T.);
#5233=FACE_OUTER_BOUND('',#7359,.T.);
#5234=FACE_OUTER_BOUND('',#7360,.T.);
#5235=FACE_OUTER_BOUND('',#7361,.T.);
#5236=FACE_OUTER_BOUND('',#7362,.T.);
#5237=FACE_OUTER_BOUND('',#7363,.T.);
#5238=FACE_OUTER_BOUND('',#7364,.T.);
#5239=FACE_OUTER_BOUND('',#7365,.T.);
#5240=FACE_OUTER_BOUND('',#7366,.T.);
#5241=FACE_OUTER_BOUND('',#7367,.T.);
#5242=FACE_OUTER_BOUND('',#7368,.T.);
#5243=FACE_OUTER_BOUND('',#7369,.T.);
#5244=FACE_OUTER_BOUND('',#7370,.T.);
#5245=FACE_OUTER_BOUND('',#7371,.T.);
#5246=FACE_OUTER_BOUND('',#7372,.T.);
#5247=FACE_OUTER_BOUND('',#7373,.T.);
#5248=FACE_OUTER_BOUND('',#7374,.T.);
#5249=FACE_OUTER_BOUND('',#7375,.T.);
#5250=FACE_OUTER_BOUND('',#7376,.T.);
#5251=FACE_OUTER_BOUND('',#7377,.T.);
#5252=FACE_OUTER_BOUND('',#7378,.T.);
#5253=FACE_OUTER_BOUND('',#7379,.T.);
#5254=FACE_OUTER_BOUND('',#7380,.T.);
#5255=FACE_OUTER_BOUND('',#7381,.T.);
#5256=FACE_OUTER_BOUND('',#7382,.T.);
#5257=FACE_OUTER_BOUND('',#7383,.T.);
#5258=FACE_OUTER_BOUND('',#7384,.T.);
#5259=FACE_OUTER_BOUND('',#7385,.T.);
#5260=FACE_OUTER_BOUND('',#7386,.T.);
#5261=FACE_OUTER_BOUND('',#7387,.T.);
#5262=FACE_OUTER_BOUND('',#7388,.T.);
#5263=FACE_OUTER_BOUND('',#7389,.T.);
#5264=FACE_OUTER_BOUND('',#7390,.T.);
#5265=FACE_OUTER_BOUND('',#7391,.T.);
#5266=FACE_OUTER_BOUND('',#7392,.T.);
#5267=FACE_OUTER_BOUND('',#7393,.T.);
#5268=FACE_OUTER_BOUND('',#7394,.T.);
#5269=FACE_OUTER_BOUND('',#7395,.T.);
#5270=FACE_OUTER_BOUND('',#7396,.T.);
#5271=FACE_OUTER_BOUND('',#7397,.T.);
#5272=FACE_OUTER_BOUND('',#7398,.T.);
#5273=FACE_OUTER_BOUND('',#7399,.T.);
#5274=FACE_OUTER_BOUND('',#7400,.T.);
#5275=FACE_OUTER_BOUND('',#7401,.T.);
#5276=FACE_OUTER_BOUND('',#7402,.T.);
#5277=FACE_OUTER_BOUND('',#7403,.T.);
#5278=FACE_OUTER_BOUND('',#7404,.T.);
#5279=FACE_OUTER_BOUND('',#7405,.T.);
#5280=FACE_OUTER_BOUND('',#7406,.T.);
#5281=FACE_OUTER_BOUND('',#7407,.T.);
#5282=FACE_OUTER_BOUND('',#7408,.T.);
#5283=FACE_OUTER_BOUND('',#7409,.T.);
#5284=FACE_OUTER_BOUND('',#7410,.T.);
#5285=FACE_OUTER_BOUND('',#7411,.T.);
#5286=FACE_OUTER_BOUND('',#7412,.T.);
#5287=FACE_OUTER_BOUND('',#7413,.T.);
#5288=FACE_OUTER_BOUND('',#7414,.T.);
#5289=FACE_OUTER_BOUND('',#7415,.T.);
#5290=FACE_OUTER_BOUND('',#7416,.T.);
#5291=FACE_OUTER_BOUND('',#7417,.T.);
#5292=FACE_OUTER_BOUND('',#7418,.T.);
#5293=FACE_OUTER_BOUND('',#7419,.T.);
#5294=FACE_OUTER_BOUND('',#7420,.T.);
#5295=FACE_OUTER_BOUND('',#7421,.T.);
#5296=FACE_OUTER_BOUND('',#7422,.T.);
#5297=FACE_OUTER_BOUND('',#7423,.T.);
#5298=FACE_OUTER_BOUND('',#7424,.T.);
#5299=FACE_OUTER_BOUND('',#7425,.T.);
#5300=FACE_OUTER_BOUND('',#7426,.T.);
#5301=FACE_OUTER_BOUND('',#7427,.T.);
#5302=FACE_OUTER_BOUND('',#7428,.T.);
#5303=FACE_OUTER_BOUND('',#7429,.T.);
#5304=FACE_OUTER_BOUND('',#7430,.T.);
#5305=FACE_OUTER_BOUND('',#7431,.T.);
#5306=FACE_OUTER_BOUND('',#7432,.T.);
#5307=FACE_OUTER_BOUND('',#7433,.T.);
#5308=FACE_OUTER_BOUND('',#7434,.T.);
#5309=FACE_OUTER_BOUND('',#7435,.T.);
#5310=FACE_OUTER_BOUND('',#7436,.T.);
#5311=FACE_OUTER_BOUND('',#7437,.T.);
#5312=FACE_OUTER_BOUND('',#7438,.T.);
#5313=FACE_OUTER_BOUND('',#7439,.T.);
#5314=FACE_OUTER_BOUND('',#7440,.T.);
#5315=FACE_OUTER_BOUND('',#7441,.T.);
#5316=FACE_OUTER_BOUND('',#7442,.T.);
#5317=FACE_OUTER_BOUND('',#7443,.T.);
#5318=FACE_OUTER_BOUND('',#7444,.T.);
#5319=FACE_OUTER_BOUND('',#7445,.T.);
#5320=FACE_OUTER_BOUND('',#7446,.T.);
#5321=FACE_OUTER_BOUND('',#7447,.T.);
#5322=FACE_OUTER_BOUND('',#7448,.T.);
#5323=FACE_OUTER_BOUND('',#7449,.T.);
#5324=FACE_OUTER_BOUND('',#7450,.T.);
#5325=FACE_OUTER_BOUND('',#7451,.T.);
#5326=FACE_OUTER_BOUND('',#7452,.T.);
#5327=FACE_OUTER_BOUND('',#7453,.T.);
#5328=FACE_OUTER_BOUND('',#7454,.T.);
#5329=FACE_OUTER_BOUND('',#7455,.T.);
#5330=FACE_OUTER_BOUND('',#7456,.T.);
#5331=FACE_OUTER_BOUND('',#7457,.T.);
#5332=FACE_OUTER_BOUND('',#7458,.T.);
#5333=FACE_OUTER_BOUND('',#7459,.T.);
#5334=FACE_OUTER_BOUND('',#7460,.T.);
#5335=FACE_OUTER_BOUND('',#7461,.T.);
#5336=FACE_OUTER_BOUND('',#7462,.T.);
#5337=FACE_OUTER_BOUND('',#7463,.T.);
#5338=FACE_OUTER_BOUND('',#7464,.T.);
#5339=FACE_OUTER_BOUND('',#7465,.T.);
#5340=FACE_OUTER_BOUND('',#7466,.T.);
#5341=FACE_OUTER_BOUND('',#7467,.T.);
#5342=FACE_OUTER_BOUND('',#7468,.T.);
#5343=FACE_OUTER_BOUND('',#7469,.T.);
#5344=FACE_OUTER_BOUND('',#7470,.T.);
#5345=FACE_OUTER_BOUND('',#7471,.T.);
#5346=FACE_OUTER_BOUND('',#7472,.T.);
#5347=FACE_OUTER_BOUND('',#7473,.T.);
#5348=FACE_OUTER_BOUND('',#7474,.T.);
#5349=FACE_OUTER_BOUND('',#7475,.T.);
#5350=FACE_OUTER_BOUND('',#7476,.T.);
#5351=FACE_OUTER_BOUND('',#7477,.T.);
#5352=FACE_OUTER_BOUND('',#7478,.T.);
#5353=FACE_OUTER_BOUND('',#7479,.T.);
#5354=FACE_OUTER_BOUND('',#7480,.T.);
#5355=FACE_OUTER_BOUND('',#7481,.T.);
#5356=FACE_OUTER_BOUND('',#7482,.T.);
#5357=FACE_OUTER_BOUND('',#7483,.T.);
#5358=FACE_OUTER_BOUND('',#7484,.T.);
#5359=FACE_OUTER_BOUND('',#7485,.T.);
#5360=FACE_OUTER_BOUND('',#7486,.T.);
#5361=FACE_OUTER_BOUND('',#7487,.T.);
#5362=FACE_OUTER_BOUND('',#7488,.T.);
#5363=FACE_OUTER_BOUND('',#7489,.T.);
#5364=FACE_OUTER_BOUND('',#7490,.T.);
#5365=FACE_OUTER_BOUND('',#7491,.T.);
#5366=FACE_OUTER_BOUND('',#7492,.T.);
#5367=FACE_OUTER_BOUND('',#7493,.T.);
#5368=FACE_OUTER_BOUND('',#7494,.T.);
#5369=FACE_OUTER_BOUND('',#7495,.T.);
#5370=FACE_OUTER_BOUND('',#7496,.T.);
#5371=FACE_OUTER_BOUND('',#7497,.T.);
#5372=FACE_OUTER_BOUND('',#7498,.T.);
#5373=FACE_OUTER_BOUND('',#7499,.T.);
#5374=FACE_OUTER_BOUND('',#7500,.T.);
#5375=FACE_OUTER_BOUND('',#7501,.T.);
#5376=FACE_OUTER_BOUND('',#7502,.T.);
#5377=FACE_OUTER_BOUND('',#7503,.T.);
#5378=FACE_OUTER_BOUND('',#7504,.T.);
#5379=FACE_OUTER_BOUND('',#7505,.T.);
#5380=FACE_OUTER_BOUND('',#7506,.T.);
#5381=FACE_OUTER_BOUND('',#7507,.T.);
#5382=FACE_OUTER_BOUND('',#7508,.T.);
#5383=FACE_OUTER_BOUND('',#7509,.T.);
#5384=FACE_OUTER_BOUND('',#7510,.T.);
#5385=FACE_OUTER_BOUND('',#7511,.T.);
#5386=FACE_OUTER_BOUND('',#7512,.T.);
#5387=FACE_OUTER_BOUND('',#7513,.T.);
#5388=FACE_OUTER_BOUND('',#7514,.T.);
#5389=FACE_OUTER_BOUND('',#7515,.T.);
#5390=FACE_OUTER_BOUND('',#7516,.T.);
#5391=FACE_OUTER_BOUND('',#7517,.T.);
#5392=FACE_OUTER_BOUND('',#7518,.T.);
#5393=FACE_OUTER_BOUND('',#7519,.T.);
#5394=FACE_OUTER_BOUND('',#7520,.T.);
#5395=FACE_OUTER_BOUND('',#7521,.T.);
#5396=FACE_OUTER_BOUND('',#7522,.T.);
#5397=FACE_OUTER_BOUND('',#7523,.T.);
#5398=FACE_OUTER_BOUND('',#7524,.T.);
#5399=FACE_OUTER_BOUND('',#7525,.T.);
#5400=FACE_OUTER_BOUND('',#7526,.T.);
#5401=FACE_OUTER_BOUND('',#7527,.T.);
#5402=FACE_OUTER_BOUND('',#7528,.T.);
#5403=FACE_OUTER_BOUND('',#7529,.T.);
#5404=FACE_OUTER_BOUND('',#7530,.T.);
#5405=FACE_OUTER_BOUND('',#7531,.T.);
#5406=FACE_OUTER_BOUND('',#7532,.T.);
#5407=FACE_OUTER_BOUND('',#7533,.T.);
#5408=FACE_OUTER_BOUND('',#7534,.T.);
#5409=FACE_OUTER_BOUND('',#7535,.T.);
#5410=FACE_OUTER_BOUND('',#7536,.T.);
#5411=FACE_OUTER_BOUND('',#7537,.T.);
#5412=FACE_OUTER_BOUND('',#7538,.T.);
#5413=FACE_OUTER_BOUND('',#7539,.T.);
#5414=FACE_OUTER_BOUND('',#7540,.T.);
#5415=FACE_OUTER_BOUND('',#7541,.T.);
#5416=FACE_OUTER_BOUND('',#7542,.T.);
#5417=FACE_OUTER_BOUND('',#7543,.T.);
#5418=FACE_OUTER_BOUND('',#7544,.T.);
#5419=FACE_OUTER_BOUND('',#7545,.T.);
#5420=FACE_OUTER_BOUND('',#7546,.T.);
#5421=FACE_OUTER_BOUND('',#7547,.T.);
#5422=FACE_OUTER_BOUND('',#7548,.T.);
#5423=FACE_OUTER_BOUND('',#7549,.T.);
#5424=FACE_OUTER_BOUND('',#7550,.T.);
#5425=FACE_OUTER_BOUND('',#7551,.T.);
#5426=FACE_OUTER_BOUND('',#7552,.T.);
#5427=FACE_OUTER_BOUND('',#7553,.T.);
#5428=FACE_OUTER_BOUND('',#7554,.T.);
#5429=FACE_OUTER_BOUND('',#7555,.T.);
#5430=FACE_OUTER_BOUND('',#7556,.T.);
#5431=FACE_OUTER_BOUND('',#7557,.T.);
#5432=FACE_OUTER_BOUND('',#7558,.T.);
#5433=FACE_OUTER_BOUND('',#7559,.T.);
#5434=FACE_OUTER_BOUND('',#7560,.T.);
#5435=FACE_OUTER_BOUND('',#7561,.T.);
#5436=FACE_OUTER_BOUND('',#7562,.T.);
#5437=FACE_OUTER_BOUND('',#7563,.T.);
#5438=FACE_OUTER_BOUND('',#7564,.T.);
#5439=FACE_OUTER_BOUND('',#7565,.T.);
#5440=FACE_OUTER_BOUND('',#7566,.T.);
#5441=FACE_OUTER_BOUND('',#7567,.T.);
#5442=FACE_OUTER_BOUND('',#7568,.T.);
#5443=FACE_OUTER_BOUND('',#7569,.T.);
#5444=FACE_OUTER_BOUND('',#7570,.T.);
#5445=FACE_OUTER_BOUND('',#7571,.T.);
#5446=FACE_OUTER_BOUND('',#7572,.T.);
#5447=FACE_OUTER_BOUND('',#7573,.T.);
#5448=FACE_OUTER_BOUND('',#7574,.T.);
#5449=FACE_OUTER_BOUND('',#7575,.T.);
#5450=FACE_OUTER_BOUND('',#7576,.T.);
#5451=FACE_OUTER_BOUND('',#7577,.T.);
#5452=FACE_OUTER_BOUND('',#7578,.T.);
#5453=FACE_OUTER_BOUND('',#7579,.T.);
#5454=FACE_OUTER_BOUND('',#7580,.T.);
#5455=FACE_OUTER_BOUND('',#7581,.T.);
#5456=FACE_OUTER_BOUND('',#7582,.T.);
#5457=FACE_OUTER_BOUND('',#7583,.T.);
#5458=FACE_OUTER_BOUND('',#7584,.T.);
#5459=FACE_OUTER_BOUND('',#7585,.T.);
#5460=FACE_OUTER_BOUND('',#7586,.T.);
#5461=FACE_OUTER_BOUND('',#7587,.T.);
#5462=FACE_OUTER_BOUND('',#7588,.T.);
#5463=FACE_OUTER_BOUND('',#7589,.T.);
#5464=FACE_OUTER_BOUND('',#7590,.T.);
#5465=FACE_OUTER_BOUND('',#7591,.T.);
#5466=FACE_OUTER_BOUND('',#7592,.T.);
#5467=FACE_OUTER_BOUND('',#7593,.T.);
#5468=FACE_OUTER_BOUND('',#7594,.T.);
#5469=FACE_OUTER_BOUND('',#7595,.T.);
#5470=FACE_OUTER_BOUND('',#7596,.T.);
#5471=FACE_OUTER_BOUND('',#7597,.T.);
#5472=FACE_OUTER_BOUND('',#7598,.T.);
#5473=FACE_OUTER_BOUND('',#7599,.T.);
#5474=FACE_OUTER_BOUND('',#7600,.T.);
#5475=FACE_OUTER_BOUND('',#7601,.T.);
#5476=FACE_OUTER_BOUND('',#7602,.T.);
#5477=FACE_OUTER_BOUND('',#7603,.T.);
#5478=FACE_OUTER_BOUND('',#7604,.T.);
#5479=FACE_OUTER_BOUND('',#7605,.T.);
#5480=FACE_OUTER_BOUND('',#7606,.T.);
#5481=FACE_OUTER_BOUND('',#7607,.T.);
#5482=FACE_OUTER_BOUND('',#7608,.T.);
#5483=FACE_OUTER_BOUND('',#7609,.T.);
#5484=FACE_OUTER_BOUND('',#7610,.T.);
#5485=FACE_OUTER_BOUND('',#7611,.T.);
#5486=FACE_OUTER_BOUND('',#7612,.T.);
#5487=FACE_OUTER_BOUND('',#7613,.T.);
#5488=FACE_OUTER_BOUND('',#7614,.T.);
#5489=FACE_OUTER_BOUND('',#7615,.T.);
#5490=FACE_OUTER_BOUND('',#7616,.T.);
#5491=FACE_OUTER_BOUND('',#7617,.T.);
#5492=FACE_OUTER_BOUND('',#7618,.T.);
#5493=FACE_OUTER_BOUND('',#7619,.T.);
#5494=FACE_OUTER_BOUND('',#7620,.T.);
#5495=FACE_OUTER_BOUND('',#7621,.T.);
#5496=FACE_OUTER_BOUND('',#7622,.T.);
#5497=FACE_OUTER_BOUND('',#7623,.T.);
#5498=FACE_OUTER_BOUND('',#7624,.T.);
#5499=FACE_OUTER_BOUND('',#7625,.T.);
#5500=FACE_OUTER_BOUND('',#7626,.T.);
#5501=FACE_OUTER_BOUND('',#7627,.T.);
#5502=FACE_OUTER_BOUND('',#7628,.T.);
#5503=FACE_OUTER_BOUND('',#7629,.T.);
#5504=FACE_OUTER_BOUND('',#7630,.T.);
#5505=FACE_OUTER_BOUND('',#7631,.T.);
#5506=FACE_OUTER_BOUND('',#7632,.T.);
#5507=FACE_OUTER_BOUND('',#7633,.T.);
#5508=FACE_OUTER_BOUND('',#7634,.T.);
#5509=FACE_OUTER_BOUND('',#7635,.T.);
#5510=FACE_OUTER_BOUND('',#7636,.T.);
#5511=FACE_OUTER_BOUND('',#7637,.T.);
#5512=FACE_OUTER_BOUND('',#7638,.T.);
#5513=FACE_OUTER_BOUND('',#7639,.T.);
#5514=FACE_OUTER_BOUND('',#7640,.T.);
#5515=FACE_OUTER_BOUND('',#7641,.T.);
#5516=FACE_OUTER_BOUND('',#7642,.T.);
#5517=FACE_OUTER_BOUND('',#7643,.T.);
#5518=FACE_OUTER_BOUND('',#7644,.T.);
#5519=FACE_OUTER_BOUND('',#7645,.T.);
#5520=FACE_OUTER_BOUND('',#7646,.T.);
#5521=FACE_OUTER_BOUND('',#7647,.T.);
#5522=FACE_OUTER_BOUND('',#7648,.T.);
#5523=FACE_OUTER_BOUND('',#7649,.T.);
#5524=FACE_OUTER_BOUND('',#7650,.T.);
#5525=FACE_OUTER_BOUND('',#7651,.T.);
#5526=FACE_OUTER_BOUND('',#7652,.T.);
#5527=FACE_OUTER_BOUND('',#7653,.T.);
#5528=FACE_OUTER_BOUND('',#7654,.T.);
#5529=FACE_OUTER_BOUND('',#7655,.T.);
#5530=FACE_OUTER_BOUND('',#7656,.T.);
#5531=FACE_OUTER_BOUND('',#7657,.T.);
#5532=FACE_OUTER_BOUND('',#7658,.T.);
#5533=FACE_OUTER_BOUND('',#7659,.T.);
#5534=FACE_OUTER_BOUND('',#7660,.T.);
#5535=FACE_OUTER_BOUND('',#7661,.T.);
#5536=FACE_OUTER_BOUND('',#7662,.T.);
#5537=FACE_OUTER_BOUND('',#7663,.T.);
#5538=FACE_OUTER_BOUND('',#7664,.T.);
#5539=FACE_OUTER_BOUND('',#7665,.T.);
#5540=FACE_OUTER_BOUND('',#7666,.T.);
#5541=FACE_OUTER_BOUND('',#7667,.T.);
#5542=FACE_OUTER_BOUND('',#7668,.T.);
#5543=FACE_OUTER_BOUND('',#7669,.T.);
#5544=FACE_OUTER_BOUND('',#7670,.T.);
#5545=FACE_OUTER_BOUND('',#7671,.T.);
#5546=FACE_OUTER_BOUND('',#7672,.T.);
#5547=FACE_OUTER_BOUND('',#7673,.T.);
#5548=FACE_OUTER_BOUND('',#7674,.T.);
#5549=FACE_OUTER_BOUND('',#7675,.T.);
#5550=FACE_OUTER_BOUND('',#7676,.T.);
#5551=FACE_OUTER_BOUND('',#7677,.T.);
#5552=FACE_OUTER_BOUND('',#7678,.T.);
#5553=FACE_OUTER_BOUND('',#7679,.T.);
#5554=FACE_OUTER_BOUND('',#7680,.T.);
#5555=FACE_OUTER_BOUND('',#7681,.T.);
#5556=FACE_OUTER_BOUND('',#7682,.T.);
#5557=FACE_OUTER_BOUND('',#7683,.T.);
#5558=FACE_OUTER_BOUND('',#7684,.T.);
#5559=FACE_OUTER_BOUND('',#7685,.T.);
#5560=FACE_OUTER_BOUND('',#7686,.T.);
#5561=FACE_OUTER_BOUND('',#7687,.T.);
#5562=FACE_OUTER_BOUND('',#7688,.T.);
#5563=FACE_OUTER_BOUND('',#7689,.T.);
#5564=FACE_OUTER_BOUND('',#7690,.T.);
#5565=FACE_OUTER_BOUND('',#7691,.T.);
#5566=FACE_OUTER_BOUND('',#7692,.T.);
#5567=FACE_OUTER_BOUND('',#7693,.T.);
#5568=FACE_OUTER_BOUND('',#7694,.T.);
#5569=FACE_OUTER_BOUND('',#7695,.T.);
#5570=FACE_OUTER_BOUND('',#7696,.T.);
#5571=FACE_OUTER_BOUND('',#7697,.T.);
#5572=FACE_OUTER_BOUND('',#7698,.T.);
#5573=FACE_OUTER_BOUND('',#7699,.T.);
#5574=FACE_OUTER_BOUND('',#7700,.T.);
#5575=FACE_OUTER_BOUND('',#7701,.T.);
#5576=FACE_OUTER_BOUND('',#7702,.T.);
#5577=FACE_OUTER_BOUND('',#7703,.T.);
#5578=FACE_OUTER_BOUND('',#7704,.T.);
#5579=FACE_OUTER_BOUND('',#7705,.T.);
#5580=FACE_OUTER_BOUND('',#7706,.T.);
#5581=FACE_OUTER_BOUND('',#7707,.T.);
#5582=FACE_OUTER_BOUND('',#7708,.T.);
#5583=FACE_OUTER_BOUND('',#7709,.T.);
#5584=FACE_OUTER_BOUND('',#7710,.T.);
#5585=FACE_OUTER_BOUND('',#7711,.T.);
#5586=FACE_OUTER_BOUND('',#7712,.T.);
#5587=FACE_OUTER_BOUND('',#7713,.T.);
#5588=FACE_OUTER_BOUND('',#7714,.T.);
#5589=FACE_OUTER_BOUND('',#7715,.T.);
#5590=FACE_OUTER_BOUND('',#7716,.T.);
#5591=FACE_OUTER_BOUND('',#7717,.T.);
#5592=FACE_OUTER_BOUND('',#7718,.T.);
#5593=FACE_OUTER_BOUND('',#7719,.T.);
#5594=FACE_OUTER_BOUND('',#7720,.T.);
#5595=FACE_OUTER_BOUND('',#7721,.T.);
#5596=FACE_OUTER_BOUND('',#7722,.T.);
#5597=FACE_OUTER_BOUND('',#7723,.T.);
#5598=FACE_OUTER_BOUND('',#7724,.T.);
#5599=FACE_OUTER_BOUND('',#7725,.T.);
#5600=FACE_OUTER_BOUND('',#7726,.T.);
#5601=FACE_OUTER_BOUND('',#7727,.T.);
#5602=FACE_OUTER_BOUND('',#7728,.T.);
#5603=FACE_OUTER_BOUND('',#7729,.T.);
#5604=FACE_OUTER_BOUND('',#7730,.T.);
#5605=FACE_OUTER_BOUND('',#7731,.T.);
#5606=FACE_OUTER_BOUND('',#7732,.T.);
#5607=FACE_OUTER_BOUND('',#7733,.T.);
#5608=FACE_OUTER_BOUND('',#7734,.T.);
#5609=FACE_OUTER_BOUND('',#7735,.T.);
#5610=FACE_OUTER_BOUND('',#7736,.T.);
#5611=FACE_OUTER_BOUND('',#7737,.T.);
#5612=FACE_OUTER_BOUND('',#7738,.T.);
#5613=FACE_OUTER_BOUND('',#7739,.T.);
#5614=FACE_OUTER_BOUND('',#7740,.T.);
#5615=FACE_OUTER_BOUND('',#7741,.T.);
#5616=FACE_OUTER_BOUND('',#7742,.T.);
#5617=FACE_OUTER_BOUND('',#7743,.T.);
#5618=FACE_OUTER_BOUND('',#7744,.T.);
#5619=FACE_OUTER_BOUND('',#7745,.T.);
#5620=FACE_OUTER_BOUND('',#7746,.T.);
#5621=FACE_OUTER_BOUND('',#7752,.T.);
#5622=FACE_OUTER_BOUND('',#7753,.T.);
#5623=FACE_OUTER_BOUND('',#7754,.T.);
#5624=FACE_OUTER_BOUND('',#7755,.T.);
#5625=FACE_OUTER_BOUND('',#7756,.T.);
#5626=FACE_OUTER_BOUND('',#7757,.T.);
#5627=FACE_OUTER_BOUND('',#7758,.T.);
#5628=FACE_OUTER_BOUND('',#7759,.T.);
#5629=FACE_OUTER_BOUND('',#7760,.T.);
#5630=FACE_OUTER_BOUND('',#7761,.T.);
#5631=FACE_OUTER_BOUND('',#7762,.T.);
#5632=FACE_OUTER_BOUND('',#7763,.T.);
#5633=FACE_OUTER_BOUND('',#7764,.T.);
#5634=FACE_OUTER_BOUND('',#7765,.T.);
#5635=FACE_OUTER_BOUND('',#7766,.T.);
#5636=FACE_OUTER_BOUND('',#7767,.T.);
#5637=FACE_OUTER_BOUND('',#7768,.T.);
#5638=FACE_OUTER_BOUND('',#7769,.T.);
#5639=FACE_OUTER_BOUND('',#7770,.T.);
#5640=FACE_OUTER_BOUND('',#7771,.T.);
#5641=FACE_OUTER_BOUND('',#7772,.T.);
#5642=FACE_OUTER_BOUND('',#7773,.T.);
#5643=FACE_OUTER_BOUND('',#7774,.T.);
#5644=FACE_OUTER_BOUND('',#7775,.T.);
#5645=FACE_OUTER_BOUND('',#7776,.T.);
#5646=FACE_OUTER_BOUND('',#7777,.T.);
#5647=FACE_OUTER_BOUND('',#7778,.T.);
#5648=FACE_OUTER_BOUND('',#7779,.T.);
#5649=FACE_OUTER_BOUND('',#7780,.T.);
#5650=FACE_OUTER_BOUND('',#7781,.T.);
#5651=FACE_OUTER_BOUND('',#7782,.T.);
#5652=FACE_OUTER_BOUND('',#7783,.T.);
#5653=FACE_OUTER_BOUND('',#7784,.T.);
#5654=FACE_OUTER_BOUND('',#7785,.T.);
#5655=FACE_OUTER_BOUND('',#7786,.T.);
#5656=FACE_OUTER_BOUND('',#7787,.T.);
#5657=FACE_OUTER_BOUND('',#7788,.T.);
#5658=FACE_OUTER_BOUND('',#7789,.T.);
#5659=FACE_OUTER_BOUND('',#7790,.T.);
#5660=FACE_OUTER_BOUND('',#7791,.T.);
#5661=FACE_OUTER_BOUND('',#7792,.T.);
#5662=FACE_OUTER_BOUND('',#7793,.T.);
#5663=FACE_OUTER_BOUND('',#7794,.T.);
#5664=FACE_OUTER_BOUND('',#7795,.T.);
#5665=FACE_OUTER_BOUND('',#7796,.T.);
#5666=FACE_OUTER_BOUND('',#7797,.T.);
#5667=FACE_OUTER_BOUND('',#7798,.T.);
#5668=FACE_OUTER_BOUND('',#7799,.T.);
#5669=FACE_OUTER_BOUND('',#7800,.T.);
#5670=FACE_OUTER_BOUND('',#7801,.T.);
#5671=FACE_OUTER_BOUND('',#7802,.T.);
#5672=FACE_OUTER_BOUND('',#7803,.T.);
#5673=FACE_OUTER_BOUND('',#7804,.T.);
#5674=FACE_OUTER_BOUND('',#7805,.T.);
#5675=FACE_OUTER_BOUND('',#7806,.T.);
#5676=FACE_OUTER_BOUND('',#7807,.T.);
#5677=FACE_OUTER_BOUND('',#7808,.T.);
#5678=FACE_OUTER_BOUND('',#7809,.T.);
#5679=FACE_OUTER_BOUND('',#7810,.T.);
#5680=FACE_OUTER_BOUND('',#7811,.T.);
#5681=FACE_OUTER_BOUND('',#7812,.T.);
#5682=FACE_OUTER_BOUND('',#7813,.T.);
#5683=FACE_OUTER_BOUND('',#7814,.T.);
#5684=FACE_OUTER_BOUND('',#7815,.T.);
#5685=FACE_OUTER_BOUND('',#7816,.T.);
#5686=FACE_OUTER_BOUND('',#7817,.T.);
#5687=FACE_OUTER_BOUND('',#7818,.T.);
#5688=FACE_OUTER_BOUND('',#7819,.T.);
#5689=FACE_OUTER_BOUND('',#7820,.T.);
#5690=FACE_OUTER_BOUND('',#7821,.T.);
#5691=FACE_OUTER_BOUND('',#7822,.T.);
#5692=FACE_OUTER_BOUND('',#7823,.T.);
#5693=FACE_OUTER_BOUND('',#7824,.T.);
#5694=FACE_OUTER_BOUND('',#7825,.T.);
#5695=FACE_OUTER_BOUND('',#7826,.T.);
#5696=FACE_OUTER_BOUND('',#7827,.T.);
#5697=FACE_OUTER_BOUND('',#7828,.T.);
#5698=FACE_OUTER_BOUND('',#7829,.T.);
#5699=FACE_OUTER_BOUND('',#7830,.T.);
#5700=FACE_OUTER_BOUND('',#7831,.T.);
#5701=FACE_OUTER_BOUND('',#7832,.T.);
#5702=FACE_OUTER_BOUND('',#7833,.T.);
#5703=FACE_OUTER_BOUND('',#7834,.T.);
#5704=FACE_OUTER_BOUND('',#7835,.T.);
#5705=FACE_OUTER_BOUND('',#7836,.T.);
#5706=FACE_OUTER_BOUND('',#7837,.T.);
#5707=FACE_OUTER_BOUND('',#7838,.T.);
#5708=FACE_OUTER_BOUND('',#7839,.T.);
#5709=FACE_OUTER_BOUND('',#7840,.T.);
#5710=FACE_OUTER_BOUND('',#7841,.T.);
#5711=FACE_OUTER_BOUND('',#7842,.T.);
#5712=FACE_OUTER_BOUND('',#7843,.T.);
#5713=FACE_OUTER_BOUND('',#7844,.T.);
#5714=FACE_OUTER_BOUND('',#7845,.T.);
#5715=FACE_OUTER_BOUND('',#7846,.T.);
#5716=FACE_OUTER_BOUND('',#7847,.T.);
#5717=FACE_OUTER_BOUND('',#7848,.T.);
#5718=FACE_OUTER_BOUND('',#7849,.T.);
#5719=FACE_OUTER_BOUND('',#7850,.T.);
#5720=FACE_OUTER_BOUND('',#7851,.T.);
#5721=FACE_OUTER_BOUND('',#7852,.T.);
#5722=FACE_OUTER_BOUND('',#7853,.T.);
#5723=FACE_OUTER_BOUND('',#7854,.T.);
#5724=FACE_OUTER_BOUND('',#7855,.T.);
#5725=FACE_OUTER_BOUND('',#7856,.T.);
#5726=FACE_OUTER_BOUND('',#7857,.T.);
#5727=FACE_OUTER_BOUND('',#7858,.T.);
#5728=FACE_OUTER_BOUND('',#7859,.T.);
#5729=FACE_OUTER_BOUND('',#7860,.T.);
#5730=FACE_OUTER_BOUND('',#7861,.T.);
#5731=FACE_OUTER_BOUND('',#7862,.T.);
#5732=FACE_OUTER_BOUND('',#7863,.T.);
#5733=FACE_OUTER_BOUND('',#7864,.T.);
#5734=FACE_OUTER_BOUND('',#7865,.T.);
#5735=FACE_OUTER_BOUND('',#7866,.T.);
#5736=FACE_OUTER_BOUND('',#7867,.T.);
#5737=FACE_OUTER_BOUND('',#7868,.T.);
#5738=FACE_OUTER_BOUND('',#7869,.T.);
#5739=FACE_OUTER_BOUND('',#7870,.T.);
#5740=FACE_OUTER_BOUND('',#7871,.T.);
#5741=FACE_OUTER_BOUND('',#7872,.T.);
#5742=FACE_OUTER_BOUND('',#7873,.T.);
#5743=FACE_OUTER_BOUND('',#7874,.T.);
#5744=FACE_OUTER_BOUND('',#7875,.T.);
#5745=FACE_OUTER_BOUND('',#7876,.T.);
#5746=FACE_OUTER_BOUND('',#7877,.T.);
#5747=FACE_OUTER_BOUND('',#7878,.T.);
#5748=FACE_OUTER_BOUND('',#7879,.T.);
#5749=FACE_OUTER_BOUND('',#7880,.T.);
#5750=FACE_OUTER_BOUND('',#7881,.T.);
#5751=FACE_OUTER_BOUND('',#7882,.T.);
#5752=FACE_OUTER_BOUND('',#7883,.T.);
#5753=FACE_OUTER_BOUND('',#7889,.T.);
#5754=FACE_OUTER_BOUND('',#7895,.T.);
#5755=FACE_OUTER_BOUND('',#7896,.T.);
#5756=FACE_OUTER_BOUND('',#7897,.T.);
#5757=FACE_OUTER_BOUND('',#7898,.T.);
#5758=FACE_OUTER_BOUND('',#7899,.T.);
#5759=FACE_OUTER_BOUND('',#7900,.T.);
#5760=FACE_OUTER_BOUND('',#7901,.T.);
#5761=FACE_OUTER_BOUND('',#7902,.T.);
#5762=FACE_OUTER_BOUND('',#7903,.T.);
#5763=FACE_OUTER_BOUND('',#7904,.T.);
#5764=FACE_OUTER_BOUND('',#7905,.T.);
#5765=FACE_OUTER_BOUND('',#7906,.T.);
#5766=FACE_OUTER_BOUND('',#7907,.T.);
#5767=FACE_OUTER_BOUND('',#7908,.T.);
#5768=FACE_OUTER_BOUND('',#7909,.T.);
#5769=FACE_OUTER_BOUND('',#7910,.T.);
#5770=FACE_OUTER_BOUND('',#7911,.T.);
#5771=FACE_OUTER_BOUND('',#7912,.T.);
#5772=FACE_OUTER_BOUND('',#7913,.T.);
#5773=FACE_OUTER_BOUND('',#7914,.T.);
#5774=FACE_OUTER_BOUND('',#7915,.T.);
#5775=FACE_OUTER_BOUND('',#7916,.T.);
#5776=FACE_OUTER_BOUND('',#7917,.T.);
#5777=FACE_OUTER_BOUND('',#7918,.T.);
#5778=FACE_OUTER_BOUND('',#7919,.T.);
#5779=FACE_OUTER_BOUND('',#7920,.T.);
#5780=FACE_OUTER_BOUND('',#7921,.T.);
#5781=FACE_OUTER_BOUND('',#7922,.T.);
#5782=FACE_OUTER_BOUND('',#7923,.T.);
#5783=FACE_OUTER_BOUND('',#7924,.T.);
#5784=FACE_OUTER_BOUND('',#7925,.T.);
#5785=FACE_OUTER_BOUND('',#7926,.T.);
#5786=FACE_OUTER_BOUND('',#7927,.T.);
#5787=FACE_OUTER_BOUND('',#7928,.T.);
#5788=FACE_OUTER_BOUND('',#7929,.T.);
#5789=FACE_OUTER_BOUND('',#7930,.T.);
#5790=FACE_OUTER_BOUND('',#7931,.T.);
#5791=FACE_OUTER_BOUND('',#7932,.T.);
#5792=FACE_OUTER_BOUND('',#7933,.T.);
#5793=FACE_OUTER_BOUND('',#7934,.T.);
#5794=FACE_OUTER_BOUND('',#7935,.T.);
#5795=FACE_OUTER_BOUND('',#7936,.T.);
#5796=FACE_OUTER_BOUND('',#7937,.T.);
#5797=FACE_OUTER_BOUND('',#7938,.T.);
#5798=FACE_OUTER_BOUND('',#7939,.T.);
#5799=FACE_OUTER_BOUND('',#7940,.T.);
#5800=FACE_OUTER_BOUND('',#7941,.T.);
#5801=FACE_OUTER_BOUND('',#7942,.T.);
#5802=FACE_OUTER_BOUND('',#7943,.T.);
#5803=FACE_OUTER_BOUND('',#7944,.T.);
#5804=FACE_OUTER_BOUND('',#7945,.T.);
#5805=FACE_OUTER_BOUND('',#7946,.T.);
#5806=FACE_OUTER_BOUND('',#7947,.T.);
#5807=FACE_OUTER_BOUND('',#7948,.T.);
#5808=FACE_OUTER_BOUND('',#7949,.T.);
#5809=FACE_OUTER_BOUND('',#7950,.T.);
#5810=FACE_OUTER_BOUND('',#7951,.T.);
#5811=FACE_OUTER_BOUND('',#7952,.T.);
#5812=FACE_OUTER_BOUND('',#7953,.T.);
#5813=FACE_OUTER_BOUND('',#7954,.T.);
#5814=FACE_OUTER_BOUND('',#7955,.T.);
#5815=FACE_OUTER_BOUND('',#7956,.T.);
#5816=FACE_OUTER_BOUND('',#7957,.T.);
#5817=FACE_OUTER_BOUND('',#7958,.T.);
#5818=FACE_OUTER_BOUND('',#7959,.T.);
#5819=FACE_OUTER_BOUND('',#7960,.T.);
#5820=FACE_OUTER_BOUND('',#7961,.T.);
#5821=FACE_OUTER_BOUND('',#7962,.T.);
#5822=FACE_OUTER_BOUND('',#7963,.T.);
#5823=FACE_OUTER_BOUND('',#7964,.T.);
#5824=FACE_OUTER_BOUND('',#7965,.T.);
#5825=FACE_OUTER_BOUND('',#7966,.T.);
#5826=FACE_OUTER_BOUND('',#7967,.T.);
#5827=FACE_OUTER_BOUND('',#7968,.T.);
#5828=FACE_OUTER_BOUND('',#7969,.T.);
#5829=FACE_OUTER_BOUND('',#7970,.T.);
#5830=FACE_OUTER_BOUND('',#7971,.T.);
#5831=FACE_OUTER_BOUND('',#7972,.T.);
#5832=FACE_OUTER_BOUND('',#7973,.T.);
#5833=FACE_OUTER_BOUND('',#7974,.T.);
#5834=FACE_OUTER_BOUND('',#7975,.T.);
#5835=FACE_OUTER_BOUND('',#7976,.T.);
#5836=FACE_OUTER_BOUND('',#7977,.T.);
#5837=FACE_OUTER_BOUND('',#7978,.T.);
#5838=FACE_OUTER_BOUND('',#7979,.T.);
#5839=FACE_OUTER_BOUND('',#7980,.T.);
#5840=FACE_OUTER_BOUND('',#7981,.T.);
#5841=FACE_OUTER_BOUND('',#7982,.T.);
#5842=FACE_OUTER_BOUND('',#7983,.T.);
#5843=FACE_OUTER_BOUND('',#7984,.T.);
#5844=FACE_OUTER_BOUND('',#7985,.T.);
#5845=FACE_OUTER_BOUND('',#7986,.T.);
#5846=FACE_OUTER_BOUND('',#7987,.T.);
#5847=FACE_OUTER_BOUND('',#7988,.T.);
#5848=FACE_OUTER_BOUND('',#7989,.T.);
#5849=FACE_OUTER_BOUND('',#7990,.T.);
#5850=FACE_OUTER_BOUND('',#7991,.T.);
#5851=FACE_OUTER_BOUND('',#7992,.T.);
#5852=FACE_OUTER_BOUND('',#7993,.T.);
#5853=FACE_OUTER_BOUND('',#7994,.T.);
#5854=FACE_OUTER_BOUND('',#7995,.T.);
#5855=FACE_OUTER_BOUND('',#7996,.T.);
#5856=FACE_OUTER_BOUND('',#7997,.T.);
#5857=FACE_OUTER_BOUND('',#7998,.T.);
#5858=FACE_OUTER_BOUND('',#7999,.T.);
#5859=FACE_OUTER_BOUND('',#8000,.T.);
#5860=FACE_OUTER_BOUND('',#8001,.T.);
#5861=FACE_OUTER_BOUND('',#8002,.T.);
#5862=FACE_OUTER_BOUND('',#8003,.T.);
#5863=FACE_OUTER_BOUND('',#8004,.T.);
#5864=FACE_OUTER_BOUND('',#8005,.T.);
#5865=FACE_OUTER_BOUND('',#8006,.T.);
#5866=FACE_OUTER_BOUND('',#8007,.T.);
#5867=FACE_OUTER_BOUND('',#8008,.T.);
#5868=FACE_OUTER_BOUND('',#8009,.T.);
#5869=FACE_OUTER_BOUND('',#8010,.T.);
#5870=FACE_OUTER_BOUND('',#8011,.T.);
#5871=FACE_OUTER_BOUND('',#8012,.T.);
#5872=FACE_OUTER_BOUND('',#8013,.T.);
#5873=FACE_OUTER_BOUND('',#8014,.T.);
#5874=FACE_OUTER_BOUND('',#8015,.T.);
#5875=FACE_OUTER_BOUND('',#8016,.T.);
#5876=FACE_OUTER_BOUND('',#8017,.T.);
#5877=FACE_OUTER_BOUND('',#8018,.T.);
#5878=FACE_OUTER_BOUND('',#8019,.T.);
#5879=FACE_OUTER_BOUND('',#8020,.T.);
#5880=FACE_OUTER_BOUND('',#8021,.T.);
#5881=FACE_OUTER_BOUND('',#8022,.T.);
#5882=FACE_OUTER_BOUND('',#8023,.T.);
#5883=FACE_OUTER_BOUND('',#8024,.T.);
#5884=FACE_OUTER_BOUND('',#8026,.T.);
#5885=FACE_OUTER_BOUND('',#8027,.T.);
#5886=FACE_OUTER_BOUND('',#8028,.T.);
#5887=FACE_OUTER_BOUND('',#8029,.T.);
#5888=FACE_OUTER_BOUND('',#8030,.T.);
#5889=FACE_OUTER_BOUND('',#8031,.T.);
#5890=FACE_OUTER_BOUND('',#8032,.T.);
#5891=FACE_OUTER_BOUND('',#8033,.T.);
#5892=FACE_OUTER_BOUND('',#8034,.T.);
#5893=FACE_OUTER_BOUND('',#8035,.T.);
#5894=FACE_OUTER_BOUND('',#8036,.T.);
#5895=FACE_OUTER_BOUND('',#8037,.T.);
#5896=FACE_OUTER_BOUND('',#8038,.T.);
#5897=FACE_OUTER_BOUND('',#8039,.T.);
#5898=FACE_OUTER_BOUND('',#8040,.T.);
#5899=FACE_OUTER_BOUND('',#8041,.T.);
#5900=FACE_OUTER_BOUND('',#8042,.T.);
#5901=FACE_OUTER_BOUND('',#8043,.T.);
#5902=FACE_OUTER_BOUND('',#8044,.T.);
#5903=FACE_OUTER_BOUND('',#8045,.T.);
#5904=FACE_OUTER_BOUND('',#8046,.T.);
#5905=FACE_OUTER_BOUND('',#8047,.T.);
#5906=FACE_OUTER_BOUND('',#8048,.T.);
#5907=FACE_OUTER_BOUND('',#8049,.T.);
#5908=FACE_OUTER_BOUND('',#8050,.T.);
#5909=FACE_OUTER_BOUND('',#8051,.T.);
#5910=FACE_OUTER_BOUND('',#8052,.T.);
#5911=FACE_OUTER_BOUND('',#8053,.T.);
#5912=FACE_OUTER_BOUND('',#8054,.T.);
#5913=FACE_OUTER_BOUND('',#8055,.T.);
#5914=FACE_OUTER_BOUND('',#8056,.T.);
#5915=FACE_OUTER_BOUND('',#8057,.T.);
#5916=FACE_OUTER_BOUND('',#8058,.T.);
#5917=FACE_OUTER_BOUND('',#8059,.T.);
#5918=FACE_OUTER_BOUND('',#8060,.T.);
#5919=FACE_OUTER_BOUND('',#8061,.T.);
#5920=FACE_OUTER_BOUND('',#8062,.T.);
#5921=FACE_OUTER_BOUND('',#8063,.T.);
#5922=FACE_OUTER_BOUND('',#8064,.T.);
#5923=FACE_OUTER_BOUND('',#8065,.T.);
#5924=FACE_OUTER_BOUND('',#8066,.T.);
#5925=FACE_OUTER_BOUND('',#8067,.T.);
#5926=FACE_OUTER_BOUND('',#8068,.T.);
#5927=FACE_OUTER_BOUND('',#8069,.T.);
#5928=FACE_OUTER_BOUND('',#8070,.T.);
#5929=FACE_OUTER_BOUND('',#8071,.T.);
#5930=FACE_OUTER_BOUND('',#8072,.T.);
#5931=FACE_OUTER_BOUND('',#8073,.T.);
#5932=FACE_OUTER_BOUND('',#8074,.T.);
#5933=FACE_OUTER_BOUND('',#8076,.T.);
#5934=FACE_OUTER_BOUND('',#8077,.T.);
#5935=FACE_OUTER_BOUND('',#8078,.T.);
#5936=FACE_OUTER_BOUND('',#8079,.T.);
#5937=FACE_OUTER_BOUND('',#8080,.T.);
#5938=FACE_OUTER_BOUND('',#8081,.T.);
#5939=FACE_OUTER_BOUND('',#8082,.T.);
#5940=FACE_OUTER_BOUND('',#8083,.T.);
#5941=FACE_OUTER_BOUND('',#8084,.T.);
#5942=FACE_OUTER_BOUND('',#8086,.T.);
#5943=FACE_OUTER_BOUND('',#8087,.T.);
#5944=FACE_OUTER_BOUND('',#8088,.T.);
#5945=FACE_OUTER_BOUND('',#8089,.T.);
#5946=FACE_OUTER_BOUND('',#8090,.T.);
#5947=FACE_OUTER_BOUND('',#8091,.T.);
#5948=FACE_OUTER_BOUND('',#8092,.T.);
#5949=FACE_OUTER_BOUND('',#8093,.T.);
#5950=FACE_OUTER_BOUND('',#8094,.T.);
#5951=FACE_OUTER_BOUND('',#8095,.T.);
#5952=FACE_OUTER_BOUND('',#8096,.T.);
#5953=FACE_OUTER_BOUND('',#8097,.T.);
#5954=FACE_OUTER_BOUND('',#8098,.T.);
#5955=FACE_OUTER_BOUND('',#8249,.T.);
#5956=FACE_OUTER_BOUND('',#8400,.T.);
#5957=FACE_OUTER_BOUND('',#8401,.T.);
#5958=FACE_OUTER_BOUND('',#8402,.T.);
#5959=FACE_OUTER_BOUND('',#8403,.T.);
#5960=FACE_OUTER_BOUND('',#8404,.T.);
#5961=FACE_OUTER_BOUND('',#8405,.T.);
#5962=FACE_OUTER_BOUND('',#8406,.T.);
#5963=FACE_OUTER_BOUND('',#8407,.T.);
#5964=FACE_OUTER_BOUND('',#8408,.T.);
#5965=FACE_OUTER_BOUND('',#8409,.T.);
#5966=FACE_OUTER_BOUND('',#8410,.T.);
#5967=FACE_OUTER_BOUND('',#8411,.T.);
#5968=FACE_OUTER_BOUND('',#8412,.T.);
#5969=FACE_OUTER_BOUND('',#8413,.T.);
#5970=FACE_OUTER_BOUND('',#8414,.T.);
#5971=FACE_OUTER_BOUND('',#8415,.T.);
#5972=FACE_OUTER_BOUND('',#8416,.T.);
#5973=FACE_OUTER_BOUND('',#8417,.T.);
#5974=FACE_OUTER_BOUND('',#8418,.T.);
#5975=FACE_OUTER_BOUND('',#8419,.T.);
#5976=FACE_OUTER_BOUND('',#8420,.T.);
#5977=FACE_OUTER_BOUND('',#8421,.T.);
#5978=FACE_OUTER_BOUND('',#8422,.T.);
#5979=FACE_OUTER_BOUND('',#8423,.T.);
#5980=FACE_OUTER_BOUND('',#8424,.T.);
#5981=FACE_OUTER_BOUND('',#8425,.T.);
#5982=FACE_OUTER_BOUND('',#8426,.T.);
#5983=FACE_OUTER_BOUND('',#8427,.T.);
#5984=FACE_OUTER_BOUND('',#8428,.T.);
#5985=FACE_OUTER_BOUND('',#8429,.T.);
#5986=FACE_OUTER_BOUND('',#8430,.T.);
#5987=FACE_OUTER_BOUND('',#8431,.T.);
#5988=FACE_OUTER_BOUND('',#8432,.T.);
#5989=FACE_OUTER_BOUND('',#8433,.T.);
#5990=FACE_OUTER_BOUND('',#8434,.T.);
#5991=FACE_OUTER_BOUND('',#8435,.T.);
#5992=FACE_OUTER_BOUND('',#8436,.T.);
#5993=FACE_OUTER_BOUND('',#8437,.T.);
#5994=FACE_OUTER_BOUND('',#8438,.T.);
#5995=FACE_OUTER_BOUND('',#8439,.T.);
#5996=FACE_OUTER_BOUND('',#8440,.T.);
#5997=FACE_OUTER_BOUND('',#8441,.T.);
#5998=FACE_OUTER_BOUND('',#8442,.T.);
#5999=FACE_OUTER_BOUND('',#8443,.T.);
#6000=FACE_OUTER_BOUND('',#8444,.T.);
#6001=FACE_OUTER_BOUND('',#8445,.T.);
#6002=FACE_OUTER_BOUND('',#8446,.T.);
#6003=FACE_OUTER_BOUND('',#8447,.T.);
#6004=FACE_OUTER_BOUND('',#8448,.T.);
#6005=FACE_OUTER_BOUND('',#8449,.T.);
#6006=FACE_OUTER_BOUND('',#8450,.T.);
#6007=FACE_OUTER_BOUND('',#8451,.T.);
#6008=FACE_OUTER_BOUND('',#8452,.T.);
#6009=FACE_OUTER_BOUND('',#8453,.T.);
#6010=FACE_OUTER_BOUND('',#8454,.T.);
#6011=FACE_OUTER_BOUND('',#8455,.T.);
#6012=FACE_OUTER_BOUND('',#8456,.T.);
#6013=FACE_OUTER_BOUND('',#8457,.T.);
#6014=FACE_OUTER_BOUND('',#8458,.T.);
#6015=FACE_OUTER_BOUND('',#8459,.T.);
#6016=FACE_OUTER_BOUND('',#8460,.T.);
#6017=FACE_OUTER_BOUND('',#8461,.T.);
#6018=FACE_OUTER_BOUND('',#8462,.T.);
#6019=FACE_OUTER_BOUND('',#8463,.T.);
#6020=FACE_OUTER_BOUND('',#8464,.T.);
#6021=FACE_OUTER_BOUND('',#8465,.T.);
#6022=FACE_OUTER_BOUND('',#8466,.T.);
#6023=FACE_OUTER_BOUND('',#8467,.T.);
#6024=FACE_OUTER_BOUND('',#8468,.T.);
#6025=FACE_OUTER_BOUND('',#8469,.T.);
#6026=FACE_OUTER_BOUND('',#8470,.T.);
#6027=FACE_OUTER_BOUND('',#8471,.T.);
#6028=FACE_OUTER_BOUND('',#8472,.T.);
#6029=FACE_OUTER_BOUND('',#8473,.T.);
#6030=FACE_OUTER_BOUND('',#8474,.T.);
#6031=FACE_OUTER_BOUND('',#8475,.T.);
#6032=FACE_OUTER_BOUND('',#8476,.T.);
#6033=FACE_OUTER_BOUND('',#8477,.T.);
#6034=FACE_OUTER_BOUND('',#8478,.T.);
#6035=FACE_OUTER_BOUND('',#8479,.T.);
#6036=FACE_OUTER_BOUND('',#8480,.T.);
#6037=FACE_OUTER_BOUND('',#8481,.T.);
#6038=FACE_OUTER_BOUND('',#8482,.T.);
#6039=FACE_OUTER_BOUND('',#8483,.T.);
#6040=FACE_OUTER_BOUND('',#8484,.T.);
#6041=FACE_OUTER_BOUND('',#8485,.T.);
#6042=FACE_OUTER_BOUND('',#8486,.T.);
#6043=FACE_OUTER_BOUND('',#8487,.T.);
#6044=FACE_OUTER_BOUND('',#8488,.T.);
#6045=FACE_OUTER_BOUND('',#8489,.T.);
#6046=FACE_OUTER_BOUND('',#8490,.T.);
#6047=FACE_OUTER_BOUND('',#8491,.T.);
#6048=FACE_OUTER_BOUND('',#8492,.T.);
#6049=FACE_OUTER_BOUND('',#8493,.T.);
#6050=FACE_OUTER_BOUND('',#8494,.T.);
#6051=FACE_OUTER_BOUND('',#8495,.T.);
#6052=FACE_OUTER_BOUND('',#8496,.T.);
#6053=FACE_OUTER_BOUND('',#8497,.T.);
#6054=FACE_OUTER_BOUND('',#8498,.T.);
#6055=FACE_OUTER_BOUND('',#8499,.T.);
#6056=FACE_OUTER_BOUND('',#8500,.T.);
#6057=FACE_OUTER_BOUND('',#8501,.T.);
#6058=FACE_OUTER_BOUND('',#8502,.T.);
#6059=FACE_OUTER_BOUND('',#8503,.T.);
#6060=FACE_OUTER_BOUND('',#8504,.T.);
#6061=FACE_OUTER_BOUND('',#8505,.T.);
#6062=FACE_OUTER_BOUND('',#8506,.T.);
#6063=FACE_OUTER_BOUND('',#8507,.T.);
#6064=FACE_OUTER_BOUND('',#8508,.T.);
#6065=FACE_OUTER_BOUND('',#8509,.T.);
#6066=FACE_OUTER_BOUND('',#8510,.T.);
#6067=FACE_OUTER_BOUND('',#8511,.T.);
#6068=FACE_OUTER_BOUND('',#8512,.T.);
#6069=FACE_OUTER_BOUND('',#8513,.T.);
#6070=FACE_OUTER_BOUND('',#8514,.T.);
#6071=FACE_OUTER_BOUND('',#8515,.T.);
#6072=FACE_OUTER_BOUND('',#8516,.T.);
#6073=FACE_OUTER_BOUND('',#8517,.T.);
#6074=FACE_OUTER_BOUND('',#8518,.T.);
#6075=FACE_OUTER_BOUND('',#8519,.T.);
#6076=FACE_OUTER_BOUND('',#8520,.T.);
#6077=FACE_OUTER_BOUND('',#8521,.T.);
#6078=FACE_OUTER_BOUND('',#8522,.T.);
#6079=FACE_OUTER_BOUND('',#8523,.T.);
#6080=FACE_OUTER_BOUND('',#8524,.T.);
#6081=FACE_OUTER_BOUND('',#8525,.T.);
#6082=FACE_OUTER_BOUND('',#8526,.T.);
#6083=FACE_OUTER_BOUND('',#8527,.T.);
#6084=FACE_OUTER_BOUND('',#8528,.T.);
#6085=FACE_OUTER_BOUND('',#8529,.T.);
#6086=FACE_OUTER_BOUND('',#8530,.T.);
#6087=FACE_OUTER_BOUND('',#8531,.T.);
#6088=FACE_OUTER_BOUND('',#8532,.T.);
#6089=FACE_OUTER_BOUND('',#8533,.T.);
#6090=FACE_OUTER_BOUND('',#8534,.T.);
#6091=FACE_OUTER_BOUND('',#8535,.T.);
#6092=FACE_OUTER_BOUND('',#8536,.T.);
#6093=FACE_OUTER_BOUND('',#8537,.T.);
#6094=FACE_OUTER_BOUND('',#8538,.T.);
#6095=FACE_OUTER_BOUND('',#8539,.T.);
#6096=FACE_OUTER_BOUND('',#8540,.T.);
#6097=FACE_OUTER_BOUND('',#8541,.T.);
#6098=FACE_OUTER_BOUND('',#8542,.T.);
#6099=FACE_OUTER_BOUND('',#8543,.T.);
#6100=FACE_OUTER_BOUND('',#8544,.T.);
#6101=FACE_OUTER_BOUND('',#8545,.T.);
#6102=FACE_OUTER_BOUND('',#8546,.T.);
#6103=FACE_OUTER_BOUND('',#8547,.T.);
#6104=FACE_OUTER_BOUND('',#8548,.T.);
#6105=FACE_OUTER_BOUND('',#8549,.T.);
#6106=FACE_OUTER_BOUND('',#8550,.T.);
#6107=FACE_OUTER_BOUND('',#8551,.T.);
#6108=FACE_OUTER_BOUND('',#8552,.T.);
#6109=FACE_OUTER_BOUND('',#8553,.T.);
#6110=FACE_OUTER_BOUND('',#8554,.T.);
#6111=FACE_OUTER_BOUND('',#8555,.T.);
#6112=FACE_OUTER_BOUND('',#8556,.T.);
#6113=FACE_OUTER_BOUND('',#8557,.T.);
#6114=FACE_OUTER_BOUND('',#8558,.T.);
#6115=FACE_OUTER_BOUND('',#8559,.T.);
#6116=FACE_OUTER_BOUND('',#8560,.T.);
#6117=FACE_OUTER_BOUND('',#8561,.T.);
#6118=FACE_OUTER_BOUND('',#8562,.T.);
#6119=FACE_OUTER_BOUND('',#8563,.T.);
#6120=FACE_OUTER_BOUND('',#8564,.T.);
#6121=FACE_OUTER_BOUND('',#8565,.T.);
#6122=FACE_OUTER_BOUND('',#8566,.T.);
#6123=FACE_OUTER_BOUND('',#8568,.T.);
#6124=FACE_OUTER_BOUND('',#8569,.T.);
#6125=FACE_OUTER_BOUND('',#8570,.T.);
#6126=FACE_OUTER_BOUND('',#8571,.T.);
#6127=FACE_OUTER_BOUND('',#8572,.T.);
#6128=FACE_OUTER_BOUND('',#8573,.T.);
#6129=FACE_OUTER_BOUND('',#8574,.T.);
#6130=FACE_OUTER_BOUND('',#8575,.T.);
#6131=FACE_OUTER_BOUND('',#8576,.T.);
#6132=FACE_OUTER_BOUND('',#8577,.T.);
#6133=FACE_OUTER_BOUND('',#8578,.T.);
#6134=FACE_OUTER_BOUND('',#8579,.T.);
#6135=FACE_OUTER_BOUND('',#8580,.T.);
#6136=FACE_OUTER_BOUND('',#8581,.T.);
#6137=FACE_OUTER_BOUND('',#8582,.T.);
#6138=FACE_OUTER_BOUND('',#8583,.T.);
#6139=FACE_OUTER_BOUND('',#8584,.T.);
#6140=FACE_OUTER_BOUND('',#8585,.T.);
#6141=FACE_OUTER_BOUND('',#8586,.T.);
#6142=FACE_OUTER_BOUND('',#8587,.T.);
#6143=FACE_OUTER_BOUND('',#8588,.T.);
#6144=FACE_OUTER_BOUND('',#8589,.T.);
#6145=FACE_OUTER_BOUND('',#8590,.T.);
#6146=FACE_OUTER_BOUND('',#8591,.T.);
#6147=FACE_OUTER_BOUND('',#8592,.T.);
#6148=FACE_OUTER_BOUND('',#8593,.T.);
#6149=FACE_OUTER_BOUND('',#8594,.T.);
#6150=FACE_OUTER_BOUND('',#8595,.T.);
#6151=FACE_OUTER_BOUND('',#8596,.T.);
#6152=FACE_OUTER_BOUND('',#8597,.T.);
#6153=FACE_OUTER_BOUND('',#8598,.T.);
#6154=FACE_OUTER_BOUND('',#8599,.T.);
#6155=FACE_OUTER_BOUND('',#8600,.T.);
#6156=FACE_OUTER_BOUND('',#8601,.T.);
#6157=FACE_OUTER_BOUND('',#8602,.T.);
#6158=FACE_OUTER_BOUND('',#8603,.T.);
#6159=FACE_OUTER_BOUND('',#8604,.T.);
#6160=FACE_OUTER_BOUND('',#8605,.T.);
#6161=FACE_OUTER_BOUND('',#8606,.T.);
#6162=FACE_OUTER_BOUND('',#8607,.T.);
#6163=FACE_OUTER_BOUND('',#8608,.T.);
#6164=FACE_OUTER_BOUND('',#8609,.T.);
#6165=FACE_OUTER_BOUND('',#8610,.T.);
#6166=FACE_OUTER_BOUND('',#8611,.T.);
#6167=FACE_OUTER_BOUND('',#8612,.T.);
#6168=FACE_OUTER_BOUND('',#8613,.T.);
#6169=FACE_OUTER_BOUND('',#8614,.T.);
#6170=FACE_OUTER_BOUND('',#8615,.T.);
#6171=FACE_OUTER_BOUND('',#8616,.T.);
#6172=FACE_OUTER_BOUND('',#8617,.T.);
#6173=FACE_OUTER_BOUND('',#8618,.T.);
#6174=FACE_OUTER_BOUND('',#8619,.T.);
#6175=FACE_OUTER_BOUND('',#8620,.T.);
#6176=FACE_OUTER_BOUND('',#8621,.T.);
#6177=FACE_OUTER_BOUND('',#8622,.T.);
#6178=FACE_OUTER_BOUND('',#8623,.T.);
#6179=FACE_OUTER_BOUND('',#8624,.T.);
#6180=FACE_OUTER_BOUND('',#8625,.T.);
#6181=FACE_OUTER_BOUND('',#8628,.T.);
#6182=FACE_OUTER_BOUND('',#8629,.T.);
#6183=FACE_OUTER_BOUND('',#8630,.T.);
#6184=FACE_OUTER_BOUND('',#8631,.T.);
#6185=FACE_OUTER_BOUND('',#8632,.T.);
#6186=FACE_OUTER_BOUND('',#8635,.T.);
#6187=FACE_OUTER_BOUND('',#8636,.T.);
#6188=FACE_OUTER_BOUND('',#8637,.T.);
#6189=FACE_OUTER_BOUND('',#8638,.T.);
#6190=FACE_OUTER_BOUND('',#8639,.T.);
#6191=FACE_OUTER_BOUND('',#8640,.T.);
#6192=FACE_OUTER_BOUND('',#8641,.T.);
#6193=FACE_OUTER_BOUND('',#8642,.T.);
#6194=FACE_OUTER_BOUND('',#8643,.T.);
#6195=FACE_OUTER_BOUND('',#8644,.T.);
#6196=FACE_OUTER_BOUND('',#8645,.T.);
#6197=FACE_OUTER_BOUND('',#8646,.T.);
#6198=FACE_OUTER_BOUND('',#8647,.T.);
#6199=FACE_OUTER_BOUND('',#8648,.T.);
#6200=FACE_OUTER_BOUND('',#8649,.T.);
#6201=FACE_OUTER_BOUND('',#8650,.T.);
#6202=FACE_OUTER_BOUND('',#8651,.T.);
#6203=FACE_OUTER_BOUND('',#8652,.T.);
#6204=FACE_OUTER_BOUND('',#8653,.T.);
#6205=FACE_OUTER_BOUND('',#8654,.T.);
#6206=FACE_OUTER_BOUND('',#8655,.T.);
#6207=FACE_OUTER_BOUND('',#8656,.T.);
#6208=FACE_OUTER_BOUND('',#8657,.T.);
#6209=FACE_OUTER_BOUND('',#8658,.T.);
#6210=FACE_OUTER_BOUND('',#8659,.T.);
#6211=FACE_OUTER_BOUND('',#8660,.T.);
#6212=FACE_OUTER_BOUND('',#8661,.T.);
#6213=FACE_OUTER_BOUND('',#8662,.T.);
#6214=FACE_OUTER_BOUND('',#8663,.T.);
#6215=FACE_OUTER_BOUND('',#8664,.T.);
#6216=FACE_OUTER_BOUND('',#8665,.T.);
#6217=FACE_OUTER_BOUND('',#8666,.T.);
#6218=FACE_OUTER_BOUND('',#8667,.T.);
#6219=FACE_OUTER_BOUND('',#8668,.T.);
#6220=FACE_OUTER_BOUND('',#8669,.T.);
#6221=FACE_OUTER_BOUND('',#8670,.T.);
#6222=FACE_OUTER_BOUND('',#8671,.T.);
#6223=FACE_OUTER_BOUND('',#8672,.T.);
#6224=FACE_OUTER_BOUND('',#8673,.T.);
#6225=FACE_OUTER_BOUND('',#8674,.T.);
#6226=FACE_OUTER_BOUND('',#8675,.T.);
#6227=FACE_OUTER_BOUND('',#8676,.T.);
#6228=FACE_OUTER_BOUND('',#8677,.T.);
#6229=FACE_OUTER_BOUND('',#8678,.T.);
#6230=FACE_OUTER_BOUND('',#8679,.T.);
#6231=FACE_OUTER_BOUND('',#8680,.T.);
#6232=FACE_OUTER_BOUND('',#8681,.T.);
#6233=FACE_OUTER_BOUND('',#8682,.T.);
#6234=FACE_OUTER_BOUND('',#8683,.T.);
#6235=FACE_OUTER_BOUND('',#8684,.T.);
#6236=FACE_OUTER_BOUND('',#8685,.T.);
#6237=FACE_OUTER_BOUND('',#8686,.T.);
#6238=FACE_OUTER_BOUND('',#8687,.T.);
#6239=FACE_OUTER_BOUND('',#8688,.T.);
#6240=FACE_OUTER_BOUND('',#8689,.T.);
#6241=FACE_OUTER_BOUND('',#8690,.T.);
#6242=FACE_OUTER_BOUND('',#8691,.T.);
#6243=FACE_OUTER_BOUND('',#8692,.T.);
#6244=FACE_OUTER_BOUND('',#8694,.T.);
#6245=FACE_OUTER_BOUND('',#8696,.T.);
#6246=FACE_OUTER_BOUND('',#8698,.T.);
#6247=FACE_OUTER_BOUND('',#8700,.T.);
#6248=FACE_OUTER_BOUND('',#8701,.T.);
#6249=FACE_OUTER_BOUND('',#8702,.T.);
#6250=FACE_OUTER_BOUND('',#8703,.T.);
#6251=FACE_OUTER_BOUND('',#8704,.T.);
#6252=FACE_OUTER_BOUND('',#8706,.T.);
#6253=FACE_OUTER_BOUND('',#8707,.T.);
#6254=FACE_OUTER_BOUND('',#8708,.T.);
#6255=FACE_OUTER_BOUND('',#8709,.T.);
#6256=FACE_OUTER_BOUND('',#8714,.T.);
#6257=FACE_OUTER_BOUND('',#8715,.T.);
#6258=FACE_OUTER_BOUND('',#8716,.T.);
#6259=FACE_OUTER_BOUND('',#8717,.T.);
#6260=FACE_OUTER_BOUND('',#8718,.T.);
#6261=FACE_OUTER_BOUND('',#8719,.T.);
#6262=FACE_OUTER_BOUND('',#8720,.T.);
#6263=FACE_OUTER_BOUND('',#8721,.T.);
#6264=FACE_OUTER_BOUND('',#8722,.T.);
#6265=FACE_OUTER_BOUND('',#8723,.T.);
#6266=FACE_OUTER_BOUND('',#8724,.T.);
#6267=FACE_OUTER_BOUND('',#8725,.T.);
#6268=FACE_OUTER_BOUND('',#8726,.T.);
#6269=FACE_OUTER_BOUND('',#8727,.T.);
#6270=FACE_OUTER_BOUND('',#8728,.T.);
#6271=FACE_OUTER_BOUND('',#8729,.T.);
#6272=FACE_OUTER_BOUND('',#8730,.T.);
#6273=FACE_OUTER_BOUND('',#8731,.T.);
#6274=FACE_OUTER_BOUND('',#8732,.T.);
#6275=FACE_OUTER_BOUND('',#8733,.T.);
#6276=FACE_OUTER_BOUND('',#8734,.T.);
#6277=FACE_OUTER_BOUND('',#8735,.T.);
#6278=FACE_OUTER_BOUND('',#8736,.T.);
#6279=FACE_OUTER_BOUND('',#8737,.T.);
#6280=FACE_OUTER_BOUND('',#8738,.T.);
#6281=FACE_OUTER_BOUND('',#8739,.T.);
#6282=FACE_OUTER_BOUND('',#8740,.T.);
#6283=FACE_OUTER_BOUND('',#8741,.T.);
#6284=FACE_OUTER_BOUND('',#8742,.T.);
#6285=FACE_OUTER_BOUND('',#8743,.T.);
#6286=FACE_OUTER_BOUND('',#8744,.T.);
#6287=FACE_OUTER_BOUND('',#8745,.T.);
#6288=FACE_OUTER_BOUND('',#8746,.T.);
#6289=FACE_OUTER_BOUND('',#8747,.T.);
#6290=FACE_OUTER_BOUND('',#8748,.T.);
#6291=FACE_OUTER_BOUND('',#8749,.T.);
#6292=FACE_OUTER_BOUND('',#8750,.T.);
#6293=FACE_OUTER_BOUND('',#8751,.T.);
#6294=FACE_OUTER_BOUND('',#8752,.T.);
#6295=FACE_OUTER_BOUND('',#8753,.T.);
#6296=FACE_OUTER_BOUND('',#8754,.T.);
#6297=FACE_OUTER_BOUND('',#8755,.T.);
#6298=FACE_OUTER_BOUND('',#8756,.T.);
#6299=FACE_OUTER_BOUND('',#8757,.T.);
#6300=FACE_OUTER_BOUND('',#8758,.T.);
#6301=FACE_OUTER_BOUND('',#8759,.T.);
#6302=FACE_OUTER_BOUND('',#8760,.T.);
#6303=FACE_OUTER_BOUND('',#8761,.T.);
#6304=FACE_OUTER_BOUND('',#8762,.T.);
#6305=FACE_OUTER_BOUND('',#8763,.T.);
#6306=FACE_OUTER_BOUND('',#8764,.T.);
#6307=FACE_OUTER_BOUND('',#8765,.T.);
#6308=FACE_OUTER_BOUND('',#8766,.T.);
#6309=FACE_OUTER_BOUND('',#8767,.T.);
#6310=FACE_OUTER_BOUND('',#8768,.T.);
#6311=FACE_OUTER_BOUND('',#8769,.T.);
#6312=FACE_OUTER_BOUND('',#8770,.T.);
#6313=FACE_OUTER_BOUND('',#8771,.T.);
#6314=FACE_OUTER_BOUND('',#8772,.T.);
#6315=FACE_OUTER_BOUND('',#8773,.T.);
#6316=FACE_OUTER_BOUND('',#8774,.T.);
#6317=FACE_OUTER_BOUND('',#8775,.T.);
#6318=FACE_OUTER_BOUND('',#8776,.T.);
#6319=FACE_OUTER_BOUND('',#8777,.T.);
#6320=FACE_OUTER_BOUND('',#8778,.T.);
#6321=FACE_OUTER_BOUND('',#8779,.T.);
#6322=FACE_OUTER_BOUND('',#8780,.T.);
#6323=FACE_OUTER_BOUND('',#8781,.T.);
#6324=FACE_OUTER_BOUND('',#8782,.T.);
#6325=FACE_OUTER_BOUND('',#8783,.T.);
#6326=FACE_OUTER_BOUND('',#8784,.T.);
#6327=FACE_OUTER_BOUND('',#8785,.T.);
#6328=FACE_OUTER_BOUND('',#8786,.T.);
#6329=FACE_OUTER_BOUND('',#8787,.T.);
#6330=FACE_OUTER_BOUND('',#8788,.T.);
#6331=FACE_OUTER_BOUND('',#8789,.T.);
#6332=FACE_OUTER_BOUND('',#8790,.T.);
#6333=FACE_OUTER_BOUND('',#8791,.T.);
#6334=FACE_OUTER_BOUND('',#8792,.T.);
#6335=FACE_OUTER_BOUND('',#8793,.T.);
#6336=FACE_OUTER_BOUND('',#8794,.T.);
#6337=FACE_OUTER_BOUND('',#8795,.T.);
#6338=FACE_OUTER_BOUND('',#8796,.T.);
#6339=FACE_OUTER_BOUND('',#8797,.T.);
#6340=FACE_OUTER_BOUND('',#8798,.T.);
#6341=FACE_OUTER_BOUND('',#8799,.T.);
#6342=FACE_OUTER_BOUND('',#8800,.T.);
#6343=FACE_OUTER_BOUND('',#8801,.T.);
#6344=FACE_OUTER_BOUND('',#8802,.T.);
#6345=FACE_OUTER_BOUND('',#8803,.T.);
#6346=FACE_OUTER_BOUND('',#8804,.T.);
#6347=FACE_OUTER_BOUND('',#8805,.T.);
#6348=FACE_OUTER_BOUND('',#8806,.T.);
#6349=FACE_OUTER_BOUND('',#8807,.T.);
#6350=FACE_OUTER_BOUND('',#8808,.T.);
#6351=FACE_OUTER_BOUND('',#8809,.T.);
#6352=FACE_OUTER_BOUND('',#8810,.T.);
#6353=FACE_OUTER_BOUND('',#8811,.T.);
#6354=FACE_OUTER_BOUND('',#8812,.T.);
#6355=FACE_OUTER_BOUND('',#8813,.T.);
#6356=FACE_OUTER_BOUND('',#8814,.T.);
#6357=FACE_OUTER_BOUND('',#8815,.T.);
#6358=FACE_OUTER_BOUND('',#8816,.T.);
#6359=FACE_OUTER_BOUND('',#8817,.T.);
#6360=FACE_OUTER_BOUND('',#8818,.T.);
#6361=FACE_OUTER_BOUND('',#8819,.T.);
#6362=FACE_OUTER_BOUND('',#8820,.T.);
#6363=FACE_OUTER_BOUND('',#8821,.T.);
#6364=FACE_OUTER_BOUND('',#8822,.T.);
#6365=FACE_OUTER_BOUND('',#8823,.T.);
#6366=FACE_OUTER_BOUND('',#8824,.T.);
#6367=FACE_OUTER_BOUND('',#8825,.T.);
#6368=FACE_OUTER_BOUND('',#8826,.T.);
#6369=FACE_OUTER_BOUND('',#8827,.T.);
#6370=FACE_OUTER_BOUND('',#8828,.T.);
#6371=FACE_OUTER_BOUND('',#8829,.T.);
#6372=FACE_OUTER_BOUND('',#8830,.T.);
#6373=FACE_OUTER_BOUND('',#8831,.T.);
#6374=FACE_OUTER_BOUND('',#8832,.T.);
#6375=FACE_OUTER_BOUND('',#8833,.T.);
#6376=FACE_OUTER_BOUND('',#8834,.T.);
#6377=FACE_OUTER_BOUND('',#8835,.T.);
#6378=FACE_OUTER_BOUND('',#8836,.T.);
#6379=FACE_OUTER_BOUND('',#8837,.T.);
#6380=FACE_OUTER_BOUND('',#8838,.T.);
#6381=FACE_OUTER_BOUND('',#8839,.T.);
#6382=FACE_OUTER_BOUND('',#8840,.T.);
#6383=FACE_OUTER_BOUND('',#8841,.T.);
#6384=FACE_OUTER_BOUND('',#8842,.T.);
#6385=FACE_OUTER_BOUND('',#8843,.T.);
#6386=FACE_OUTER_BOUND('',#8844,.T.);
#6387=FACE_OUTER_BOUND('',#8845,.T.);
#6388=FACE_OUTER_BOUND('',#8846,.T.);
#6389=FACE_OUTER_BOUND('',#8847,.T.);
#6390=FACE_OUTER_BOUND('',#8848,.T.);
#6391=FACE_OUTER_BOUND('',#8849,.T.);
#6392=FACE_OUTER_BOUND('',#8850,.T.);
#6393=FACE_OUTER_BOUND('',#8851,.T.);
#6394=FACE_OUTER_BOUND('',#8852,.T.);
#6395=FACE_OUTER_BOUND('',#8853,.T.);
#6396=FACE_OUTER_BOUND('',#8854,.T.);
#6397=FACE_OUTER_BOUND('',#8855,.T.);
#6398=FACE_OUTER_BOUND('',#8856,.T.);
#6399=FACE_OUTER_BOUND('',#8857,.T.);
#6400=FACE_OUTER_BOUND('',#8858,.T.);
#6401=FACE_OUTER_BOUND('',#8859,.T.);
#6402=FACE_OUTER_BOUND('',#8860,.T.);
#6403=FACE_OUTER_BOUND('',#8861,.T.);
#6404=FACE_OUTER_BOUND('',#8862,.T.);
#6405=FACE_OUTER_BOUND('',#8863,.T.);
#6406=FACE_OUTER_BOUND('',#8864,.T.);
#6407=FACE_OUTER_BOUND('',#8865,.T.);
#6408=FACE_OUTER_BOUND('',#8878,.T.);
#6409=FACE_OUTER_BOUND('',#8879,.T.);
#6410=FACE_OUTER_BOUND('',#8880,.T.);
#6411=FACE_OUTER_BOUND('',#8881,.T.);
#6412=FACE_OUTER_BOUND('',#8882,.T.);
#6413=FACE_OUTER_BOUND('',#8883,.T.);
#6414=FACE_OUTER_BOUND('',#8884,.T.);
#6415=FACE_OUTER_BOUND('',#8885,.T.);
#6416=FACE_OUTER_BOUND('',#8886,.T.);
#6417=FACE_OUTER_BOUND('',#8887,.T.);
#6418=FACE_OUTER_BOUND('',#8888,.T.);
#6419=FACE_OUTER_BOUND('',#8889,.T.);
#6420=FACE_OUTER_BOUND('',#8890,.T.);
#6421=FACE_OUTER_BOUND('',#8891,.T.);
#6422=FACE_OUTER_BOUND('',#8892,.T.);
#6423=FACE_OUTER_BOUND('',#8893,.T.);
#6424=FACE_OUTER_BOUND('',#8894,.T.);
#6425=FACE_OUTER_BOUND('',#8895,.T.);
#6426=FACE_OUTER_BOUND('',#8896,.T.);
#6427=FACE_OUTER_BOUND('',#8897,.T.);
#6428=FACE_OUTER_BOUND('',#8898,.T.);
#6429=FACE_OUTER_BOUND('',#8899,.T.);
#6430=FACE_OUTER_BOUND('',#8900,.T.);
#6431=FACE_OUTER_BOUND('',#8901,.T.);
#6432=FACE_OUTER_BOUND('',#8902,.T.);
#6433=FACE_OUTER_BOUND('',#8903,.T.);
#6434=FACE_OUTER_BOUND('',#8904,.T.);
#6435=FACE_OUTER_BOUND('',#8905,.T.);
#6436=FACE_OUTER_BOUND('',#8906,.T.);
#6437=FACE_OUTER_BOUND('',#8907,.T.);
#6438=FACE_OUTER_BOUND('',#8908,.T.);
#6439=FACE_OUTER_BOUND('',#8909,.T.);
#6440=FACE_OUTER_BOUND('',#8910,.T.);
#6441=FACE_OUTER_BOUND('',#8911,.T.);
#6442=FACE_OUTER_BOUND('',#8912,.T.);
#6443=FACE_OUTER_BOUND('',#8913,.T.);
#6444=FACE_OUTER_BOUND('',#8914,.T.);
#6445=FACE_OUTER_BOUND('',#8915,.T.);
#6446=FACE_OUTER_BOUND('',#8916,.T.);
#6447=FACE_OUTER_BOUND('',#8917,.T.);
#6448=FACE_OUTER_BOUND('',#8918,.T.);
#6449=FACE_OUTER_BOUND('',#8919,.T.);
#6450=FACE_OUTER_BOUND('',#8920,.T.);
#6451=FACE_OUTER_BOUND('',#8921,.T.);
#6452=FACE_OUTER_BOUND('',#8922,.T.);
#6453=FACE_OUTER_BOUND('',#8923,.T.);
#6454=FACE_OUTER_BOUND('',#8924,.T.);
#6455=FACE_OUTER_BOUND('',#8925,.T.);
#6456=FACE_OUTER_BOUND('',#8926,.T.);
#6457=FACE_OUTER_BOUND('',#8927,.T.);
#6458=FACE_OUTER_BOUND('',#8928,.T.);
#6459=FACE_OUTER_BOUND('',#8929,.T.);
#6460=FACE_OUTER_BOUND('',#8930,.T.);
#6461=FACE_OUTER_BOUND('',#8931,.T.);
#6462=FACE_OUTER_BOUND('',#8932,.T.);
#6463=FACE_OUTER_BOUND('',#8933,.T.);
#6464=FACE_OUTER_BOUND('',#8934,.T.);
#6465=FACE_OUTER_BOUND('',#8935,.T.);
#6466=FACE_OUTER_BOUND('',#8936,.T.);
#6467=FACE_OUTER_BOUND('',#8937,.T.);
#6468=FACE_OUTER_BOUND('',#8938,.T.);
#6469=FACE_OUTER_BOUND('',#8939,.T.);
#6470=FACE_OUTER_BOUND('',#8940,.T.);
#6471=FACE_OUTER_BOUND('',#8941,.T.);
#6472=FACE_OUTER_BOUND('',#8942,.T.);
#6473=FACE_OUTER_BOUND('',#8943,.T.);
#6474=FACE_OUTER_BOUND('',#8944,.T.);
#6475=FACE_OUTER_BOUND('',#8945,.T.);
#6476=FACE_OUTER_BOUND('',#8946,.T.);
#6477=FACE_OUTER_BOUND('',#8947,.T.);
#6478=FACE_OUTER_BOUND('',#8948,.T.);
#6479=FACE_OUTER_BOUND('',#8949,.T.);
#6480=FACE_OUTER_BOUND('',#8950,.T.);
#6481=FACE_OUTER_BOUND('',#8951,.T.);
#6482=FACE_OUTER_BOUND('',#8952,.T.);
#6483=FACE_OUTER_BOUND('',#8953,.T.);
#6484=FACE_OUTER_BOUND('',#8954,.T.);
#6485=FACE_OUTER_BOUND('',#8955,.T.);
#6486=FACE_OUTER_BOUND('',#8956,.T.);
#6487=FACE_OUTER_BOUND('',#8957,.T.);
#6488=FACE_OUTER_BOUND('',#8958,.T.);
#6489=FACE_OUTER_BOUND('',#8959,.T.);
#6490=FACE_OUTER_BOUND('',#8960,.T.);
#6491=FACE_OUTER_BOUND('',#8961,.T.);
#6492=FACE_OUTER_BOUND('',#8962,.T.);
#6493=FACE_OUTER_BOUND('',#8963,.T.);
#6494=FACE_OUTER_BOUND('',#8964,.T.);
#6495=FACE_OUTER_BOUND('',#8965,.T.);
#6496=FACE_OUTER_BOUND('',#8966,.T.);
#6497=FACE_OUTER_BOUND('',#8967,.T.);
#6498=FACE_OUTER_BOUND('',#8968,.T.);
#6499=FACE_OUTER_BOUND('',#8969,.T.);
#6500=FACE_OUTER_BOUND('',#8970,.T.);
#6501=FACE_OUTER_BOUND('',#8971,.T.);
#6502=FACE_OUTER_BOUND('',#8972,.T.);
#6503=FACE_OUTER_BOUND('',#8973,.T.);
#6504=FACE_OUTER_BOUND('',#8974,.T.);
#6505=FACE_OUTER_BOUND('',#8975,.T.);
#6506=FACE_OUTER_BOUND('',#8976,.T.);
#6507=FACE_OUTER_BOUND('',#8977,.T.);
#6508=FACE_OUTER_BOUND('',#8978,.T.);
#6509=FACE_OUTER_BOUND('',#8979,.T.);
#6510=FACE_OUTER_BOUND('',#8980,.T.);
#6511=FACE_OUTER_BOUND('',#8981,.T.);
#6512=FACE_OUTER_BOUND('',#8982,.T.);
#6513=FACE_OUTER_BOUND('',#8983,.T.);
#6514=FACE_OUTER_BOUND('',#8984,.T.);
#6515=FACE_OUTER_BOUND('',#8985,.T.);
#6516=FACE_OUTER_BOUND('',#8986,.T.);
#6517=FACE_OUTER_BOUND('',#8987,.T.);
#6518=FACE_OUTER_BOUND('',#8988,.T.);
#6519=FACE_OUTER_BOUND('',#8989,.T.);
#6520=FACE_OUTER_BOUND('',#8990,.T.);
#6521=FACE_OUTER_BOUND('',#8991,.T.);
#6522=FACE_OUTER_BOUND('',#8992,.T.);
#6523=FACE_OUTER_BOUND('',#8993,.T.);
#6524=FACE_OUTER_BOUND('',#8994,.T.);
#6525=FACE_OUTER_BOUND('',#8995,.T.);
#6526=FACE_OUTER_BOUND('',#8996,.T.);
#6527=FACE_OUTER_BOUND('',#8997,.T.);
#6528=FACE_OUTER_BOUND('',#8998,.T.);
#6529=FACE_OUTER_BOUND('',#8999,.T.);
#6530=FACE_OUTER_BOUND('',#9000,.T.);
#6531=FACE_OUTER_BOUND('',#9002,.T.);
#6532=FACE_OUTER_BOUND('',#9003,.T.);
#6533=FACE_OUTER_BOUND('',#9004,.T.);
#6534=FACE_OUTER_BOUND('',#9005,.T.);
#6535=FACE_OUTER_BOUND('',#9006,.T.);
#6536=FACE_OUTER_BOUND('',#9007,.T.);
#6537=FACE_OUTER_BOUND('',#9008,.T.);
#6538=FACE_OUTER_BOUND('',#9009,.T.);
#6539=FACE_OUTER_BOUND('',#9010,.T.);
#6540=FACE_OUTER_BOUND('',#9011,.T.);
#6541=FACE_OUTER_BOUND('',#9012,.T.);
#6542=FACE_OUTER_BOUND('',#9013,.T.);
#6543=FACE_OUTER_BOUND('',#9014,.T.);
#6544=FACE_OUTER_BOUND('',#9015,.T.);
#6545=FACE_OUTER_BOUND('',#9016,.T.);
#6546=FACE_OUTER_BOUND('',#9017,.T.);
#6547=FACE_OUTER_BOUND('',#9018,.T.);
#6548=FACE_OUTER_BOUND('',#9019,.T.);
#6549=FACE_OUTER_BOUND('',#9020,.T.);
#6550=FACE_OUTER_BOUND('',#9021,.T.);
#6551=FACE_OUTER_BOUND('',#9022,.T.);
#6552=FACE_OUTER_BOUND('',#9023,.T.);
#6553=FACE_OUTER_BOUND('',#9024,.T.);
#6554=FACE_OUTER_BOUND('',#9025,.T.);
#6555=FACE_OUTER_BOUND('',#9026,.T.);
#6556=FACE_OUTER_BOUND('',#9027,.T.);
#6557=FACE_OUTER_BOUND('',#9028,.T.);
#6558=FACE_OUTER_BOUND('',#9029,.T.);
#6559=FACE_OUTER_BOUND('',#9030,.T.);
#6560=FACE_OUTER_BOUND('',#9031,.T.);
#6561=FACE_OUTER_BOUND('',#9032,.T.);
#6562=FACE_OUTER_BOUND('',#9033,.T.);
#6563=FACE_OUTER_BOUND('',#9034,.T.);
#6564=FACE_OUTER_BOUND('',#9035,.T.);
#6565=FACE_OUTER_BOUND('',#9036,.T.);
#6566=FACE_OUTER_BOUND('',#9037,.T.);
#6567=FACE_OUTER_BOUND('',#9038,.T.);
#6568=FACE_OUTER_BOUND('',#9039,.T.);
#6569=FACE_OUTER_BOUND('',#9040,.T.);
#6570=FACE_OUTER_BOUND('',#9041,.T.);
#6571=FACE_OUTER_BOUND('',#9042,.T.);
#6572=FACE_OUTER_BOUND('',#9044,.T.);
#6573=FACE_OUTER_BOUND('',#9045,.T.);
#6574=FACE_OUTER_BOUND('',#9046,.T.);
#6575=FACE_OUTER_BOUND('',#9047,.T.);
#6576=FACE_OUTER_BOUND('',#9048,.T.);
#6577=FACE_OUTER_BOUND('',#9049,.T.);
#6578=FACE_OUTER_BOUND('',#9050,.T.);
#6579=FACE_OUTER_BOUND('',#9051,.T.);
#6580=FACE_OUTER_BOUND('',#9052,.T.);
#6581=FACE_OUTER_BOUND('',#9053,.T.);
#6582=FACE_OUTER_BOUND('',#9054,.T.);
#6583=FACE_OUTER_BOUND('',#9067,.T.);
#6584=FACE_OUTER_BOUND('',#9068,.T.);
#6585=FACE_OUTER_BOUND('',#9069,.T.);
#6586=FACE_OUTER_BOUND('',#9070,.T.);
#6587=FACE_OUTER_BOUND('',#9071,.T.);
#6588=FACE_OUTER_BOUND('',#9072,.T.);
#6589=FACE_OUTER_BOUND('',#9073,.T.);
#6590=FACE_OUTER_BOUND('',#9074,.T.);
#6591=FACE_OUTER_BOUND('',#9075,.T.);
#6592=FACE_OUTER_BOUND('',#9076,.T.);
#6593=FACE_OUTER_BOUND('',#9077,.T.);
#6594=FACE_OUTER_BOUND('',#9078,.T.);
#6595=FACE_OUTER_BOUND('',#9079,.T.);
#6596=FACE_OUTER_BOUND('',#9080,.T.);
#6597=FACE_OUTER_BOUND('',#9081,.T.);
#6598=FACE_OUTER_BOUND('',#9082,.T.);
#6599=FACE_OUTER_BOUND('',#9083,.T.);
#6600=FACE_OUTER_BOUND('',#9084,.T.);
#6601=FACE_OUTER_BOUND('',#9085,.T.);
#6602=FACE_OUTER_BOUND('',#9086,.T.);
#6603=FACE_OUTER_BOUND('',#9087,.T.);
#6604=FACE_OUTER_BOUND('',#9089,.T.);
#6605=FACE_OUTER_BOUND('',#9090,.T.);
#6606=FACE_OUTER_BOUND('',#9091,.T.);
#6607=FACE_OUTER_BOUND('',#9092,.T.);
#6608=FACE_OUTER_BOUND('',#9093,.T.);
#6609=FACE_OUTER_BOUND('',#9094,.T.);
#6610=FACE_OUTER_BOUND('',#9096,.T.);
#6611=FACE_OUTER_BOUND('',#9097,.T.);
#6612=FACE_OUTER_BOUND('',#9098,.T.);
#6613=FACE_OUTER_BOUND('',#9099,.T.);
#6614=FACE_OUTER_BOUND('',#9100,.T.);
#6615=FACE_OUTER_BOUND('',#9101,.T.);
#6616=FACE_OUTER_BOUND('',#9103,.T.);
#6617=FACE_OUTER_BOUND('',#9104,.T.);
#6618=FACE_OUTER_BOUND('',#9105,.T.);
#6619=FACE_OUTER_BOUND('',#9106,.T.);
#6620=FACE_OUTER_BOUND('',#9107,.T.);
#6621=FACE_OUTER_BOUND('',#9108,.T.);
#6622=FACE_OUTER_BOUND('',#9110,.T.);
#6623=FACE_OUTER_BOUND('',#9111,.T.);
#6624=FACE_OUTER_BOUND('',#9112,.T.);
#6625=FACE_OUTER_BOUND('',#9113,.T.);
#6626=FACE_OUTER_BOUND('',#9114,.T.);
#6627=FACE_OUTER_BOUND('',#9115,.T.);
#6628=FACE_OUTER_BOUND('',#9117,.T.);
#6629=FACE_OUTER_BOUND('',#9118,.T.);
#6630=FACE_OUTER_BOUND('',#9119,.T.);
#6631=FACE_OUTER_BOUND('',#9120,.T.);
#6632=FACE_OUTER_BOUND('',#9121,.T.);
#6633=FACE_OUTER_BOUND('',#9122,.T.);
#6634=FACE_OUTER_BOUND('',#9124,.T.);
#6635=FACE_OUTER_BOUND('',#9125,.T.);
#6636=FACE_OUTER_BOUND('',#9126,.T.);
#6637=FACE_OUTER_BOUND('',#9127,.T.);
#6638=FACE_OUTER_BOUND('',#9128,.T.);
#6639=FACE_OUTER_BOUND('',#9130,.T.);
#6640=FACE_OUTER_BOUND('',#9131,.T.);
#6641=FACE_OUTER_BOUND('',#9132,.T.);
#6642=FACE_OUTER_BOUND('',#9133,.T.);
#6643=FACE_OUTER_BOUND('',#9134,.T.);
#6644=FACE_OUTER_BOUND('',#9136,.T.);
#6645=FACE_OUTER_BOUND('',#9137,.T.);
#6646=FACE_OUTER_BOUND('',#9138,.T.);
#6647=FACE_OUTER_BOUND('',#9139,.T.);
#6648=FACE_OUTER_BOUND('',#9140,.T.);
#6649=FACE_OUTER_BOUND('',#9141,.T.);
#6650=FACE_OUTER_BOUND('',#9142,.T.);
#6651=FACE_OUTER_BOUND('',#9144,.T.);
#6652=FACE_OUTER_BOUND('',#9145,.T.);
#6653=FACE_OUTER_BOUND('',#9146,.T.);
#6654=FACE_OUTER_BOUND('',#9147,.T.);
#6655=FACE_OUTER_BOUND('',#9148,.T.);
#6656=FACE_OUTER_BOUND('',#9149,.T.);
#6657=FACE_OUTER_BOUND('',#9150,.T.);
#6658=FACE_OUTER_BOUND('',#9152,.T.);
#6659=FACE_OUTER_BOUND('',#9153,.T.);
#6660=FACE_OUTER_BOUND('',#9154,.T.);
#6661=FACE_OUTER_BOUND('',#9155,.T.);
#6662=FACE_OUTER_BOUND('',#9156,.T.);
#6663=FACE_OUTER_BOUND('',#9157,.T.);
#6664=FACE_OUTER_BOUND('',#9158,.T.);
#6665=FACE_OUTER_BOUND('',#9159,.T.);
#6666=FACE_OUTER_BOUND('',#9160,.T.);
#6667=FACE_OUTER_BOUND('',#9161,.T.);
#6668=FACE_OUTER_BOUND('',#9162,.T.);
#6669=FACE_OUTER_BOUND('',#9163,.T.);
#6670=FACE_OUTER_BOUND('',#9164,.T.);
#6671=FACE_OUTER_BOUND('',#9165,.T.);
#6672=FACE_OUTER_BOUND('',#9166,.T.);
#6673=FACE_OUTER_BOUND('',#9167,.T.);
#6674=FACE_OUTER_BOUND('',#9168,.T.);
#6675=FACE_OUTER_BOUND('',#9169,.T.);
#6676=FACE_OUTER_BOUND('',#9170,.T.);
#6677=FACE_OUTER_BOUND('',#9171,.T.);
#6678=FACE_OUTER_BOUND('',#9172,.T.);
#6679=FACE_OUTER_BOUND('',#9173,.T.);
#6680=FACE_OUTER_BOUND('',#9174,.T.);
#6681=FACE_OUTER_BOUND('',#9175,.T.);
#6682=FACE_OUTER_BOUND('',#9176,.T.);
#6683=FACE_OUTER_BOUND('',#9177,.T.);
#6684=FACE_OUTER_BOUND('',#9178,.T.);
#6685=FACE_OUTER_BOUND('',#9179,.T.);
#6686=FACE_OUTER_BOUND('',#9180,.T.);
#6687=FACE_OUTER_BOUND('',#9181,.T.);
#6688=FACE_OUTER_BOUND('',#9182,.T.);
#6689=FACE_OUTER_BOUND('',#9183,.T.);
#6690=FACE_OUTER_BOUND('',#9184,.T.);
#6691=FACE_OUTER_BOUND('',#9185,.T.);
#6692=FACE_OUTER_BOUND('',#9186,.T.);
#6693=FACE_OUTER_BOUND('',#9187,.T.);
#6694=FACE_OUTER_BOUND('',#9188,.T.);
#6695=FACE_OUTER_BOUND('',#9189,.T.);
#6696=FACE_OUTER_BOUND('',#9190,.T.);
#6697=FACE_OUTER_BOUND('',#9191,.T.);
#6698=FACE_OUTER_BOUND('',#9192,.T.);
#6699=FACE_OUTER_BOUND('',#9193,.T.);
#6700=FACE_OUTER_BOUND('',#9194,.T.);
#6701=FACE_OUTER_BOUND('',#9195,.T.);
#6702=FACE_OUTER_BOUND('',#9196,.T.);
#6703=FACE_OUTER_BOUND('',#9197,.T.);
#6704=FACE_OUTER_BOUND('',#9198,.T.);
#6705=FACE_OUTER_BOUND('',#9199,.T.);
#6706=FACE_OUTER_BOUND('',#9200,.T.);
#6707=FACE_OUTER_BOUND('',#9201,.T.);
#6708=FACE_OUTER_BOUND('',#9202,.T.);
#6709=FACE_OUTER_BOUND('',#9203,.T.);
#6710=EDGE_LOOP('',(#27168,#27169,#27170,#27171));
#6711=EDGE_LOOP('',(#27172,#27173,#27174,#27175));
#6712=EDGE_LOOP('',(#27176,#27177,#27178,#27179));
#6713=EDGE_LOOP('',(#27180,#27181,#27182,#27183));
#6714=EDGE_LOOP('',(#27184,#27185,#27186,#27187));
#6715=EDGE_LOOP('',(#27188,#27189,#27190,#27191));
#6716=EDGE_LOOP('',(#27192,#27193,#27194,#27195));
#6717=EDGE_LOOP('',(#27196,#27197,#27198,#27199));
#6718=EDGE_LOOP('',(#27200,#27201,#27202,#27203));
#6719=EDGE_LOOP('',(#27204,#27205,#27206,#27207));
#6720=EDGE_LOOP('',(#27208,#27209,#27210,#27211));
#6721=EDGE_LOOP('',(#27212,#27213,#27214,#27215));
#6722=EDGE_LOOP('',(#27216,#27217,#27218,#27219));
#6723=EDGE_LOOP('',(#27220,#27221,#27222,#27223));
#6724=EDGE_LOOP('',(#27224,#27225,#27226,#27227));
#6725=EDGE_LOOP('',(#27228,#27229,#27230,#27231));
#6726=EDGE_LOOP('',(#27232,#27233,#27234,#27235));
#6727=EDGE_LOOP('',(#27236,#27237,#27238,#27239));
#6728=EDGE_LOOP('',(#27240,#27241,#27242,#27243));
#6729=EDGE_LOOP('',(#27244,#27245,#27246,#27247));
#6730=EDGE_LOOP('',(#27248,#27249,#27250,#27251));
#6731=EDGE_LOOP('',(#27252,#27253,#27254,#27255));
#6732=EDGE_LOOP('',(#27256,#27257,#27258,#27259));
#6733=EDGE_LOOP('',(#27260,#27261,#27262,#27263));
#6734=EDGE_LOOP('',(#27264,#27265,#27266,#27267));
#6735=EDGE_LOOP('',(#27268,#27269,#27270,#27271));
#6736=EDGE_LOOP('',(#27272,#27273,#27274,#27275));
#6737=EDGE_LOOP('',(#27276,#27277,#27278,#27279));
#6738=EDGE_LOOP('',(#27280,#27281,#27282,#27283));
#6739=EDGE_LOOP('',(#27284,#27285,#27286,#27287));
#6740=EDGE_LOOP('',(#27288,#27289,#27290,#27291));
#6741=EDGE_LOOP('',(#27292,#27293,#27294,#27295));
#6742=EDGE_LOOP('',(#27296,#27297,#27298,#27299));
#6743=EDGE_LOOP('',(#27300,#27301,#27302,#27303));
#6744=EDGE_LOOP('',(#27304,#27305,#27306,#27307));
#6745=EDGE_LOOP('',(#27308,#27309,#27310,#27311));
#6746=EDGE_LOOP('',(#27312,#27313,#27314,#27315));
#6747=EDGE_LOOP('',(#27316,#27317,#27318,#27319));
#6748=EDGE_LOOP('',(#27320,#27321,#27322,#27323));
#6749=EDGE_LOOP('',(#27324,#27325,#27326,#27327));
#6750=EDGE_LOOP('',(#27328,#27329,#27330,#27331));
#6751=EDGE_LOOP('',(#27332,#27333,#27334,#27335));
#6752=EDGE_LOOP('',(#27336,#27337,#27338,#27339));
#6753=EDGE_LOOP('',(#27340,#27341,#27342,#27343));
#6754=EDGE_LOOP('',(#27344,#27345,#27346,#27347));
#6755=EDGE_LOOP('',(#27348,#27349,#27350,#27351));
#6756=EDGE_LOOP('',(#27352,#27353,#27354,#27355));
#6757=EDGE_LOOP('',(#27356,#27357,#27358,#27359));
#6758=EDGE_LOOP('',(#27360,#27361,#27362,#27363));
#6759=EDGE_LOOP('',(#27364,#27365,#27366,#27367));
#6760=EDGE_LOOP('',(#27368,#27369,#27370,#27371));
#6761=EDGE_LOOP('',(#27372,#27373,#27374,#27375));
#6762=EDGE_LOOP('',(#27376,#27377,#27378,#27379));
#6763=EDGE_LOOP('',(#27380,#27381,#27382,#27383));
#6764=EDGE_LOOP('',(#27384,#27385,#27386,#27387));
#6765=EDGE_LOOP('',(#27388));
#6766=EDGE_LOOP('',(#27389,#27390,#27391,#27392));
#6767=EDGE_LOOP('',(#27393));
#6768=EDGE_LOOP('',(#27394,#27395,#27396,#27397));
#6769=EDGE_LOOP('',(#27398));
#6770=EDGE_LOOP('',(#27399,#27400,#27401,#27402));
#6771=EDGE_LOOP('',(#27403));
#6772=EDGE_LOOP('',(#27404,#27405,#27406,#27407));
#6773=EDGE_LOOP('',(#27408));
#6774=EDGE_LOOP('',(#27409,#27410,#27411,#27412));
#6775=EDGE_LOOP('',(#27413,#27414));
#6776=EDGE_LOOP('',(#27415,#27416));
#6777=EDGE_LOOP('',(#27417,#27418,#27419,#27420,#27421,#27422,#27423,#27424));
#6778=EDGE_LOOP('',(#27425));
#6779=EDGE_LOOP('',(#27426));
#6780=EDGE_LOOP('',(#27427,#27428,#27429,#27430,#27431));
#6781=EDGE_LOOP('',(#27432,#27433,#27434,#27435));
#6782=EDGE_LOOP('',(#27436,#27437,#27438,#27439));
#6783=EDGE_LOOP('',(#27440,#27441,#27442,#27443));
#6784=EDGE_LOOP('',(#27444,#27445,#27446,#27447));
#6785=EDGE_LOOP('',(#27448));
#6786=EDGE_LOOP('',(#27449,#27450,#27451,#27452));
#6787=EDGE_LOOP('',(#27453,#27454,#27455,#27456));
#6788=EDGE_LOOP('',(#27457,#27458,#27459,#27460));
#6789=EDGE_LOOP('',(#27461,#27462,#27463,#27464));
#6790=EDGE_LOOP('',(#27465,#27466,#27467,#27468,#27469,#27470,#27471,#27472,
#27473,#27474));
#6791=EDGE_LOOP('',(#27475,#27476,#27477,#27478,#27479,#27480,#27481,#27482,
#27483,#27484));
#6792=EDGE_LOOP('',(#27485));
#6793=EDGE_LOOP('',(#27486));
#6794=EDGE_LOOP('',(#27487));
#6795=EDGE_LOOP('',(#27488));
#6796=EDGE_LOOP('',(#27489));
#6797=EDGE_LOOP('',(#27490,#27491,#27492,#27493));
#6798=EDGE_LOOP('',(#27494,#27495,#27496,#27497));
#6799=EDGE_LOOP('',(#27498,#27499,#27500,#27501));
#6800=EDGE_LOOP('',(#27502,#27503,#27504,#27505));
#6801=EDGE_LOOP('',(#27506,#27507,#27508,#27509));
#6802=EDGE_LOOP('',(#27510,#27511,#27512,#27513));
#6803=EDGE_LOOP('',(#27514,#27515,#27516,#27517));
#6804=EDGE_LOOP('',(#27518,#27519,#27520,#27521));
#6805=EDGE_LOOP('',(#27522,#27523,#27524,#27525));
#6806=EDGE_LOOP('',(#27526,#27527,#27528,#27529));
#6807=EDGE_LOOP('',(#27530,#27531,#27532,#27533));
#6808=EDGE_LOOP('',(#27534,#27535,#27536,#27537));
#6809=EDGE_LOOP('',(#27538,#27539,#27540,#27541));
#6810=EDGE_LOOP('',(#27542,#27543,#27544,#27545));
#6811=EDGE_LOOP('',(#27546,#27547,#27548,#27549));
#6812=EDGE_LOOP('',(#27550,#27551,#27552,#27553));
#6813=EDGE_LOOP('',(#27554,#27555,#27556,#27557));
#6814=EDGE_LOOP('',(#27558,#27559,#27560,#27561));
#6815=EDGE_LOOP('',(#27562,#27563,#27564,#27565));
#6816=EDGE_LOOP('',(#27566,#27567,#27568,#27569));
#6817=EDGE_LOOP('',(#27570,#27571,#27572,#27573));
#6818=EDGE_LOOP('',(#27574,#27575,#27576,#27577));
#6819=EDGE_LOOP('',(#27578,#27579,#27580,#27581));
#6820=EDGE_LOOP('',(#27582,#27583,#27584,#27585));
#6821=EDGE_LOOP('',(#27586,#27587,#27588,#27589));
#6822=EDGE_LOOP('',(#27590,#27591,#27592,#27593));
#6823=EDGE_LOOP('',(#27594,#27595,#27596,#27597));
#6824=EDGE_LOOP('',(#27598,#27599,#27600,#27601));
#6825=EDGE_LOOP('',(#27602,#27603,#27604,#27605));
#6826=EDGE_LOOP('',(#27606,#27607,#27608,#27609));
#6827=EDGE_LOOP('',(#27610,#27611,#27612));
#6828=EDGE_LOOP('',(#27613,#27614,#27615,#27616,#27617,#27618,#27619));
#6829=EDGE_LOOP('',(#27620,#27621,#27622,#27623));
#6830=EDGE_LOOP('',(#27624,#27625));
#6831=EDGE_LOOP('',(#27626,#27627));
#6832=EDGE_LOOP('',(#27628,#27629,#27630,#27631,#27632,#27633));
#6833=EDGE_LOOP('',(#27634,#27635));
#6834=EDGE_LOOP('',(#27636,#27637));
#6835=EDGE_LOOP('',(#27638,#27639));
#6836=EDGE_LOOP('',(#27640,#27641,#27642,#27643));
#6837=EDGE_LOOP('',(#27644,#27645,#27646,#27647));
#6838=EDGE_LOOP('',(#27648,#27649,#27650,#27651,#27652,#27653));
#6839=EDGE_LOOP('',(#27654,#27655,#27656,#27657));
#6840=EDGE_LOOP('',(#27658,#27659,#27660,#27661));
#6841=EDGE_LOOP('',(#27662,#27663));
#6842=EDGE_LOOP('',(#27664,#27665,#27666,#27667));
#6843=EDGE_LOOP('',(#27668,#27669,#27670,#27671));
#6844=EDGE_LOOP('',(#27672,#27673,#27674,#27675));
#6845=EDGE_LOOP('',(#27676,#27677,#27678,#27679));
#6846=EDGE_LOOP('',(#27680,#27681,#27682,#27683));
#6847=EDGE_LOOP('',(#27684,#27685,#27686,#27687));
#6848=EDGE_LOOP('',(#27688,#27689,#27690,#27691));
#6849=EDGE_LOOP('',(#27692,#27693,#27694,#27695,#27696,#27697,#27698,#27699,
#27700,#27701));
#6850=EDGE_LOOP('',(#27702,#27703,#27704,#27705));
#6851=EDGE_LOOP('',(#27706,#27707,#27708,#27709));
#6852=EDGE_LOOP('',(#27710,#27711,#27712,#27713,#27714,#27715));
#6853=EDGE_LOOP('',(#27716,#27717,#27718,#27719));
#6854=EDGE_LOOP('',(#27720,#27721,#27722,#27723));
#6855=EDGE_LOOP('',(#27724,#27725,#27726,#27727));
#6856=EDGE_LOOP('',(#27728,#27729,#27730,#27731,#27732,#27733));
#6857=EDGE_LOOP('',(#27734,#27735,#27736,#27737));
#6858=EDGE_LOOP('',(#27738,#27739));
#6859=EDGE_LOOP('',(#27740,#27741,#27742,#27743));
#6860=EDGE_LOOP('',(#27744,#27745,#27746,#27747));
#6861=EDGE_LOOP('',(#27748,#27749,#27750,#27751));
#6862=EDGE_LOOP('',(#27752,#27753,#27754,#27755,#27756,#27757,#27758,#27759,
#27760,#27761,#27762,#27763,#27764,#27765,#27766,#27767));
#6863=EDGE_LOOP('',(#27768,#27769,#27770,#27771,#27772,#27773,#27774,#27775));
#6864=EDGE_LOOP('',(#27776,#27777,#27778,#27779));
#6865=EDGE_LOOP('',(#27780,#27781,#27782,#27783));
#6866=EDGE_LOOP('',(#27784,#27785,#27786,#27787));
#6867=EDGE_LOOP('',(#27788,#27789,#27790,#27791,#27792,#27793,#27794,#27795,
#27796,#27797,#27798,#27799));
#6868=EDGE_LOOP('',(#27800,#27801,#27802,#27803));
#6869=EDGE_LOOP('',(#27804,#27805,#27806,#27807));
#6870=EDGE_LOOP('',(#27808,#27809,#27810));
#6871=EDGE_LOOP('',(#27811,#27812,#27813,#27814));
#6872=EDGE_LOOP('',(#27815,#27816,#27817,#27818));
#6873=EDGE_LOOP('',(#27819,#27820,#27821));
#6874=EDGE_LOOP('',(#27822,#27823,#27824,#27825));
#6875=EDGE_LOOP('',(#27826,#27827,#27828,#27829));
#6876=EDGE_LOOP('',(#27830,#27831,#27832,#27833));
#6877=EDGE_LOOP('',(#27834,#27835,#27836,#27837));
#6878=EDGE_LOOP('',(#27838,#27839,#27840,#27841));
#6879=EDGE_LOOP('',(#27842,#27843,#27844,#27845));
#6880=EDGE_LOOP('',(#27846,#27847,#27848,#27849,#27850,#27851,#27852,#27853));
#6881=EDGE_LOOP('',(#27854,#27855));
#6882=EDGE_LOOP('',(#27856,#27857));
#6883=EDGE_LOOP('',(#27858,#27859,#27860,#27861));
#6884=EDGE_LOOP('',(#27862,#27863,#27864));
#6885=EDGE_LOOP('',(#27865,#27866,#27867,#27868));
#6886=EDGE_LOOP('',(#27869,#27870,#27871,#27872));
#6887=EDGE_LOOP('',(#27873,#27874,#27875));
#6888=EDGE_LOOP('',(#27876,#27877,#27878,#27879));
#6889=EDGE_LOOP('',(#27880,#27881,#27882,#27883));
#6890=EDGE_LOOP('',(#27884,#27885,#27886,#27887));
#6891=EDGE_LOOP('',(#27888,#27889,#27890,#27891));
#6892=EDGE_LOOP('',(#27892,#27893,#27894,#27895,#27896,#27897));
#6893=EDGE_LOOP('',(#27898,#27899,#27900,#27901,#27902,#27903));
#6894=EDGE_LOOP('',(#27904,#27905,#27906,#27907));
#6895=EDGE_LOOP('',(#27908,#27909,#27910,#27911));
#6896=EDGE_LOOP('',(#27912,#27913,#27914,#27915));
#6897=EDGE_LOOP('',(#27916,#27917,#27918));
#6898=EDGE_LOOP('',(#27919,#27920,#27921));
#6899=EDGE_LOOP('',(#27922,#27923));
#6900=EDGE_LOOP('',(#27924,#27925));
#6901=EDGE_LOOP('',(#27926,#27927,#27928,#27929));
#6902=EDGE_LOOP('',(#27930,#27931,#27932,#27933));
#6903=EDGE_LOOP('',(#27934,#27935,#27936,#27937));
#6904=EDGE_LOOP('',(#27938,#27939,#27940,#27941,#27942,#27943,#27944,#27945,
#27946,#27947,#27948,#27949));
#6905=EDGE_LOOP('',(#27950,#27951,#27952,#27953,#27954,#27955,#27956,#27957,
#27958,#27959,#27960,#27961));
#6906=EDGE_LOOP('',(#27962,#27963,#27964,#27965,#27966,#27967,#27968,#27969,
#27970,#27971,#27972,#27973,#27974,#27975,#27976,#27977,#27978,#27979,#27980,
#27981,#27982,#27983,#27984,#27985,#27986,#27987,#27988,#27989));
#6907=EDGE_LOOP('',(#27990,#27991,#27992,#27993));
#6908=EDGE_LOOP('',(#27994,#27995,#27996,#27997));
#6909=EDGE_LOOP('',(#27998,#27999,#28000,#28001,#28002,#28003));
#6910=EDGE_LOOP('',(#28004,#28005,#28006,#28007));
#6911=EDGE_LOOP('',(#28008,#28009,#28010,#28011));
#6912=EDGE_LOOP('',(#28012,#28013,#28014,#28015));
#6913=EDGE_LOOP('',(#28016,#28017,#28018,#28019));
#6914=EDGE_LOOP('',(#28020,#28021,#28022,#28023));
#6915=EDGE_LOOP('',(#28024,#28025,#28026,#28027));
#6916=EDGE_LOOP('',(#28028,#28029,#28030,#28031));
#6917=EDGE_LOOP('',(#28032,#28033,#28034,#28035,#28036,#28037,#28038,#28039));
#6918=EDGE_LOOP('',(#28040,#28041,#28042,#28043));
#6919=EDGE_LOOP('',(#28044,#28045,#28046,#28047));
#6920=EDGE_LOOP('',(#28048,#28049,#28050,#28051));
#6921=EDGE_LOOP('',(#28052,#28053,#28054,#28055));
#6922=EDGE_LOOP('',(#28056,#28057,#28058,#28059));
#6923=EDGE_LOOP('',(#28060,#28061,#28062,#28063));
#6924=EDGE_LOOP('',(#28064,#28065,#28066,#28067));
#6925=EDGE_LOOP('',(#28068,#28069,#28070,#28071));
#6926=EDGE_LOOP('',(#28072,#28073,#28074,#28075));
#6927=EDGE_LOOP('',(#28076,#28077,#28078,#28079));
#6928=EDGE_LOOP('',(#28080,#28081,#28082,#28083));
#6929=EDGE_LOOP('',(#28084,#28085,#28086,#28087));
#6930=EDGE_LOOP('',(#28088,#28089,#28090,#28091));
#6931=EDGE_LOOP('',(#28092,#28093,#28094,#28095));
#6932=EDGE_LOOP('',(#28096,#28097,#28098,#28099));
#6933=EDGE_LOOP('',(#28100,#28101,#28102,#28103));
#6934=EDGE_LOOP('',(#28104,#28105,#28106,#28107));
#6935=EDGE_LOOP('',(#28108,#28109,#28110,#28111));
#6936=EDGE_LOOP('',(#28112,#28113,#28114,#28115));
#6937=EDGE_LOOP('',(#28116,#28117,#28118,#28119));
#6938=EDGE_LOOP('',(#28120,#28121,#28122,#28123));
#6939=EDGE_LOOP('',(#28124,#28125,#28126,#28127));
#6940=EDGE_LOOP('',(#28128,#28129,#28130,#28131));
#6941=EDGE_LOOP('',(#28132,#28133,#28134,#28135));
#6942=EDGE_LOOP('',(#28136,#28137,#28138,#28139));
#6943=EDGE_LOOP('',(#28140,#28141,#28142,#28143));
#6944=EDGE_LOOP('',(#28144,#28145,#28146,#28147,#28148,#28149,#28150,#28151,
#28152,#28153,#28154,#28155,#28156,#28157,#28158,#28159,#28160,#28161,#28162,
#28163,#28164,#28165,#28166,#28167,#28168,#28169,#28170,#28171));
#6945=EDGE_LOOP('',(#28172,#28173,#28174,#28175));
#6946=EDGE_LOOP('',(#28176,#28177,#28178,#28179,#28180,#28181,#28182,#28183,
#28184,#28185,#28186,#28187));
#6947=EDGE_LOOP('',(#28188,#28189,#28190,#28191,#28192,#28193,#28194,#28195,
#28196,#28197,#28198,#28199,#28200,#28201,#28202,#28203,#28204,#28205,#28206,
#28207,#28208,#28209,#28210,#28211,#28212,#28213,#28214,#28215));
#6948=EDGE_LOOP('',(#28216,#28217,#28218,#28219));
#6949=EDGE_LOOP('',(#28220,#28221,#28222,#28223,#28224,#28225,#28226,#28227,
#28228,#28229,#28230,#28231,#28232,#28233,#28234,#28235,#28236,#28237,#28238,
#28239,#28240,#28241,#28242,#28243,#28244,#28245,#28246,#28247));
#6950=EDGE_LOOP('',(#28248,#28249,#28250,#28251));
#6951=EDGE_LOOP('',(#28252,#28253,#28254,#28255,#28256,#28257,#28258,#28259,
#28260,#28261,#28262,#28263,#28264,#28265,#28266,#28267,#28268,#28269,#28270,
#28271,#28272,#28273,#28274,#28275,#28276,#28277,#28278,#28279));
#6952=EDGE_LOOP('',(#28280,#28281,#28282,#28283));
#6953=EDGE_LOOP('',(#28284,#28285,#28286,#28287,#28288,#28289,#28290,#28291,
#28292,#28293,#28294,#28295));
#6954=EDGE_LOOP('',(#28296,#28297,#28298,#28299));
#6955=EDGE_LOOP('',(#28300,#28301,#28302,#28303,#28304,#28305,#28306,#28307,
#28308,#28309,#28310,#28311));
#6956=EDGE_LOOP('',(#28312,#28313,#28314,#28315));
#6957=EDGE_LOOP('',(#28316,#28317,#28318,#28319,#28320,#28321,#28322,#28323,
#28324,#28325,#28326,#28327));
#6958=EDGE_LOOP('',(#28328,#28329,#28330,#28331));
#6959=EDGE_LOOP('',(#28332,#28333,#28334,#28335));
#6960=EDGE_LOOP('',(#28336,#28337,#28338,#28339,#28340,#28341,#28342,#28343,
#28344,#28345,#28346,#28347));
#6961=EDGE_LOOP('',(#28348,#28349,#28350,#28351,#28352,#28353,#28354,#28355,
#28356,#28357,#28358,#28359));
#6962=EDGE_LOOP('',(#28360,#28361,#28362,#28363));
#6963=EDGE_LOOP('',(#28364,#28365,#28366,#28367));
#6964=EDGE_LOOP('',(#28368,#28369,#28370,#28371,#28372,#28373,#28374,#28375,
#28376,#28377,#28378,#28379));
#6965=EDGE_LOOP('',(#28380,#28381,#28382,#28383,#28384,#28385,#28386,#28387,
#28388,#28389,#28390,#28391));
#6966=EDGE_LOOP('',(#28392,#28393,#28394,#28395));
#6967=EDGE_LOOP('',(#28396,#28397,#28398,#28399));
#6968=EDGE_LOOP('',(#28400,#28401,#28402,#28403,#28404,#28405,#28406,#28407,
#28408,#28409,#28410,#28411));
#6969=EDGE_LOOP('',(#28412,#28413,#28414,#28415,#28416,#28417,#28418,#28419,
#28420,#28421,#28422,#28423));
#6970=EDGE_LOOP('',(#28424,#28425,#28426,#28427));
#6971=EDGE_LOOP('',(#28428,#28429,#28430,#28431));
#6972=EDGE_LOOP('',(#28432,#28433,#28434,#28435,#28436,#28437,#28438,#28439,
#28440,#28441,#28442,#28443));
#6973=EDGE_LOOP('',(#28444,#28445,#28446,#28447,#28448,#28449,#28450,#28451,
#28452,#28453,#28454,#28455));
#6974=EDGE_LOOP('',(#28456,#28457,#28458,#28459));
#6975=EDGE_LOOP('',(#28460,#28461,#28462,#28463));
#6976=EDGE_LOOP('',(#28464,#28465,#28466,#28467,#28468,#28469,#28470,#28471,
#28472,#28473,#28474,#28475));
#6977=EDGE_LOOP('',(#28476,#28477,#28478,#28479,#28480,#28481,#28482,#28483,
#28484,#28485,#28486,#28487));
#6978=EDGE_LOOP('',(#28488,#28489,#28490,#28491));
#6979=EDGE_LOOP('',(#28492,#28493,#28494,#28495));
#6980=EDGE_LOOP('',(#28496,#28497,#28498,#28499,#28500,#28501,#28502,#28503,
#28504,#28505,#28506,#28507));
#6981=EDGE_LOOP('',(#28508,#28509,#28510,#28511,#28512,#28513,#28514,#28515,
#28516,#28517,#28518,#28519));
#6982=EDGE_LOOP('',(#28520,#28521,#28522,#28523));
#6983=EDGE_LOOP('',(#28524,#28525,#28526,#28527));
#6984=EDGE_LOOP('',(#28528,#28529,#28530,#28531,#28532,#28533,#28534,#28535,
#28536,#28537,#28538,#28539));
#6985=EDGE_LOOP('',(#28540,#28541,#28542,#28543,#28544,#28545,#28546,#28547,
#28548,#28549,#28550,#28551));
#6986=EDGE_LOOP('',(#28552,#28553,#28554,#28555));
#6987=EDGE_LOOP('',(#28556,#28557,#28558,#28559));
#6988=EDGE_LOOP('',(#28560,#28561,#28562,#28563,#28564,#28565,#28566,#28567,
#28568,#28569,#28570,#28571));
#6989=EDGE_LOOP('',(#28572,#28573,#28574,#28575,#28576,#28577,#28578,#28579,
#28580,#28581,#28582,#28583));
#6990=EDGE_LOOP('',(#28584,#28585,#28586,#28587));
#6991=EDGE_LOOP('',(#28588,#28589,#28590,#28591));
#6992=EDGE_LOOP('',(#28592,#28593,#28594,#28595,#28596,#28597,#28598,#28599,
#28600,#28601,#28602,#28603));
#6993=EDGE_LOOP('',(#28604,#28605,#28606,#28607,#28608,#28609,#28610,#28611,
#28612,#28613,#28614,#28615));
#6994=EDGE_LOOP('',(#28616,#28617,#28618,#28619));
#6995=EDGE_LOOP('',(#28620,#28621,#28622,#28623));
#6996=EDGE_LOOP('',(#28624,#28625,#28626,#28627));
#6997=EDGE_LOOP('',(#28628,#28629,#28630,#28631));
#6998=EDGE_LOOP('',(#28632,#28633,#28634,#28635));
#6999=EDGE_LOOP('',(#28636,#28637,#28638,#28639));
#7000=EDGE_LOOP('',(#28640,#28641,#28642,#28643));
#7001=EDGE_LOOP('',(#28644,#28645,#28646,#28647,#28648,#28649));
#7002=EDGE_LOOP('',(#28650,#28651,#28652,#28653,#28654,#28655));
#7003=EDGE_LOOP('',(#28656,#28657,#28658,#28659,#28660,#28661));
#7004=EDGE_LOOP('',(#28662,#28663,#28664,#28665,#28666,#28667));
#7005=EDGE_LOOP('',(#28668,#28669,#28670,#28671,#28672,#28673));
#7006=EDGE_LOOP('',(#28674,#28675,#28676,#28677,#28678,#28679));
#7007=EDGE_LOOP('',(#28680,#28681,#28682,#28683,#28684,#28685));
#7008=EDGE_LOOP('',(#28686,#28687,#28688,#28689,#28690,#28691));
#7009=EDGE_LOOP('',(#28692,#28693,#28694,#28695,#28696,#28697));
#7010=EDGE_LOOP('',(#28698,#28699,#28700,#28701,#28702,#28703));
#7011=EDGE_LOOP('',(#28704,#28705,#28706,#28707,#28708,#28709));
#7012=EDGE_LOOP('',(#28710,#28711,#28712,#28713));
#7013=EDGE_LOOP('',(#28714,#28715,#28716,#28717));
#7014=EDGE_LOOP('',(#28718,#28719,#28720,#28721));
#7015=EDGE_LOOP('',(#28722,#28723,#28724,#28725));
#7016=EDGE_LOOP('',(#28726,#28727,#28728,#28729));
#7017=EDGE_LOOP('',(#28730,#28731,#28732,#28733));
#7018=EDGE_LOOP('',(#28734,#28735,#28736,#28737));
#7019=EDGE_LOOP('',(#28738,#28739,#28740,#28741));
#7020=EDGE_LOOP('',(#28742,#28743,#28744,#28745));
#7021=EDGE_LOOP('',(#28746,#28747,#28748,#28749));
#7022=EDGE_LOOP('',(#28750,#28751,#28752,#28753));
#7023=EDGE_LOOP('',(#28754,#28755,#28756,#28757));
#7024=EDGE_LOOP('',(#28758,#28759,#28760,#28761));
#7025=EDGE_LOOP('',(#28762,#28763,#28764,#28765));
#7026=EDGE_LOOP('',(#28766,#28767,#28768,#28769));
#7027=EDGE_LOOP('',(#28770,#28771,#28772,#28773));
#7028=EDGE_LOOP('',(#28774,#28775,#28776,#28777));
#7029=EDGE_LOOP('',(#28778,#28779,#28780,#28781));
#7030=EDGE_LOOP('',(#28782,#28783,#28784,#28785));
#7031=EDGE_LOOP('',(#28786,#28787,#28788,#28789));
#7032=EDGE_LOOP('',(#28790,#28791,#28792,#28793));
#7033=EDGE_LOOP('',(#28794,#28795,#28796,#28797));
#7034=EDGE_LOOP('',(#28798,#28799,#28800,#28801));
#7035=EDGE_LOOP('',(#28802,#28803,#28804,#28805));
#7036=EDGE_LOOP('',(#28806,#28807,#28808,#28809));
#7037=EDGE_LOOP('',(#28810,#28811,#28812,#28813));
#7038=EDGE_LOOP('',(#28814,#28815,#28816,#28817));
#7039=EDGE_LOOP('',(#28818,#28819,#28820,#28821));
#7040=EDGE_LOOP('',(#28822,#28823,#28824,#28825));
#7041=EDGE_LOOP('',(#28826,#28827,#28828,#28829));
#7042=EDGE_LOOP('',(#28830,#28831,#28832,#28833));
#7043=EDGE_LOOP('',(#28834,#28835,#28836,#28837));
#7044=EDGE_LOOP('',(#28838,#28839,#28840,#28841));
#7045=EDGE_LOOP('',(#28842,#28843,#28844,#28845));
#7046=EDGE_LOOP('',(#28846,#28847,#28848,#28849));
#7047=EDGE_LOOP('',(#28850,#28851,#28852,#28853));
#7048=EDGE_LOOP('',(#28854,#28855,#28856,#28857));
#7049=EDGE_LOOP('',(#28858,#28859,#28860,#28861));
#7050=EDGE_LOOP('',(#28862,#28863,#28864,#28865));
#7051=EDGE_LOOP('',(#28866,#28867,#28868,#28869));
#7052=EDGE_LOOP('',(#28870,#28871,#28872,#28873));
#7053=EDGE_LOOP('',(#28874,#28875,#28876,#28877));
#7054=EDGE_LOOP('',(#28878,#28879,#28880,#28881));
#7055=EDGE_LOOP('',(#28882,#28883,#28884,#28885));
#7056=EDGE_LOOP('',(#28886,#28887,#28888,#28889));
#7057=EDGE_LOOP('',(#28890,#28891,#28892,#28893));
#7058=EDGE_LOOP('',(#28894,#28895,#28896,#28897));
#7059=EDGE_LOOP('',(#28898,#28899,#28900,#28901));
#7060=EDGE_LOOP('',(#28902,#28903,#28904,#28905));
#7061=EDGE_LOOP('',(#28906,#28907,#28908,#28909));
#7062=EDGE_LOOP('',(#28910,#28911,#28912,#28913));
#7063=EDGE_LOOP('',(#28914,#28915,#28916,#28917));
#7064=EDGE_LOOP('',(#28918,#28919,#28920,#28921));
#7065=EDGE_LOOP('',(#28922,#28923,#28924,#28925));
#7066=EDGE_LOOP('',(#28926,#28927,#28928,#28929));
#7067=EDGE_LOOP('',(#28930,#28931,#28932,#28933));
#7068=EDGE_LOOP('',(#28934,#28935,#28936,#28937));
#7069=EDGE_LOOP('',(#28938,#28939,#28940,#28941));
#7070=EDGE_LOOP('',(#28942,#28943,#28944,#28945));
#7071=EDGE_LOOP('',(#28946,#28947,#28948,#28949));
#7072=EDGE_LOOP('',(#28950,#28951,#28952,#28953));
#7073=EDGE_LOOP('',(#28954,#28955,#28956,#28957));
#7074=EDGE_LOOP('',(#28958,#28959,#28960,#28961));
#7075=EDGE_LOOP('',(#28962,#28963,#28964,#28965));
#7076=EDGE_LOOP('',(#28966,#28967,#28968,#28969));
#7077=EDGE_LOOP('',(#28970,#28971,#28972,#28973));
#7078=EDGE_LOOP('',(#28974,#28975,#28976,#28977));
#7079=EDGE_LOOP('',(#28978,#28979,#28980,#28981));
#7080=EDGE_LOOP('',(#28982,#28983,#28984,#28985));
#7081=EDGE_LOOP('',(#28986,#28987,#28988,#28989));
#7082=EDGE_LOOP('',(#28990,#28991,#28992,#28993));
#7083=EDGE_LOOP('',(#28994,#28995,#28996,#28997));
#7084=EDGE_LOOP('',(#28998,#28999,#29000,#29001));
#7085=EDGE_LOOP('',(#29002,#29003,#29004,#29005));
#7086=EDGE_LOOP('',(#29006,#29007,#29008,#29009));
#7087=EDGE_LOOP('',(#29010,#29011,#29012,#29013));
#7088=EDGE_LOOP('',(#29014,#29015,#29016,#29017));
#7089=EDGE_LOOP('',(#29018,#29019,#29020,#29021));
#7090=EDGE_LOOP('',(#29022,#29023,#29024,#29025));
#7091=EDGE_LOOP('',(#29026,#29027,#29028,#29029));
#7092=EDGE_LOOP('',(#29030,#29031,#29032,#29033));
#7093=EDGE_LOOP('',(#29034,#29035,#29036,#29037));
#7094=EDGE_LOOP('',(#29038,#29039,#29040,#29041));
#7095=EDGE_LOOP('',(#29042,#29043,#29044,#29045));
#7096=EDGE_LOOP('',(#29046,#29047,#29048,#29049));
#7097=EDGE_LOOP('',(#29050,#29051,#29052,#29053));
#7098=EDGE_LOOP('',(#29054,#29055,#29056,#29057));
#7099=EDGE_LOOP('',(#29058,#29059,#29060,#29061));
#7100=EDGE_LOOP('',(#29062,#29063,#29064,#29065));
#7101=EDGE_LOOP('',(#29066,#29067,#29068,#29069));
#7102=EDGE_LOOP('',(#29070,#29071,#29072,#29073));
#7103=EDGE_LOOP('',(#29074,#29075,#29076,#29077));
#7104=EDGE_LOOP('',(#29078,#29079,#29080,#29081));
#7105=EDGE_LOOP('',(#29082,#29083,#29084,#29085));
#7106=EDGE_LOOP('',(#29086,#29087,#29088,#29089));
#7107=EDGE_LOOP('',(#29090,#29091,#29092,#29093));
#7108=EDGE_LOOP('',(#29094,#29095,#29096,#29097));
#7109=EDGE_LOOP('',(#29098,#29099,#29100,#29101));
#7110=EDGE_LOOP('',(#29102,#29103,#29104,#29105));
#7111=EDGE_LOOP('',(#29106,#29107,#29108,#29109));
#7112=EDGE_LOOP('',(#29110,#29111,#29112,#29113));
#7113=EDGE_LOOP('',(#29114,#29115,#29116,#29117));
#7114=EDGE_LOOP('',(#29118,#29119,#29120,#29121));
#7115=EDGE_LOOP('',(#29122,#29123,#29124,#29125));
#7116=EDGE_LOOP('',(#29126,#29127,#29128,#29129));
#7117=EDGE_LOOP('',(#29130,#29131,#29132,#29133));
#7118=EDGE_LOOP('',(#29134,#29135,#29136,#29137));
#7119=EDGE_LOOP('',(#29138,#29139,#29140,#29141));
#7120=EDGE_LOOP('',(#29142,#29143,#29144,#29145));
#7121=EDGE_LOOP('',(#29146,#29147,#29148,#29149));
#7122=EDGE_LOOP('',(#29150,#29151,#29152,#29153));
#7123=EDGE_LOOP('',(#29154,#29155,#29156,#29157));
#7124=EDGE_LOOP('',(#29158,#29159,#29160,#29161));
#7125=EDGE_LOOP('',(#29162,#29163,#29164,#29165));
#7126=EDGE_LOOP('',(#29166,#29167,#29168,#29169));
#7127=EDGE_LOOP('',(#29170,#29171,#29172,#29173));
#7128=EDGE_LOOP('',(#29174,#29175,#29176,#29177));
#7129=EDGE_LOOP('',(#29178,#29179,#29180,#29181));
#7130=EDGE_LOOP('',(#29182,#29183,#29184,#29185));
#7131=EDGE_LOOP('',(#29186,#29187,#29188,#29189));
#7132=EDGE_LOOP('',(#29190,#29191,#29192,#29193));
#7133=EDGE_LOOP('',(#29194,#29195,#29196,#29197));
#7134=EDGE_LOOP('',(#29198,#29199,#29200,#29201));
#7135=EDGE_LOOP('',(#29202,#29203,#29204,#29205));
#7136=EDGE_LOOP('',(#29206,#29207,#29208,#29209));
#7137=EDGE_LOOP('',(#29210,#29211,#29212,#29213));
#7138=EDGE_LOOP('',(#29214,#29215,#29216,#29217));
#7139=EDGE_LOOP('',(#29218,#29219,#29220,#29221));
#7140=EDGE_LOOP('',(#29222,#29223,#29224,#29225));
#7141=EDGE_LOOP('',(#29226,#29227,#29228,#29229));
#7142=EDGE_LOOP('',(#29230,#29231,#29232,#29233));
#7143=EDGE_LOOP('',(#29234,#29235,#29236,#29237));
#7144=EDGE_LOOP('',(#29238,#29239,#29240,#29241));
#7145=EDGE_LOOP('',(#29242,#29243,#29244,#29245));
#7146=EDGE_LOOP('',(#29246,#29247,#29248,#29249));
#7147=EDGE_LOOP('',(#29250,#29251,#29252,#29253));
#7148=EDGE_LOOP('',(#29254,#29255,#29256,#29257));
#7149=EDGE_LOOP('',(#29258,#29259,#29260,#29261));
#7150=EDGE_LOOP('',(#29262,#29263,#29264,#29265));
#7151=EDGE_LOOP('',(#29266,#29267,#29268,#29269));
#7152=EDGE_LOOP('',(#29270,#29271,#29272,#29273));
#7153=EDGE_LOOP('',(#29274,#29275,#29276,#29277));
#7154=EDGE_LOOP('',(#29278,#29279,#29280,#29281));
#7155=EDGE_LOOP('',(#29282,#29283,#29284,#29285));
#7156=EDGE_LOOP('',(#29286,#29287,#29288,#29289));
#7157=EDGE_LOOP('',(#29290,#29291,#29292,#29293));
#7158=EDGE_LOOP('',(#29294,#29295,#29296,#29297));
#7159=EDGE_LOOP('',(#29298,#29299,#29300,#29301));
#7160=EDGE_LOOP('',(#29302,#29303,#29304,#29305));
#7161=EDGE_LOOP('',(#29306,#29307,#29308,#29309));
#7162=EDGE_LOOP('',(#29310,#29311,#29312,#29313));
#7163=EDGE_LOOP('',(#29314,#29315,#29316,#29317));
#7164=EDGE_LOOP('',(#29318,#29319,#29320,#29321));
#7165=EDGE_LOOP('',(#29322,#29323,#29324,#29325));
#7166=EDGE_LOOP('',(#29326,#29327,#29328,#29329));
#7167=EDGE_LOOP('',(#29330,#29331,#29332,#29333));
#7168=EDGE_LOOP('',(#29334,#29335,#29336,#29337));
#7169=EDGE_LOOP('',(#29338,#29339,#29340,#29341));
#7170=EDGE_LOOP('',(#29342,#29343,#29344,#29345));
#7171=EDGE_LOOP('',(#29346,#29347,#29348,#29349));
#7172=EDGE_LOOP('',(#29350,#29351,#29352,#29353));
#7173=EDGE_LOOP('',(#29354,#29355,#29356,#29357));
#7174=EDGE_LOOP('',(#29358,#29359,#29360,#29361));
#7175=EDGE_LOOP('',(#29362,#29363,#29364,#29365));
#7176=EDGE_LOOP('',(#29366,#29367,#29368,#29369));
#7177=EDGE_LOOP('',(#29370,#29371,#29372,#29373));
#7178=EDGE_LOOP('',(#29374,#29375,#29376,#29377,#29378,#29379,#29380,#29381,
#29382,#29383,#29384,#29385));
#7179=EDGE_LOOP('',(#29386,#29387,#29388,#29389,#29390,#29391,#29392,#29393,
#29394,#29395,#29396,#29397,#29398,#29399,#29400,#29401,#29402,#29403,#29404,
#29405,#29406,#29407,#29408,#29409,#29410,#29411,#29412,#29413));
#7180=EDGE_LOOP('',(#29414,#29415,#29416,#29417));
#7181=EDGE_LOOP('',(#29418,#29419,#29420,#29421));
#7182=EDGE_LOOP('',(#29422,#29423,#29424,#29425,#29426,#29427,#29428,#29429));
#7183=EDGE_LOOP('',(#29430,#29431));
#7184=EDGE_LOOP('',(#29432,#29433,#29434,#29435,#29436,#29437,#29438,#29439,
#29440,#29441,#29442,#29443,#29444,#29445,#29446,#29447,#29448,#29449,#29450,
#29451,#29452,#29453,#29454,#29455,#29456,#29457,#29458,#29459));
#7185=EDGE_LOOP('',(#29460,#29461,#29462,#29463));
#7186=EDGE_LOOP('',(#29464,#29465,#29466,#29467,#29468,#29469,#29470,#29471,
#29472,#29473,#29474,#29475));
#7187=EDGE_LOOP('',(#29476,#29477,#29478,#29479,#29480,#29481,#29482,#29483,
#29484,#29485,#29486,#29487));
#7188=EDGE_LOOP('',(#29488,#29489,#29490,#29491));
#7189=EDGE_LOOP('',(#29492,#29493,#29494,#29495));
#7190=EDGE_LOOP('',(#29496,#29497,#29498,#29499,#29500,#29501,#29502,#29503,
#29504,#29505,#29506,#29507));
#7191=EDGE_LOOP('',(#29508,#29509,#29510,#29511,#29512,#29513,#29514,#29515,
#29516,#29517,#29518,#29519));
#7192=EDGE_LOOP('',(#29520,#29521,#29522,#29523));
#7193=EDGE_LOOP('',(#29524,#29525,#29526,#29527));
#7194=EDGE_LOOP('',(#29528,#29529,#29530,#29531,#29532,#29533,#29534,#29535,
#29536,#29537,#29538,#29539));
#7195=EDGE_LOOP('',(#29540,#29541,#29542,#29543,#29544,#29545,#29546,#29547,
#29548,#29549,#29550,#29551));
#7196=EDGE_LOOP('',(#29552,#29553,#29554,#29555));
#7197=EDGE_LOOP('',(#29556,#29557,#29558,#29559));
#7198=EDGE_LOOP('',(#29560,#29561,#29562,#29563,#29564,#29565,#29566,#29567,
#29568,#29569,#29570,#29571));
#7199=EDGE_LOOP('',(#29572,#29573,#29574,#29575,#29576,#29577,#29578,#29579,
#29580,#29581,#29582,#29583));
#7200=EDGE_LOOP('',(#29584,#29585,#29586,#29587));
#7201=EDGE_LOOP('',(#29588,#29589,#29590,#29591));
#7202=EDGE_LOOP('',(#29592,#29593,#29594,#29595,#29596,#29597,#29598,#29599,
#29600,#29601,#29602,#29603));
#7203=EDGE_LOOP('',(#29604,#29605,#29606,#29607,#29608,#29609,#29610,#29611,
#29612,#29613,#29614,#29615));
#7204=EDGE_LOOP('',(#29616,#29617,#29618,#29619));
#7205=EDGE_LOOP('',(#29620,#29621,#29622,#29623));
#7206=EDGE_LOOP('',(#29624,#29625,#29626,#29627,#29628,#29629,#29630,#29631,
#29632,#29633,#29634,#29635));
#7207=EDGE_LOOP('',(#29636,#29637,#29638,#29639,#29640,#29641,#29642,#29643,
#29644,#29645,#29646,#29647));
#7208=EDGE_LOOP('',(#29648,#29649,#29650,#29651));
#7209=EDGE_LOOP('',(#29652,#29653,#29654,#29655));
#7210=EDGE_LOOP('',(#29656,#29657,#29658,#29659,#29660,#29661,#29662,#29663,
#29664,#29665,#29666,#29667));
#7211=EDGE_LOOP('',(#29668,#29669,#29670,#29671,#29672,#29673,#29674,#29675,
#29676,#29677,#29678,#29679));
#7212=EDGE_LOOP('',(#29680,#29681,#29682,#29683));
#7213=EDGE_LOOP('',(#29684,#29685,#29686,#29687));
#7214=EDGE_LOOP('',(#29688,#29689,#29690,#29691,#29692,#29693,#29694,#29695,
#29696,#29697,#29698,#29699));
#7215=EDGE_LOOP('',(#29700,#29701,#29702,#29703,#29704,#29705,#29706,#29707,
#29708,#29709,#29710,#29711));
#7216=EDGE_LOOP('',(#29712,#29713,#29714,#29715));
#7217=EDGE_LOOP('',(#29716,#29717,#29718,#29719));
#7218=EDGE_LOOP('',(#29720,#29721,#29722,#29723,#29724,#29725,#29726,#29727,
#29728,#29729,#29730,#29731));
#7219=EDGE_LOOP('',(#29732,#29733,#29734,#29735,#29736,#29737,#29738,#29739,
#29740,#29741,#29742,#29743));
#7220=EDGE_LOOP('',(#29744,#29745,#29746,#29747));
#7221=EDGE_LOOP('',(#29748,#29749,#29750,#29751));
#7222=EDGE_LOOP('',(#29752,#29753,#29754,#29755,#29756,#29757,#29758,#29759,
#29760,#29761,#29762,#29763));
#7223=EDGE_LOOP('',(#29764,#29765,#29766,#29767,#29768,#29769,#29770,#29771,
#29772,#29773,#29774,#29775));
#7224=EDGE_LOOP('',(#29776,#29777,#29778,#29779));
#7225=EDGE_LOOP('',(#29780,#29781,#29782,#29783));
#7226=EDGE_LOOP('',(#29784,#29785,#29786,#29787,#29788,#29789,#29790,#29791,
#29792,#29793,#29794,#29795));
#7227=EDGE_LOOP('',(#29796,#29797,#29798,#29799,#29800,#29801,#29802,#29803,
#29804,#29805,#29806,#29807));
#7228=EDGE_LOOP('',(#29808,#29809,#29810,#29811));
#7229=EDGE_LOOP('',(#29812,#29813,#29814,#29815));
#7230=EDGE_LOOP('',(#29816,#29817,#29818,#29819,#29820,#29821,#29822,#29823,
#29824,#29825,#29826,#29827));
#7231=EDGE_LOOP('',(#29828,#29829,#29830,#29831,#29832,#29833,#29834,#29835,
#29836,#29837,#29838,#29839));
#7232=EDGE_LOOP('',(#29840,#29841,#29842,#29843));
#7233=EDGE_LOOP('',(#29844,#29845,#29846,#29847));
#7234=EDGE_LOOP('',(#29848,#29849,#29850,#29851));
#7235=EDGE_LOOP('',(#29852,#29853,#29854,#29855));
#7236=EDGE_LOOP('',(#29856,#29857,#29858,#29859,#29860,#29861,#29862,#29863,
#29864,#29865,#29866,#29867));
#7237=EDGE_LOOP('',(#29868,#29869,#29870,#29871,#29872,#29873,#29874,#29875,
#29876,#29877,#29878,#29879));
#7238=EDGE_LOOP('',(#29880,#29881,#29882,#29883));
#7239=EDGE_LOOP('',(#29884,#29885,#29886,#29887));
#7240=EDGE_LOOP('',(#29888,#29889,#29890,#29891,#29892,#29893,#29894,#29895,
#29896,#29897,#29898,#29899));
#7241=EDGE_LOOP('',(#29900,#29901,#29902,#29903,#29904,#29905,#29906,#29907,
#29908,#29909,#29910,#29911));
#7242=EDGE_LOOP('',(#29912,#29913,#29914,#29915));
#7243=EDGE_LOOP('',(#29916,#29917,#29918,#29919));
#7244=EDGE_LOOP('',(#29920,#29921,#29922,#29923,#29924,#29925,#29926,#29927,
#29928,#29929,#29930,#29931));
#7245=EDGE_LOOP('',(#29932,#29933,#29934,#29935,#29936,#29937,#29938,#29939,
#29940,#29941,#29942,#29943));
#7246=EDGE_LOOP('',(#29944,#29945,#29946,#29947));
#7247=EDGE_LOOP('',(#29948,#29949,#29950,#29951));
#7248=EDGE_LOOP('',(#29952,#29953,#29954,#29955,#29956,#29957,#29958,#29959,
#29960,#29961,#29962,#29963));
#7249=EDGE_LOOP('',(#29964,#29965,#29966,#29967,#29968,#29969,#29970,#29971,
#29972,#29973,#29974,#29975));
#7250=EDGE_LOOP('',(#29976,#29977,#29978,#29979));
#7251=EDGE_LOOP('',(#29980,#29981,#29982,#29983));
#7252=EDGE_LOOP('',(#29984,#29985,#29986,#29987,#29988,#29989,#29990,#29991,
#29992,#29993,#29994,#29995));
#7253=EDGE_LOOP('',(#29996,#29997,#29998,#29999,#30000,#30001,#30002,#30003,
#30004,#30005,#30006,#30007));
#7254=EDGE_LOOP('',(#30008,#30009,#30010,#30011));
#7255=EDGE_LOOP('',(#30012,#30013,#30014,#30015));
#7256=EDGE_LOOP('',(#30016,#30017,#30018,#30019,#30020,#30021,#30022,#30023,
#30024,#30025,#30026,#30027));
#7257=EDGE_LOOP('',(#30028,#30029,#30030,#30031,#30032,#30033,#30034,#30035,
#30036,#30037,#30038,#30039));
#7258=EDGE_LOOP('',(#30040,#30041,#30042,#30043));
#7259=EDGE_LOOP('',(#30044,#30045,#30046,#30047));
#7260=EDGE_LOOP('',(#30048,#30049,#30050,#30051,#30052,#30053,#30054,#30055,
#30056,#30057,#30058,#30059));
#7261=EDGE_LOOP('',(#30060,#30061,#30062,#30063,#30064,#30065,#30066,#30067,
#30068,#30069,#30070,#30071));
#7262=EDGE_LOOP('',(#30072,#30073,#30074,#30075));
#7263=EDGE_LOOP('',(#30076,#30077,#30078,#30079));
#7264=EDGE_LOOP('',(#30080,#30081,#30082,#30083,#30084,#30085,#30086,#30087,
#30088,#30089,#30090,#30091));
#7265=EDGE_LOOP('',(#30092,#30093,#30094,#30095,#30096,#30097,#30098,#30099,
#30100,#30101,#30102,#30103));
#7266=EDGE_LOOP('',(#30104,#30105,#30106,#30107));
#7267=EDGE_LOOP('',(#30108,#30109,#30110,#30111));
#7268=EDGE_LOOP('',(#30112,#30113,#30114,#30115,#30116,#30117,#30118,#30119,
#30120,#30121,#30122,#30123));
#7269=EDGE_LOOP('',(#30124,#30125,#30126,#30127,#30128,#30129,#30130,#30131,
#30132,#30133,#30134,#30135));
#7270=EDGE_LOOP('',(#30136,#30137,#30138,#30139));
#7271=EDGE_LOOP('',(#30140,#30141,#30142,#30143));
#7272=EDGE_LOOP('',(#30144,#30145,#30146,#30147,#30148,#30149,#30150,#30151,
#30152,#30153,#30154,#30155));
#7273=EDGE_LOOP('',(#30156,#30157,#30158,#30159,#30160,#30161,#30162,#30163,
#30164,#30165,#30166,#30167));
#7274=EDGE_LOOP('',(#30168,#30169,#30170,#30171));
#7275=EDGE_LOOP('',(#30172,#30173,#30174,#30175));
#7276=EDGE_LOOP('',(#30176,#30177,#30178,#30179,#30180,#30181,#30182,#30183,
#30184,#30185,#30186,#30187));
#7277=EDGE_LOOP('',(#30188,#30189,#30190,#30191,#30192,#30193,#30194,#30195,
#30196,#30197,#30198,#30199));
#7278=EDGE_LOOP('',(#30200,#30201,#30202,#30203));
#7279=EDGE_LOOP('',(#30204,#30205,#30206,#30207));
#7280=EDGE_LOOP('',(#30208,#30209,#30210,#30211,#30212,#30213,#30214,#30215,
#30216,#30217,#30218,#30219));
#7281=EDGE_LOOP('',(#30220,#30221,#30222,#30223,#30224,#30225,#30226,#30227,
#30228,#30229,#30230,#30231));
#7282=EDGE_LOOP('',(#30232,#30233,#30234,#30235));
#7283=EDGE_LOOP('',(#30236,#30237,#30238,#30239));
#7284=EDGE_LOOP('',(#30240,#30241,#30242,#30243));
#7285=EDGE_LOOP('',(#30244,#30245,#30246,#30247));
#7286=EDGE_LOOP('',(#30248,#30249,#30250,#30251,#30252,#30253,#30254,#30255,
#30256,#30257,#30258,#30259));
#7287=EDGE_LOOP('',(#30260,#30261,#30262,#30263));
#7288=EDGE_LOOP('',(#30264,#30265,#30266,#30267,#30268,#30269,#30270,#30271,
#30272,#30273,#30274,#30275));
#7289=EDGE_LOOP('',(#30276,#30277,#30278,#30279,#30280,#30281,#30282,#30283,
#30284,#30285,#30286,#30287));
#7290=EDGE_LOOP('',(#30288,#30289,#30290,#30291));
#7291=EDGE_LOOP('',(#30292,#30293,#30294,#30295));
#7292=EDGE_LOOP('',(#30296,#30297,#30298,#30299,#30300,#30301,#30302,#30303,
#30304,#30305,#30306,#30307));
#7293=EDGE_LOOP('',(#30308,#30309,#30310,#30311,#30312,#30313,#30314,#30315,
#30316,#30317,#30318,#30319));
#7294=EDGE_LOOP('',(#30320,#30321,#30322,#30323));
#7295=EDGE_LOOP('',(#30324,#30325,#30326,#30327));
#7296=EDGE_LOOP('',(#30328,#30329,#30330,#30331,#30332,#30333,#30334,#30335,
#30336,#30337,#30338,#30339));
#7297=EDGE_LOOP('',(#30340,#30341,#30342,#30343,#30344,#30345,#30346,#30347,
#30348,#30349,#30350,#30351));
#7298=EDGE_LOOP('',(#30352,#30353,#30354,#30355));
#7299=EDGE_LOOP('',(#30356,#30357,#30358,#30359));
#7300=EDGE_LOOP('',(#30360,#30361,#30362,#30363,#30364,#30365,#30366,#30367,
#30368,#30369,#30370,#30371));
#7301=EDGE_LOOP('',(#30372,#30373,#30374,#30375,#30376,#30377,#30378,#30379,
#30380,#30381,#30382,#30383));
#7302=EDGE_LOOP('',(#30384,#30385,#30386,#30387));
#7303=EDGE_LOOP('',(#30388,#30389,#30390,#30391));
#7304=EDGE_LOOP('',(#30392,#30393,#30394,#30395,#30396,#30397,#30398,#30399,
#30400,#30401,#30402,#30403));
#7305=EDGE_LOOP('',(#30404,#30405,#30406,#30407,#30408,#30409,#30410,#30411,
#30412,#30413,#30414,#30415));
#7306=EDGE_LOOP('',(#30416,#30417,#30418,#30419));
#7307=EDGE_LOOP('',(#30420,#30421,#30422,#30423));
#7308=EDGE_LOOP('',(#30424,#30425,#30426,#30427,#30428,#30429,#30430,#30431,
#30432,#30433,#30434,#30435));
#7309=EDGE_LOOP('',(#30436,#30437,#30438,#30439,#30440,#30441,#30442,#30443,
#30444,#30445,#30446,#30447));
#7310=EDGE_LOOP('',(#30448,#30449,#30450,#30451));
#7311=EDGE_LOOP('',(#30452,#30453,#30454,#30455));
#7312=EDGE_LOOP('',(#30456,#30457,#30458,#30459,#30460,#30461,#30462,#30463,
#30464,#30465,#30466,#30467));
#7313=EDGE_LOOP('',(#30468,#30469,#30470,#30471,#30472,#30473,#30474,#30475,
#30476,#30477,#30478,#30479));
#7314=EDGE_LOOP('',(#30480,#30481,#30482,#30483));
#7315=EDGE_LOOP('',(#30484,#30485,#30486,#30487));
#7316=EDGE_LOOP('',(#30488,#30489,#30490,#30491,#30492,#30493,#30494,#30495,
#30496,#30497,#30498,#30499));
#7317=EDGE_LOOP('',(#30500,#30501,#30502,#30503,#30504,#30505,#30506,#30507,
#30508,#30509,#30510,#30511));
#7318=EDGE_LOOP('',(#30512,#30513,#30514,#30515));
#7319=EDGE_LOOP('',(#30516,#30517,#30518,#30519));
#7320=EDGE_LOOP('',(#30520,#30521,#30522,#30523,#30524,#30525,#30526,#30527,
#30528,#30529,#30530,#30531));
#7321=EDGE_LOOP('',(#30532,#30533,#30534,#30535,#30536,#30537,#30538,#30539,
#30540,#30541,#30542,#30543));
#7322=EDGE_LOOP('',(#30544,#30545,#30546,#30547));
#7323=EDGE_LOOP('',(#30548,#30549,#30550,#30551));
#7324=EDGE_LOOP('',(#30552,#30553,#30554,#30555,#30556,#30557,#30558,#30559,
#30560,#30561,#30562,#30563));
#7325=EDGE_LOOP('',(#30564,#30565,#30566,#30567,#30568,#30569,#30570,#30571,
#30572,#30573,#30574,#30575));
#7326=EDGE_LOOP('',(#30576,#30577,#30578,#30579));
#7327=EDGE_LOOP('',(#30580,#30581,#30582,#30583));
#7328=EDGE_LOOP('',(#30584,#30585,#30586,#30587,#30588,#30589,#30590,#30591,
#30592,#30593,#30594,#30595));
#7329=EDGE_LOOP('',(#30596,#30597,#30598,#30599,#30600,#30601,#30602,#30603,
#30604,#30605,#30606,#30607));
#7330=EDGE_LOOP('',(#30608,#30609,#30610,#30611));
#7331=EDGE_LOOP('',(#30612,#30613,#30614,#30615));
#7332=EDGE_LOOP('',(#30616,#30617,#30618,#30619,#30620,#30621));
#7333=EDGE_LOOP('',(#30622,#30623,#30624,#30625));
#7334=EDGE_LOOP('',(#30626,#30627,#30628,#30629));
#7335=EDGE_LOOP('',(#30630,#30631,#30632,#30633));
#7336=EDGE_LOOP('',(#30634,#30635,#30636,#30637));
#7337=EDGE_LOOP('',(#30638,#30639,#30640,#30641));
#7338=EDGE_LOOP('',(#30642,#30643,#30644,#30645));
#7339=EDGE_LOOP('',(#30646,#30647,#30648,#30649));
#7340=EDGE_LOOP('',(#30650,#30651,#30652,#30653));
#7341=EDGE_LOOP('',(#30654,#30655,#30656,#30657));
#7342=EDGE_LOOP('',(#30658,#30659,#30660,#30661));
#7343=EDGE_LOOP('',(#30662,#30663,#30664,#30665,#30666,#30667));
#7344=EDGE_LOOP('',(#30668,#30669,#30670,#30671,#30672,#30673));
#7345=EDGE_LOOP('',(#30674,#30675,#30676,#30677,#30678,#30679));
#7346=EDGE_LOOP('',(#30680,#30681,#30682,#30683,#30684,#30685));
#7347=EDGE_LOOP('',(#30686,#30687,#30688,#30689,#30690,#30691));
#7348=EDGE_LOOP('',(#30692,#30693,#30694,#30695,#30696,#30697));
#7349=EDGE_LOOP('',(#30698,#30699,#30700,#30701,#30702,#30703));
#7350=EDGE_LOOP('',(#30704,#30705,#30706,#30707,#30708,#30709));
#7351=EDGE_LOOP('',(#30710,#30711,#30712,#30713,#30714,#30715));
#7352=EDGE_LOOP('',(#30716,#30717,#30718,#30719,#30720,#30721));
#7353=EDGE_LOOP('',(#30722,#30723,#30724,#30725,#30726,#30727));
#7354=EDGE_LOOP('',(#30728,#30729,#30730,#30731,#30732,#30733));
#7355=EDGE_LOOP('',(#30734,#30735,#30736,#30737));
#7356=EDGE_LOOP('',(#30738,#30739,#30740,#30741));
#7357=EDGE_LOOP('',(#30742,#30743,#30744,#30745));
#7358=EDGE_LOOP('',(#30746,#30747,#30748,#30749));
#7359=EDGE_LOOP('',(#30750,#30751,#30752,#30753,#30754,#30755));
#7360=EDGE_LOOP('',(#30756,#30757,#30758,#30759));
#7361=EDGE_LOOP('',(#30760,#30761,#30762,#30763,#30764,#30765));
#7362=EDGE_LOOP('',(#30766,#30767,#30768,#30769,#30770,#30771));
#7363=EDGE_LOOP('',(#30772,#30773,#30774,#30775,#30776,#30777));
#7364=EDGE_LOOP('',(#30778,#30779,#30780,#30781,#30782,#30783));
#7365=EDGE_LOOP('',(#30784,#30785,#30786,#30787,#30788,#30789));
#7366=EDGE_LOOP('',(#30790,#30791,#30792,#30793,#30794,#30795));
#7367=EDGE_LOOP('',(#30796,#30797,#30798,#30799,#30800,#30801));
#7368=EDGE_LOOP('',(#30802,#30803,#30804,#30805,#30806,#30807));
#7369=EDGE_LOOP('',(#30808,#30809,#30810,#30811,#30812,#30813));
#7370=EDGE_LOOP('',(#30814,#30815,#30816,#30817,#30818,#30819));
#7371=EDGE_LOOP('',(#30820,#30821,#30822,#30823,#30824,#30825));
#7372=EDGE_LOOP('',(#30826,#30827,#30828,#30829));
#7373=EDGE_LOOP('',(#30830,#30831,#30832,#30833));
#7374=EDGE_LOOP('',(#30834,#30835,#30836,#30837));
#7375=EDGE_LOOP('',(#30838,#30839,#30840,#30841));
#7376=EDGE_LOOP('',(#30842,#30843,#30844,#30845));
#7377=EDGE_LOOP('',(#30846,#30847,#30848,#30849));
#7378=EDGE_LOOP('',(#30850,#30851,#30852,#30853));
#7379=EDGE_LOOP('',(#30854,#30855,#30856,#30857));
#7380=EDGE_LOOP('',(#30858,#30859,#30860,#30861));
#7381=EDGE_LOOP('',(#30862,#30863,#30864,#30865));
#7382=EDGE_LOOP('',(#30866,#30867,#30868,#30869));
#7383=EDGE_LOOP('',(#30870,#30871,#30872,#30873));
#7384=EDGE_LOOP('',(#30874,#30875,#30876,#30877));
#7385=EDGE_LOOP('',(#30878,#30879,#30880,#30881));
#7386=EDGE_LOOP('',(#30882,#30883,#30884,#30885));
#7387=EDGE_LOOP('',(#30886,#30887,#30888,#30889));
#7388=EDGE_LOOP('',(#30890,#30891,#30892,#30893));
#7389=EDGE_LOOP('',(#30894,#30895,#30896,#30897));
#7390=EDGE_LOOP('',(#30898,#30899,#30900,#30901));
#7391=EDGE_LOOP('',(#30902,#30903,#30904,#30905));
#7392=EDGE_LOOP('',(#30906,#30907,#30908,#30909));
#7393=EDGE_LOOP('',(#30910,#30911,#30912,#30913));
#7394=EDGE_LOOP('',(#30914,#30915,#30916,#30917));
#7395=EDGE_LOOP('',(#30918,#30919,#30920,#30921));
#7396=EDGE_LOOP('',(#30922,#30923,#30924,#30925));
#7397=EDGE_LOOP('',(#30926,#30927,#30928,#30929));
#7398=EDGE_LOOP('',(#30930,#30931,#30932,#30933));
#7399=EDGE_LOOP('',(#30934,#30935,#30936,#30937));
#7400=EDGE_LOOP('',(#30938,#30939,#30940,#30941));
#7401=EDGE_LOOP('',(#30942,#30943,#30944,#30945));
#7402=EDGE_LOOP('',(#30946,#30947,#30948,#30949));
#7403=EDGE_LOOP('',(#30950,#30951,#30952,#30953));
#7404=EDGE_LOOP('',(#30954,#30955,#30956,#30957));
#7405=EDGE_LOOP('',(#30958,#30959,#30960,#30961));
#7406=EDGE_LOOP('',(#30962,#30963,#30964,#30965));
#7407=EDGE_LOOP('',(#30966,#30967,#30968,#30969));
#7408=EDGE_LOOP('',(#30970,#30971,#30972,#30973));
#7409=EDGE_LOOP('',(#30974,#30975,#30976,#30977));
#7410=EDGE_LOOP('',(#30978,#30979,#30980,#30981));
#7411=EDGE_LOOP('',(#30982,#30983,#30984,#30985));
#7412=EDGE_LOOP('',(#30986,#30987,#30988,#30989));
#7413=EDGE_LOOP('',(#30990,#30991,#30992,#30993));
#7414=EDGE_LOOP('',(#30994,#30995,#30996,#30997));
#7415=EDGE_LOOP('',(#30998,#30999,#31000,#31001));
#7416=EDGE_LOOP('',(#31002,#31003,#31004,#31005));
#7417=EDGE_LOOP('',(#31006,#31007,#31008,#31009));
#7418=EDGE_LOOP('',(#31010,#31011,#31012,#31013));
#7419=EDGE_LOOP('',(#31014,#31015,#31016,#31017));
#7420=EDGE_LOOP('',(#31018,#31019,#31020,#31021));
#7421=EDGE_LOOP('',(#31022,#31023,#31024,#31025));
#7422=EDGE_LOOP('',(#31026,#31027,#31028,#31029));
#7423=EDGE_LOOP('',(#31030,#31031,#31032,#31033));
#7424=EDGE_LOOP('',(#31034,#31035,#31036,#31037));
#7425=EDGE_LOOP('',(#31038,#31039,#31040,#31041));
#7426=EDGE_LOOP('',(#31042,#31043,#31044,#31045));
#7427=EDGE_LOOP('',(#31046,#31047,#31048,#31049));
#7428=EDGE_LOOP('',(#31050,#31051,#31052,#31053));
#7429=EDGE_LOOP('',(#31054,#31055,#31056,#31057));
#7430=EDGE_LOOP('',(#31058,#31059,#31060,#31061));
#7431=EDGE_LOOP('',(#31062,#31063,#31064,#31065));
#7432=EDGE_LOOP('',(#31066,#31067,#31068,#31069));
#7433=EDGE_LOOP('',(#31070,#31071,#31072,#31073));
#7434=EDGE_LOOP('',(#31074,#31075,#31076,#31077));
#7435=EDGE_LOOP('',(#31078,#31079,#31080,#31081));
#7436=EDGE_LOOP('',(#31082,#31083,#31084,#31085));
#7437=EDGE_LOOP('',(#31086,#31087,#31088,#31089));
#7438=EDGE_LOOP('',(#31090,#31091,#31092,#31093));
#7439=EDGE_LOOP('',(#31094,#31095,#31096,#31097));
#7440=EDGE_LOOP('',(#31098,#31099,#31100,#31101));
#7441=EDGE_LOOP('',(#31102,#31103,#31104,#31105));
#7442=EDGE_LOOP('',(#31106,#31107,#31108,#31109));
#7443=EDGE_LOOP('',(#31110,#31111,#31112,#31113));
#7444=EDGE_LOOP('',(#31114,#31115,#31116,#31117));
#7445=EDGE_LOOP('',(#31118,#31119,#31120,#31121));
#7446=EDGE_LOOP('',(#31122,#31123,#31124,#31125));
#7447=EDGE_LOOP('',(#31126,#31127,#31128,#31129));
#7448=EDGE_LOOP('',(#31130,#31131,#31132,#31133));
#7449=EDGE_LOOP('',(#31134,#31135,#31136,#31137));
#7450=EDGE_LOOP('',(#31138,#31139,#31140,#31141));
#7451=EDGE_LOOP('',(#31142,#31143,#31144,#31145));
#7452=EDGE_LOOP('',(#31146,#31147,#31148,#31149));
#7453=EDGE_LOOP('',(#31150,#31151,#31152,#31153));
#7454=EDGE_LOOP('',(#31154,#31155,#31156,#31157));
#7455=EDGE_LOOP('',(#31158,#31159,#31160,#31161));
#7456=EDGE_LOOP('',(#31162,#31163,#31164,#31165));
#7457=EDGE_LOOP('',(#31166,#31167,#31168,#31169));
#7458=EDGE_LOOP('',(#31170,#31171,#31172,#31173));
#7459=EDGE_LOOP('',(#31174,#31175,#31176,#31177));
#7460=EDGE_LOOP('',(#31178,#31179,#31180,#31181));
#7461=EDGE_LOOP('',(#31182,#31183,#31184,#31185));
#7462=EDGE_LOOP('',(#31186,#31187,#31188,#31189));
#7463=EDGE_LOOP('',(#31190,#31191,#31192,#31193));
#7464=EDGE_LOOP('',(#31194,#31195,#31196,#31197));
#7465=EDGE_LOOP('',(#31198,#31199,#31200,#31201));
#7466=EDGE_LOOP('',(#31202,#31203,#31204,#31205));
#7467=EDGE_LOOP('',(#31206,#31207,#31208,#31209));
#7468=EDGE_LOOP('',(#31210,#31211,#31212,#31213,#31214,#31215,#31216,#31217,
#31218,#31219,#31220,#31221,#31222,#31223,#31224,#31225,#31226,#31227,#31228,
#31229,#31230,#31231,#31232,#31233,#31234,#31235,#31236,#31237));
#7469=EDGE_LOOP('',(#31238,#31239,#31240,#31241,#31242,#31243));
#7470=EDGE_LOOP('',(#31244,#31245,#31246,#31247));
#7471=EDGE_LOOP('',(#31248,#31249,#31250,#31251));
#7472=EDGE_LOOP('',(#31252,#31253,#31254,#31255));
#7473=EDGE_LOOP('',(#31256,#31257,#31258,#31259));
#7474=EDGE_LOOP('',(#31260,#31261,#31262,#31263));
#7475=EDGE_LOOP('',(#31264,#31265,#31266,#31267));
#7476=EDGE_LOOP('',(#31268,#31269,#31270,#31271));
#7477=EDGE_LOOP('',(#31272,#31273,#31274,#31275));
#7478=EDGE_LOOP('',(#31276,#31277,#31278,#31279,#31280,#31281));
#7479=EDGE_LOOP('',(#31282,#31283,#31284,#31285));
#7480=EDGE_LOOP('',(#31286,#31287,#31288,#31289));
#7481=EDGE_LOOP('',(#31290,#31291,#31292,#31293));
#7482=EDGE_LOOP('',(#31294,#31295,#31296,#31297));
#7483=EDGE_LOOP('',(#31298,#31299,#31300,#31301));
#7484=EDGE_LOOP('',(#31302,#31303,#31304,#31305));
#7485=EDGE_LOOP('',(#31306,#31307,#31308,#31309));
#7486=EDGE_LOOP('',(#31310,#31311,#31312,#31313));
#7487=EDGE_LOOP('',(#31314,#31315,#31316,#31317,#31318,#31319));
#7488=EDGE_LOOP('',(#31320,#31321,#31322,#31323));
#7489=EDGE_LOOP('',(#31324,#31325,#31326,#31327));
#7490=EDGE_LOOP('',(#31328,#31329,#31330,#31331));
#7491=EDGE_LOOP('',(#31332,#31333,#31334,#31335));
#7492=EDGE_LOOP('',(#31336,#31337,#31338,#31339));
#7493=EDGE_LOOP('',(#31340,#31341,#31342,#31343));
#7494=EDGE_LOOP('',(#31344,#31345,#31346,#31347));
#7495=EDGE_LOOP('',(#31348,#31349,#31350,#31351));
#7496=EDGE_LOOP('',(#31352,#31353,#31354,#31355,#31356,#31357));
#7497=EDGE_LOOP('',(#31358,#31359,#31360,#31361));
#7498=EDGE_LOOP('',(#31362,#31363,#31364,#31365));
#7499=EDGE_LOOP('',(#31366,#31367,#31368,#31369));
#7500=EDGE_LOOP('',(#31370,#31371,#31372,#31373));
#7501=EDGE_LOOP('',(#31374,#31375,#31376,#31377));
#7502=EDGE_LOOP('',(#31378,#31379,#31380,#31381));
#7503=EDGE_LOOP('',(#31382,#31383,#31384,#31385));
#7504=EDGE_LOOP('',(#31386,#31387,#31388,#31389));
#7505=EDGE_LOOP('',(#31390,#31391,#31392,#31393,#31394,#31395));
#7506=EDGE_LOOP('',(#31396,#31397,#31398,#31399));
#7507=EDGE_LOOP('',(#31400,#31401,#31402,#31403));
#7508=EDGE_LOOP('',(#31404,#31405,#31406,#31407));
#7509=EDGE_LOOP('',(#31408,#31409,#31410,#31411));
#7510=EDGE_LOOP('',(#31412,#31413,#31414,#31415));
#7511=EDGE_LOOP('',(#31416,#31417,#31418,#31419));
#7512=EDGE_LOOP('',(#31420,#31421,#31422,#31423));
#7513=EDGE_LOOP('',(#31424,#31425,#31426,#31427));
#7514=EDGE_LOOP('',(#31428,#31429,#31430,#31431,#31432,#31433));
#7515=EDGE_LOOP('',(#31434,#31435,#31436,#31437));
#7516=EDGE_LOOP('',(#31438,#31439,#31440,#31441));
#7517=EDGE_LOOP('',(#31442,#31443,#31444,#31445));
#7518=EDGE_LOOP('',(#31446,#31447,#31448,#31449));
#7519=EDGE_LOOP('',(#31450,#31451,#31452,#31453));
#7520=EDGE_LOOP('',(#31454,#31455,#31456,#31457));
#7521=EDGE_LOOP('',(#31458,#31459,#31460,#31461));
#7522=EDGE_LOOP('',(#31462,#31463,#31464,#31465));
#7523=EDGE_LOOP('',(#31466,#31467,#31468,#31469,#31470,#31471));
#7524=EDGE_LOOP('',(#31472,#31473,#31474,#31475));
#7525=EDGE_LOOP('',(#31476,#31477,#31478,#31479));
#7526=EDGE_LOOP('',(#31480,#31481,#31482,#31483));
#7527=EDGE_LOOP('',(#31484,#31485,#31486,#31487));
#7528=EDGE_LOOP('',(#31488,#31489,#31490,#31491));
#7529=EDGE_LOOP('',(#31492,#31493,#31494,#31495));
#7530=EDGE_LOOP('',(#31496,#31497,#31498,#31499));
#7531=EDGE_LOOP('',(#31500,#31501,#31502,#31503));
#7532=EDGE_LOOP('',(#31504,#31505,#31506,#31507,#31508,#31509));
#7533=EDGE_LOOP('',(#31510,#31511,#31512,#31513));
#7534=EDGE_LOOP('',(#31514,#31515,#31516,#31517));
#7535=EDGE_LOOP('',(#31518,#31519,#31520,#31521));
#7536=EDGE_LOOP('',(#31522,#31523,#31524,#31525));
#7537=EDGE_LOOP('',(#31526,#31527,#31528,#31529));
#7538=EDGE_LOOP('',(#31530,#31531,#31532,#31533));
#7539=EDGE_LOOP('',(#31534,#31535,#31536,#31537));
#7540=EDGE_LOOP('',(#31538,#31539,#31540,#31541));
#7541=EDGE_LOOP('',(#31542,#31543,#31544,#31545,#31546,#31547));
#7542=EDGE_LOOP('',(#31548,#31549,#31550,#31551));
#7543=EDGE_LOOP('',(#31552,#31553,#31554,#31555));
#7544=EDGE_LOOP('',(#31556,#31557,#31558,#31559));
#7545=EDGE_LOOP('',(#31560,#31561,#31562,#31563));
#7546=EDGE_LOOP('',(#31564,#31565,#31566,#31567));
#7547=EDGE_LOOP('',(#31568,#31569,#31570,#31571));
#7548=EDGE_LOOP('',(#31572,#31573,#31574,#31575));
#7549=EDGE_LOOP('',(#31576,#31577,#31578,#31579));
#7550=EDGE_LOOP('',(#31580,#31581,#31582,#31583,#31584,#31585));
#7551=EDGE_LOOP('',(#31586,#31587,#31588,#31589));
#7552=EDGE_LOOP('',(#31590,#31591,#31592,#31593));
#7553=EDGE_LOOP('',(#31594,#31595,#31596,#31597));
#7554=EDGE_LOOP('',(#31598,#31599,#31600,#31601));
#7555=EDGE_LOOP('',(#31602,#31603,#31604,#31605));
#7556=EDGE_LOOP('',(#31606,#31607,#31608,#31609));
#7557=EDGE_LOOP('',(#31610,#31611,#31612,#31613));
#7558=EDGE_LOOP('',(#31614,#31615,#31616,#31617));
#7559=EDGE_LOOP('',(#31618,#31619,#31620,#31621,#31622,#31623));
#7560=EDGE_LOOP('',(#31624,#31625,#31626,#31627));
#7561=EDGE_LOOP('',(#31628,#31629,#31630,#31631));
#7562=EDGE_LOOP('',(#31632,#31633,#31634,#31635));
#7563=EDGE_LOOP('',(#31636,#31637,#31638,#31639));
#7564=EDGE_LOOP('',(#31640,#31641,#31642,#31643));
#7565=EDGE_LOOP('',(#31644,#31645,#31646,#31647));
#7566=EDGE_LOOP('',(#31648,#31649,#31650,#31651));
#7567=EDGE_LOOP('',(#31652,#31653,#31654,#31655));
#7568=EDGE_LOOP('',(#31656,#31657,#31658,#31659,#31660,#31661));
#7569=EDGE_LOOP('',(#31662,#31663,#31664,#31665));
#7570=EDGE_LOOP('',(#31666,#31667,#31668,#31669));
#7571=EDGE_LOOP('',(#31670,#31671,#31672,#31673));
#7572=EDGE_LOOP('',(#31674,#31675,#31676,#31677));
#7573=EDGE_LOOP('',(#31678,#31679,#31680,#31681));
#7574=EDGE_LOOP('',(#31682,#31683,#31684,#31685));
#7575=EDGE_LOOP('',(#31686,#31687,#31688,#31689));
#7576=EDGE_LOOP('',(#31690,#31691,#31692,#31693));
#7577=EDGE_LOOP('',(#31694,#31695,#31696,#31697));
#7578=EDGE_LOOP('',(#31698,#31699,#31700,#31701));
#7579=EDGE_LOOP('',(#31702,#31703,#31704,#31705));
#7580=EDGE_LOOP('',(#31706,#31707,#31708,#31709));
#7581=EDGE_LOOP('',(#31710,#31711,#31712,#31713));
#7582=EDGE_LOOP('',(#31714,#31715,#31716,#31717));
#7583=EDGE_LOOP('',(#31718,#31719,#31720,#31721));
#7584=EDGE_LOOP('',(#31722,#31723,#31724,#31725));
#7585=EDGE_LOOP('',(#31726,#31727,#31728,#31729));
#7586=EDGE_LOOP('',(#31730,#31731,#31732,#31733));
#7587=EDGE_LOOP('',(#31734,#31735,#31736,#31737));
#7588=EDGE_LOOP('',(#31738,#31739,#31740,#31741));
#7589=EDGE_LOOP('',(#31742,#31743,#31744,#31745));
#7590=EDGE_LOOP('',(#31746,#31747,#31748,#31749));
#7591=EDGE_LOOP('',(#31750,#31751,#31752,#31753));
#7592=EDGE_LOOP('',(#31754,#31755,#31756,#31757));
#7593=EDGE_LOOP('',(#31758,#31759,#31760,#31761));
#7594=EDGE_LOOP('',(#31762,#31763,#31764,#31765));
#7595=EDGE_LOOP('',(#31766,#31767,#31768,#31769));
#7596=EDGE_LOOP('',(#31770,#31771,#31772,#31773));
#7597=EDGE_LOOP('',(#31774,#31775,#31776,#31777));
#7598=EDGE_LOOP('',(#31778,#31779,#31780,#31781));
#7599=EDGE_LOOP('',(#31782,#31783,#31784,#31785));
#7600=EDGE_LOOP('',(#31786,#31787,#31788,#31789));
#7601=EDGE_LOOP('',(#31790,#31791,#31792,#31793));
#7602=EDGE_LOOP('',(#31794,#31795,#31796,#31797));
#7603=EDGE_LOOP('',(#31798,#31799,#31800,#31801));
#7604=EDGE_LOOP('',(#31802,#31803,#31804,#31805));
#7605=EDGE_LOOP('',(#31806,#31807,#31808,#31809));
#7606=EDGE_LOOP('',(#31810,#31811,#31812,#31813));
#7607=EDGE_LOOP('',(#31814,#31815,#31816,#31817));
#7608=EDGE_LOOP('',(#31818,#31819,#31820,#31821));
#7609=EDGE_LOOP('',(#31822,#31823,#31824,#31825));
#7610=EDGE_LOOP('',(#31826,#31827,#31828,#31829));
#7611=EDGE_LOOP('',(#31830,#31831,#31832,#31833));
#7612=EDGE_LOOP('',(#31834,#31835,#31836,#31837));
#7613=EDGE_LOOP('',(#31838,#31839,#31840,#31841));
#7614=EDGE_LOOP('',(#31842,#31843,#31844,#31845));
#7615=EDGE_LOOP('',(#31846,#31847,#31848,#31849));
#7616=EDGE_LOOP('',(#31850,#31851,#31852,#31853));
#7617=EDGE_LOOP('',(#31854,#31855,#31856,#31857));
#7618=EDGE_LOOP('',(#31858,#31859,#31860,#31861));
#7619=EDGE_LOOP('',(#31862,#31863,#31864,#31865));
#7620=EDGE_LOOP('',(#31866,#31867,#31868,#31869));
#7621=EDGE_LOOP('',(#31870,#31871,#31872,#31873));
#7622=EDGE_LOOP('',(#31874,#31875,#31876,#31877));
#7623=EDGE_LOOP('',(#31878,#31879,#31880,#31881));
#7624=EDGE_LOOP('',(#31882,#31883,#31884,#31885));
#7625=EDGE_LOOP('',(#31886,#31887,#31888,#31889));
#7626=EDGE_LOOP('',(#31890,#31891,#31892,#31893));
#7627=EDGE_LOOP('',(#31894,#31895,#31896,#31897));
#7628=EDGE_LOOP('',(#31898,#31899,#31900,#31901));
#7629=EDGE_LOOP('',(#31902,#31903,#31904,#31905));
#7630=EDGE_LOOP('',(#31906,#31907,#31908,#31909));
#7631=EDGE_LOOP('',(#31910,#31911,#31912,#31913));
#7632=EDGE_LOOP('',(#31914,#31915,#31916,#31917));
#7633=EDGE_LOOP('',(#31918,#31919,#31920,#31921));
#7634=EDGE_LOOP('',(#31922,#31923,#31924,#31925));
#7635=EDGE_LOOP('',(#31926,#31927,#31928,#31929));
#7636=EDGE_LOOP('',(#31930,#31931,#31932,#31933));
#7637=EDGE_LOOP('',(#31934,#31935,#31936,#31937));
#7638=EDGE_LOOP('',(#31938,#31939,#31940,#31941));
#7639=EDGE_LOOP('',(#31942,#31943,#31944,#31945));
#7640=EDGE_LOOP('',(#31946,#31947,#31948,#31949));
#7641=EDGE_LOOP('',(#31950,#31951,#31952,#31953));
#7642=EDGE_LOOP('',(#31954,#31955,#31956,#31957));
#7643=EDGE_LOOP('',(#31958,#31959,#31960,#31961));
#7644=EDGE_LOOP('',(#31962,#31963,#31964,#31965));
#7645=EDGE_LOOP('',(#31966,#31967,#31968,#31969));
#7646=EDGE_LOOP('',(#31970,#31971,#31972,#31973));
#7647=EDGE_LOOP('',(#31974,#31975,#31976,#31977));
#7648=EDGE_LOOP('',(#31978,#31979,#31980,#31981));
#7649=EDGE_LOOP('',(#31982,#31983,#31984,#31985));
#7650=EDGE_LOOP('',(#31986,#31987,#31988,#31989));
#7651=EDGE_LOOP('',(#31990,#31991,#31992,#31993));
#7652=EDGE_LOOP('',(#31994,#31995,#31996,#31997));
#7653=EDGE_LOOP('',(#31998,#31999,#32000,#32001));
#7654=EDGE_LOOP('',(#32002,#32003,#32004,#32005));
#7655=EDGE_LOOP('',(#32006,#32007,#32008,#32009));
#7656=EDGE_LOOP('',(#32010,#32011,#32012,#32013));
#7657=EDGE_LOOP('',(#32014,#32015,#32016,#32017));
#7658=EDGE_LOOP('',(#32018,#32019,#32020,#32021));
#7659=EDGE_LOOP('',(#32022,#32023,#32024,#32025));
#7660=EDGE_LOOP('',(#32026,#32027,#32028,#32029));
#7661=EDGE_LOOP('',(#32030,#32031,#32032,#32033));
#7662=EDGE_LOOP('',(#32034,#32035,#32036,#32037));
#7663=EDGE_LOOP('',(#32038,#32039,#32040,#32041));
#7664=EDGE_LOOP('',(#32042,#32043,#32044,#32045));
#7665=EDGE_LOOP('',(#32046,#32047));
#7666=EDGE_LOOP('',(#32048,#32049,#32050,#32051));
#7667=EDGE_LOOP('',(#32052,#32053,#32054,#32055,#32056,#32057,#32058,#32059,
#32060,#32061));
#7668=EDGE_LOOP('',(#32062,#32063,#32064,#32065));
#7669=EDGE_LOOP('',(#32066,#32067,#32068,#32069,#32070,#32071));
#7670=EDGE_LOOP('',(#32072,#32073,#32074,#32075));
#7671=EDGE_LOOP('',(#32076,#32077,#32078,#32079));
#7672=EDGE_LOOP('',(#32080,#32081,#32082,#32083));
#7673=EDGE_LOOP('',(#32084,#32085,#32086,#32087));
#7674=EDGE_LOOP('',(#32088,#32089,#32090,#32091));
#7675=EDGE_LOOP('',(#32092,#32093,#32094,#32095));
#7676=EDGE_LOOP('',(#32096,#32097,#32098,#32099));
#7677=EDGE_LOOP('',(#32100,#32101,#32102,#32103));
#7678=EDGE_LOOP('',(#32104,#32105,#32106,#32107));
#7679=EDGE_LOOP('',(#32108,#32109,#32110,#32111));
#7680=EDGE_LOOP('',(#32112,#32113,#32114,#32115));
#7681=EDGE_LOOP('',(#32116,#32117,#32118,#32119));
#7682=EDGE_LOOP('',(#32120,#32121,#32122,#32123));
#7683=EDGE_LOOP('',(#32124,#32125,#32126,#32127));
#7684=EDGE_LOOP('',(#32128,#32129,#32130,#32131,#32132,#32133,#32134,#32135,
#32136,#32137,#32138,#32139));
#7685=EDGE_LOOP('',(#32140,#32141,#32142,#32143,#32144,#32145,#32146,#32147,
#32148,#32149,#32150,#32151));
#7686=EDGE_LOOP('',(#32152,#32153,#32154,#32155));
#7687=EDGE_LOOP('',(#32156,#32157,#32158,#32159,#32160,#32161,#32162,#32163,
#32164,#32165,#32166,#32167));
#7688=EDGE_LOOP('',(#32168,#32169,#32170,#32171));
#7689=EDGE_LOOP('',(#32172,#32173,#32174,#32175));
#7690=EDGE_LOOP('',(#32176,#32177,#32178,#32179,#32180,#32181,#32182,#32183,
#32184,#32185,#32186,#32187));
#7691=EDGE_LOOP('',(#32188,#32189,#32190,#32191));
#7692=EDGE_LOOP('',(#32192,#32193,#32194,#32195,#32196,#32197,#32198,#32199,
#32200,#32201,#32202,#32203));
#7693=EDGE_LOOP('',(#32204,#32205,#32206,#32207,#32208,#32209,#32210,#32211,
#32212,#32213,#32214,#32215));
#7694=EDGE_LOOP('',(#32216,#32217,#32218,#32219));
#7695=EDGE_LOOP('',(#32220,#32221,#32222,#32223));
#7696=EDGE_LOOP('',(#32224,#32225,#32226,#32227));
#7697=EDGE_LOOP('',(#32228,#32229,#32230,#32231));
#7698=EDGE_LOOP('',(#32232,#32233,#32234,#32235,#32236,#32237,#32238,#32239,
#32240,#32241,#32242,#32243));
#7699=EDGE_LOOP('',(#32244,#32245,#32246,#32247,#32248,#32249,#32250,#32251,
#32252,#32253,#32254,#32255));
#7700=EDGE_LOOP('',(#32256,#32257,#32258,#32259));
#7701=EDGE_LOOP('',(#32260,#32261,#32262,#32263));
#7702=EDGE_LOOP('',(#32264,#32265,#32266,#32267));
#7703=EDGE_LOOP('',(#32268,#32269,#32270,#32271,#32272,#32273,#32274,#32275,
#32276,#32277));
#7704=EDGE_LOOP('',(#32278,#32279,#32280,#32281,#32282,#32283));
#7705=EDGE_LOOP('',(#32284,#32285,#32286,#32287));
#7706=EDGE_LOOP('',(#32288,#32289,#32290,#32291));
#7707=EDGE_LOOP('',(#32292,#32293,#32294,#32295));
#7708=EDGE_LOOP('',(#32296,#32297,#32298,#32299));
#7709=EDGE_LOOP('',(#32300,#32301,#32302,#32303));
#7710=EDGE_LOOP('',(#32304,#32305,#32306,#32307));
#7711=EDGE_LOOP('',(#32308,#32309,#32310,#32311));
#7712=EDGE_LOOP('',(#32312,#32313,#32314,#32315));
#7713=EDGE_LOOP('',(#32316,#32317,#32318,#32319,#32320,#32321));
#7714=EDGE_LOOP('',(#32322,#32323,#32324,#32325));
#7715=EDGE_LOOP('',(#32326,#32327,#32328,#32329));
#7716=EDGE_LOOP('',(#32330,#32331,#32332,#32333));
#7717=EDGE_LOOP('',(#32334,#32335,#32336,#32337));
#7718=EDGE_LOOP('',(#32338,#32339,#32340,#32341));
#7719=EDGE_LOOP('',(#32342,#32343,#32344,#32345));
#7720=EDGE_LOOP('',(#32346,#32347,#32348,#32349));
#7721=EDGE_LOOP('',(#32350,#32351,#32352,#32353));
#7722=EDGE_LOOP('',(#32354,#32355,#32356,#32357,#32358,#32359));
#7723=EDGE_LOOP('',(#32360,#32361,#32362,#32363));
#7724=EDGE_LOOP('',(#32364,#32365,#32366,#32367));
#7725=EDGE_LOOP('',(#32368,#32369,#32370,#32371));
#7726=EDGE_LOOP('',(#32372,#32373,#32374,#32375));
#7727=EDGE_LOOP('',(#32376,#32377,#32378,#32379));
#7728=EDGE_LOOP('',(#32380,#32381,#32382,#32383));
#7729=EDGE_LOOP('',(#32384,#32385,#32386,#32387));
#7730=EDGE_LOOP('',(#32388,#32389,#32390,#32391));
#7731=EDGE_LOOP('',(#32392,#32393,#32394,#32395));
#7732=EDGE_LOOP('',(#32396,#32397,#32398,#32399,#32400,#32401));
#7733=EDGE_LOOP('',(#32402,#32403,#32404,#32405,#32406,#32407));
#7734=EDGE_LOOP('',(#32408,#32409,#32410,#32411));
#7735=EDGE_LOOP('',(#32412,#32413,#32414,#32415));
#7736=EDGE_LOOP('',(#32416,#32417,#32418,#32419));
#7737=EDGE_LOOP('',(#32420,#32421,#32422,#32423));
#7738=EDGE_LOOP('',(#32424,#32425,#32426,#32427));
#7739=EDGE_LOOP('',(#32428,#32429,#32430,#32431));
#7740=EDGE_LOOP('',(#32432,#32433,#32434,#32435));
#7741=EDGE_LOOP('',(#32436,#32437,#32438,#32439));
#7742=EDGE_LOOP('',(#32440,#32441,#32442,#32443));
#7743=EDGE_LOOP('',(#32444,#32445,#32446,#32447));
#7744=EDGE_LOOP('',(#32448,#32449));
#7745=EDGE_LOOP('',(#32450,#32451,#32452,#32453,#32454,#32455));
#7746=EDGE_LOOP('',(#32456,#32457,#32458,#32459,#32460,#32461,#32462,#32463));
#7747=EDGE_LOOP('',(#32464,#32465));
#7748=EDGE_LOOP('',(#32466,#32467));
#7749=EDGE_LOOP('',(#32468,#32469));
#7750=EDGE_LOOP('',(#32470,#32471));
#7751=EDGE_LOOP('',(#32472,#32473));
#7752=EDGE_LOOP('',(#32474,#32475,#32476,#32477));
#7753=EDGE_LOOP('',(#32478,#32479,#32480,#32481));
#7754=EDGE_LOOP('',(#32482,#32483,#32484,#32485));
#7755=EDGE_LOOP('',(#32486,#32487,#32488,#32489));
#7756=EDGE_LOOP('',(#32490,#32491,#32492,#32493));
#7757=EDGE_LOOP('',(#32494,#32495,#32496,#32497));
#7758=EDGE_LOOP('',(#32498,#32499,#32500,#32501));
#7759=EDGE_LOOP('',(#32502,#32503,#32504,#32505));
#7760=EDGE_LOOP('',(#32506,#32507,#32508,#32509,#32510,#32511));
#7761=EDGE_LOOP('',(#32512,#32513,#32514,#32515,#32516,#32517));
#7762=EDGE_LOOP('',(#32518,#32519,#32520,#32521,#32522,#32523));
#7763=EDGE_LOOP('',(#32524,#32525,#32526,#32527,#32528,#32529));
#7764=EDGE_LOOP('',(#32530,#32531,#32532,#32533));
#7765=EDGE_LOOP('',(#32534,#32535,#32536,#32537));
#7766=EDGE_LOOP('',(#32538,#32539,#32540,#32541));
#7767=EDGE_LOOP('',(#32542,#32543,#32544,#32545,#32546,#32547,#32548,#32549,
#32550,#32551,#32552,#32553));
#7768=EDGE_LOOP('',(#32554,#32555,#32556,#32557,#32558,#32559,#32560,#32561,
#32562,#32563,#32564,#32565));
#7769=EDGE_LOOP('',(#32566,#32567,#32568,#32569));
#7770=EDGE_LOOP('',(#32570,#32571,#32572,#32573));
#7771=EDGE_LOOP('',(#32574,#32575,#32576,#32577));
#7772=EDGE_LOOP('',(#32578,#32579));
#7773=EDGE_LOOP('',(#32580,#32581,#32582,#32583,#32584,#32585));
#7774=EDGE_LOOP('',(#32586,#32587));
#7775=EDGE_LOOP('',(#32588,#32589));
#7776=EDGE_LOOP('',(#32590,#32591,#32592,#32593,#32594,#32595,#32596,#32597,
#32598,#32599,#32600,#32601,#32602,#32603,#32604,#32605));
#7777=EDGE_LOOP('',(#32606,#32607,#32608,#32609,#32610,#32611,#32612,#32613,
#32614,#32615,#32616,#32617,#32618,#32619,#32620,#32621,#32622,#32623));
#7778=EDGE_LOOP('',(#32624,#32625,#32626,#32627));
#7779=EDGE_LOOP('',(#32628,#32629,#32630,#32631,#32632,#32633,#32634,#32635,
#32636,#32637,#32638,#32639,#32640,#32641,#32642,#32643,#32644,#32645));
#7780=EDGE_LOOP('',(#32646,#32647,#32648,#32649,#32650,#32651,#32652,#32653,
#32654,#32655,#32656,#32657,#32658,#32659,#32660,#32661,#32662,#32663));
#7781=EDGE_LOOP('',(#32664,#32665,#32666,#32667,#32668,#32669,#32670,#32671,
#32672,#32673,#32674,#32675,#32676,#32677,#32678,#32679,#32680,#32681));
#7782=EDGE_LOOP('',(#32682,#32683,#32684,#32685));
#7783=EDGE_LOOP('',(#32686,#32687,#32688,#32689,#32690,#32691,#32692,#32693,
#32694,#32695,#32696,#32697,#32698,#32699,#32700,#32701,#32702,#32703));
#7784=EDGE_LOOP('',(#32704,#32705,#32706,#32707));
#7785=EDGE_LOOP('',(#32708,#32709,#32710,#32711,#32712,#32713,#32714,#32715,
#32716,#32717,#32718,#32719,#32720,#32721,#32722,#32723,#32724,#32725));
#7786=EDGE_LOOP('',(#32726,#32727,#32728,#32729,#32730,#32731,#32732,#32733,
#32734,#32735,#32736,#32737,#32738,#32739,#32740,#32741,#32742,#32743));
#7787=EDGE_LOOP('',(#32744,#32745,#32746,#32747,#32748,#32749,#32750,#32751,
#32752,#32753,#32754,#32755,#32756,#32757,#32758,#32759,#32760,#32761));
#7788=EDGE_LOOP('',(#32762,#32763,#32764,#32765));
#7789=EDGE_LOOP('',(#32766,#32767));
#7790=EDGE_LOOP('',(#32768,#32769,#32770,#32771));
#7791=EDGE_LOOP('',(#32772,#32773,#32774,#32775));
#7792=EDGE_LOOP('',(#32776,#32777,#32778,#32779));
#7793=EDGE_LOOP('',(#32780,#32781,#32782,#32783));
#7794=EDGE_LOOP('',(#32784,#32785,#32786,#32787));
#7795=EDGE_LOOP('',(#32788,#32789,#32790,#32791));
#7796=EDGE_LOOP('',(#32792,#32793,#32794,#32795));
#7797=EDGE_LOOP('',(#32796,#32797,#32798,#32799));
#7798=EDGE_LOOP('',(#32800,#32801,#32802,#32803));
#7799=EDGE_LOOP('',(#32804,#32805,#32806,#32807));
#7800=EDGE_LOOP('',(#32808,#32809,#32810,#32811));
#7801=EDGE_LOOP('',(#32812,#32813,#32814,#32815));
#7802=EDGE_LOOP('',(#32816,#32817,#32818,#32819));
#7803=EDGE_LOOP('',(#32820,#32821,#32822,#32823));
#7804=EDGE_LOOP('',(#32824,#32825,#32826,#32827));
#7805=EDGE_LOOP('',(#32828,#32829,#32830,#32831));
#7806=EDGE_LOOP('',(#32832,#32833,#32834,#32835));
#7807=EDGE_LOOP('',(#32836,#32837,#32838,#32839));
#7808=EDGE_LOOP('',(#32840,#32841,#32842,#32843));
#7809=EDGE_LOOP('',(#32844,#32845,#32846,#32847));
#7810=EDGE_LOOP('',(#32848,#32849,#32850,#32851));
#7811=EDGE_LOOP('',(#32852,#32853,#32854,#32855));
#7812=EDGE_LOOP('',(#32856,#32857,#32858,#32859));
#7813=EDGE_LOOP('',(#32860,#32861,#32862,#32863));
#7814=EDGE_LOOP('',(#32864,#32865,#32866,#32867));
#7815=EDGE_LOOP('',(#32868,#32869,#32870,#32871));
#7816=EDGE_LOOP('',(#32872,#32873,#32874,#32875));
#7817=EDGE_LOOP('',(#32876,#32877,#32878,#32879));
#7818=EDGE_LOOP('',(#32880,#32881,#32882,#32883));
#7819=EDGE_LOOP('',(#32884,#32885,#32886,#32887));
#7820=EDGE_LOOP('',(#32888,#32889,#32890,#32891));
#7821=EDGE_LOOP('',(#32892,#32893,#32894,#32895));
#7822=EDGE_LOOP('',(#32896,#32897,#32898,#32899));
#7823=EDGE_LOOP('',(#32900,#32901,#32902,#32903));
#7824=EDGE_LOOP('',(#32904,#32905,#32906,#32907));
#7825=EDGE_LOOP('',(#32908,#32909,#32910,#32911));
#7826=EDGE_LOOP('',(#32912,#32913,#32914,#32915));
#7827=EDGE_LOOP('',(#32916,#32917,#32918,#32919));
#7828=EDGE_LOOP('',(#32920,#32921,#32922,#32923));
#7829=EDGE_LOOP('',(#32924,#32925,#32926,#32927));
#7830=EDGE_LOOP('',(#32928,#32929,#32930,#32931));
#7831=EDGE_LOOP('',(#32932,#32933,#32934,#32935));
#7832=EDGE_LOOP('',(#32936,#32937,#32938,#32939));
#7833=EDGE_LOOP('',(#32940,#32941,#32942,#32943));
#7834=EDGE_LOOP('',(#32944,#32945,#32946,#32947));
#7835=EDGE_LOOP('',(#32948,#32949,#32950,#32951));
#7836=EDGE_LOOP('',(#32952,#32953,#32954,#32955));
#7837=EDGE_LOOP('',(#32956,#32957,#32958,#32959));
#7838=EDGE_LOOP('',(#32960,#32961,#32962,#32963));
#7839=EDGE_LOOP('',(#32964,#32965,#32966,#32967));
#7840=EDGE_LOOP('',(#32968,#32969,#32970,#32971));
#7841=EDGE_LOOP('',(#32972,#32973,#32974,#32975));
#7842=EDGE_LOOP('',(#32976,#32977,#32978,#32979));
#7843=EDGE_LOOP('',(#32980,#32981,#32982,#32983));
#7844=EDGE_LOOP('',(#32984,#32985,#32986,#32987));
#7845=EDGE_LOOP('',(#32988,#32989,#32990,#32991));
#7846=EDGE_LOOP('',(#32992,#32993,#32994,#32995));
#7847=EDGE_LOOP('',(#32996,#32997,#32998,#32999));
#7848=EDGE_LOOP('',(#33000,#33001,#33002,#33003));
#7849=EDGE_LOOP('',(#33004,#33005,#33006,#33007));
#7850=EDGE_LOOP('',(#33008,#33009,#33010,#33011));
#7851=EDGE_LOOP('',(#33012,#33013,#33014,#33015));
#7852=EDGE_LOOP('',(#33016,#33017,#33018,#33019));
#7853=EDGE_LOOP('',(#33020,#33021,#33022,#33023));
#7854=EDGE_LOOP('',(#33024,#33025,#33026,#33027));
#7855=EDGE_LOOP('',(#33028,#33029,#33030,#33031,#33032,#33033,#33034,#33035,
#33036,#33037));
#7856=EDGE_LOOP('',(#33038,#33039,#33040,#33041));
#7857=EDGE_LOOP('',(#33042,#33043,#33044,#33045));
#7858=EDGE_LOOP('',(#33046,#33047,#33048,#33049,#33050,#33051,#33052,#33053,
#33054,#33055));
#7859=EDGE_LOOP('',(#33056,#33057,#33058,#33059));
#7860=EDGE_LOOP('',(#33060,#33061,#33062,#33063));
#7861=EDGE_LOOP('',(#33064,#33065,#33066,#33067,#33068,#33069,#33070,#33071));
#7862=EDGE_LOOP('',(#33072,#33073,#33074,#33075));
#7863=EDGE_LOOP('',(#33076,#33077,#33078,#33079));
#7864=EDGE_LOOP('',(#33080,#33081,#33082,#33083,#33084,#33085,#33086,#33087));
#7865=EDGE_LOOP('',(#33088,#33089,#33090,#33091));
#7866=EDGE_LOOP('',(#33092,#33093,#33094,#33095,#33096,#33097,#33098,#33099,
#33100,#33101));
#7867=EDGE_LOOP('',(#33102,#33103,#33104,#33105));
#7868=EDGE_LOOP('',(#33106,#33107,#33108,#33109));
#7869=EDGE_LOOP('',(#33110,#33111,#33112,#33113,#33114,#33115,#33116,#33117,
#33118,#33119));
#7870=EDGE_LOOP('',(#33120,#33121,#33122,#33123));
#7871=EDGE_LOOP('',(#33124,#33125,#33126,#33127));
#7872=EDGE_LOOP('',(#33128,#33129,#33130,#33131));
#7873=EDGE_LOOP('',(#33132,#33133,#33134,#33135));
#7874=EDGE_LOOP('',(#33136,#33137,#33138,#33139));
#7875=EDGE_LOOP('',(#33140,#33141,#33142,#33143));
#7876=EDGE_LOOP('',(#33144,#33145,#33146,#33147));
#7877=EDGE_LOOP('',(#33148,#33149,#33150,#33151));
#7878=EDGE_LOOP('',(#33152,#33153,#33154,#33155));
#7879=EDGE_LOOP('',(#33156,#33157,#33158,#33159));
#7880=EDGE_LOOP('',(#33160,#33161,#33162,#33163));
#7881=EDGE_LOOP('',(#33164,#33165,#33166,#33167));
#7882=EDGE_LOOP('',(#33168,#33169,#33170,#33171));
#7883=EDGE_LOOP('',(#33172,#33173,#33174,#33175,#33176,#33177,#33178,#33179,
#33180,#33181,#33182,#33183,#33184,#33185,#33186,#33187,#33188,#33189,#33190,
#33191,#33192,#33193,#33194,#33195,#33196,#33197,#33198,#33199,#33200,#33201,
#33202,#33203));
#7884=EDGE_LOOP('',(#33204,#33205,#33206,#33207));
#7885=EDGE_LOOP('',(#33208,#33209,#33210,#33211));
#7886=EDGE_LOOP('',(#33212,#33213,#33214,#33215));
#7887=EDGE_LOOP('',(#33216,#33217,#33218,#33219));
#7888=EDGE_LOOP('',(#33220,#33221,#33222,#33223));
#7889=EDGE_LOOP('',(#33224,#33225,#33226,#33227,#33228,#33229,#33230,#33231,
#33232,#33233,#33234,#33235,#33236,#33237,#33238,#33239,#33240,#33241,#33242,
#33243,#33244,#33245,#33246,#33247,#33248,#33249,#33250,#33251,#33252,#33253,
#33254,#33255));
#7890=EDGE_LOOP('',(#33256,#33257,#33258,#33259));
#7891=EDGE_LOOP('',(#33260,#33261,#33262,#33263));
#7892=EDGE_LOOP('',(#33264,#33265,#33266,#33267));
#7893=EDGE_LOOP('',(#33268,#33269,#33270,#33271));
#7894=EDGE_LOOP('',(#33272,#33273,#33274,#33275));
#7895=EDGE_LOOP('',(#33276,#33277,#33278,#33279));
#7896=EDGE_LOOP('',(#33280,#33281,#33282,#33283));
#7897=EDGE_LOOP('',(#33284,#33285,#33286,#33287));
#7898=EDGE_LOOP('',(#33288,#33289,#33290,#33291));
#7899=EDGE_LOOP('',(#33292,#33293,#33294,#33295));
#7900=EDGE_LOOP('',(#33296,#33297,#33298,#33299));
#7901=EDGE_LOOP('',(#33300,#33301,#33302,#33303));
#7902=EDGE_LOOP('',(#33304,#33305,#33306,#33307));
#7903=EDGE_LOOP('',(#33308,#33309,#33310,#33311));
#7904=EDGE_LOOP('',(#33312,#33313,#33314,#33315));
#7905=EDGE_LOOP('',(#33316,#33317,#33318,#33319));
#7906=EDGE_LOOP('',(#33320,#33321,#33322,#33323));
#7907=EDGE_LOOP('',(#33324,#33325,#33326,#33327));
#7908=EDGE_LOOP('',(#33328,#33329,#33330,#33331));
#7909=EDGE_LOOP('',(#33332,#33333,#33334,#33335));
#7910=EDGE_LOOP('',(#33336,#33337,#33338,#33339));
#7911=EDGE_LOOP('',(#33340,#33341,#33342,#33343));
#7912=EDGE_LOOP('',(#33344,#33345,#33346,#33347));
#7913=EDGE_LOOP('',(#33348,#33349,#33350,#33351));
#7914=EDGE_LOOP('',(#33352,#33353,#33354,#33355));
#7915=EDGE_LOOP('',(#33356,#33357,#33358,#33359));
#7916=EDGE_LOOP('',(#33360,#33361,#33362,#33363));
#7917=EDGE_LOOP('',(#33364,#33365,#33366,#33367));
#7918=EDGE_LOOP('',(#33368,#33369,#33370,#33371));
#7919=EDGE_LOOP('',(#33372,#33373,#33374,#33375));
#7920=EDGE_LOOP('',(#33376,#33377,#33378,#33379));
#7921=EDGE_LOOP('',(#33380,#33381,#33382,#33383));
#7922=EDGE_LOOP('',(#33384,#33385,#33386,#33387));
#7923=EDGE_LOOP('',(#33388,#33389,#33390,#33391));
#7924=EDGE_LOOP('',(#33392,#33393,#33394,#33395));
#7925=EDGE_LOOP('',(#33396,#33397,#33398,#33399));
#7926=EDGE_LOOP('',(#33400,#33401,#33402,#33403));
#7927=EDGE_LOOP('',(#33404,#33405,#33406,#33407));
#7928=EDGE_LOOP('',(#33408,#33409,#33410,#33411));
#7929=EDGE_LOOP('',(#33412,#33413,#33414,#33415));
#7930=EDGE_LOOP('',(#33416,#33417,#33418,#33419));
#7931=EDGE_LOOP('',(#33420,#33421,#33422,#33423));
#7932=EDGE_LOOP('',(#33424,#33425,#33426,#33427));
#7933=EDGE_LOOP('',(#33428,#33429,#33430,#33431));
#7934=EDGE_LOOP('',(#33432,#33433,#33434,#33435));
#7935=EDGE_LOOP('',(#33436,#33437,#33438,#33439));
#7936=EDGE_LOOP('',(#33440,#33441,#33442,#33443));
#7937=EDGE_LOOP('',(#33444,#33445,#33446,#33447));
#7938=EDGE_LOOP('',(#33448,#33449,#33450,#33451));
#7939=EDGE_LOOP('',(#33452,#33453,#33454,#33455));
#7940=EDGE_LOOP('',(#33456,#33457,#33458,#33459));
#7941=EDGE_LOOP('',(#33460,#33461,#33462,#33463));
#7942=EDGE_LOOP('',(#33464,#33465,#33466,#33467));
#7943=EDGE_LOOP('',(#33468,#33469,#33470,#33471));
#7944=EDGE_LOOP('',(#33472,#33473,#33474,#33475));
#7945=EDGE_LOOP('',(#33476,#33477,#33478,#33479));
#7946=EDGE_LOOP('',(#33480,#33481,#33482,#33483));
#7947=EDGE_LOOP('',(#33484,#33485,#33486,#33487));
#7948=EDGE_LOOP('',(#33488,#33489,#33490,#33491));
#7949=EDGE_LOOP('',(#33492,#33493,#33494,#33495));
#7950=EDGE_LOOP('',(#33496,#33497,#33498,#33499));
#7951=EDGE_LOOP('',(#33500,#33501,#33502,#33503));
#7952=EDGE_LOOP('',(#33504,#33505,#33506,#33507));
#7953=EDGE_LOOP('',(#33508,#33509,#33510,#33511));
#7954=EDGE_LOOP('',(#33512,#33513,#33514,#33515));
#7955=EDGE_LOOP('',(#33516,#33517,#33518,#33519));
#7956=EDGE_LOOP('',(#33520,#33521,#33522,#33523));
#7957=EDGE_LOOP('',(#33524,#33525,#33526,#33527));
#7958=EDGE_LOOP('',(#33528,#33529,#33530,#33531));
#7959=EDGE_LOOP('',(#33532,#33533,#33534,#33535));
#7960=EDGE_LOOP('',(#33536,#33537,#33538,#33539));
#7961=EDGE_LOOP('',(#33540,#33541,#33542,#33543));
#7962=EDGE_LOOP('',(#33544,#33545,#33546,#33547));
#7963=EDGE_LOOP('',(#33548,#33549,#33550,#33551));
#7964=EDGE_LOOP('',(#33552,#33553,#33554,#33555));
#7965=EDGE_LOOP('',(#33556,#33557,#33558,#33559));
#7966=EDGE_LOOP('',(#33560,#33561,#33562,#33563));
#7967=EDGE_LOOP('',(#33564,#33565,#33566,#33567));
#7968=EDGE_LOOP('',(#33568,#33569,#33570,#33571));
#7969=EDGE_LOOP('',(#33572,#33573,#33574,#33575));
#7970=EDGE_LOOP('',(#33576,#33577,#33578,#33579));
#7971=EDGE_LOOP('',(#33580,#33581,#33582,#33583));
#7972=EDGE_LOOP('',(#33584,#33585,#33586,#33587));
#7973=EDGE_LOOP('',(#33588,#33589,#33590,#33591));
#7974=EDGE_LOOP('',(#33592,#33593,#33594,#33595));
#7975=EDGE_LOOP('',(#33596,#33597,#33598,#33599));
#7976=EDGE_LOOP('',(#33600,#33601,#33602,#33603));
#7977=EDGE_LOOP('',(#33604,#33605,#33606,#33607));
#7978=EDGE_LOOP('',(#33608,#33609,#33610,#33611));
#7979=EDGE_LOOP('',(#33612,#33613,#33614,#33615));
#7980=EDGE_LOOP('',(#33616,#33617,#33618,#33619));
#7981=EDGE_LOOP('',(#33620,#33621,#33622,#33623));
#7982=EDGE_LOOP('',(#33624,#33625,#33626,#33627));
#7983=EDGE_LOOP('',(#33628,#33629,#33630,#33631));
#7984=EDGE_LOOP('',(#33632,#33633,#33634,#33635));
#7985=EDGE_LOOP('',(#33636,#33637,#33638,#33639));
#7986=EDGE_LOOP('',(#33640,#33641,#33642,#33643));
#7987=EDGE_LOOP('',(#33644,#33645,#33646,#33647));
#7988=EDGE_LOOP('',(#33648,#33649,#33650,#33651));
#7989=EDGE_LOOP('',(#33652,#33653,#33654,#33655));
#7990=EDGE_LOOP('',(#33656,#33657,#33658,#33659));
#7991=EDGE_LOOP('',(#33660,#33661,#33662,#33663));
#7992=EDGE_LOOP('',(#33664,#33665,#33666,#33667));
#7993=EDGE_LOOP('',(#33668,#33669,#33670,#33671));
#7994=EDGE_LOOP('',(#33672,#33673,#33674,#33675));
#7995=EDGE_LOOP('',(#33676,#33677,#33678,#33679));
#7996=EDGE_LOOP('',(#33680,#33681,#33682,#33683));
#7997=EDGE_LOOP('',(#33684,#33685,#33686,#33687));
#7998=EDGE_LOOP('',(#33688,#33689,#33690,#33691));
#7999=EDGE_LOOP('',(#33692,#33693,#33694,#33695));
#8000=EDGE_LOOP('',(#33696,#33697,#33698,#33699));
#8001=EDGE_LOOP('',(#33700,#33701,#33702,#33703));
#8002=EDGE_LOOP('',(#33704,#33705,#33706,#33707));
#8003=EDGE_LOOP('',(#33708,#33709,#33710,#33711));
#8004=EDGE_LOOP('',(#33712,#33713,#33714,#33715));
#8005=EDGE_LOOP('',(#33716,#33717,#33718,#33719));
#8006=EDGE_LOOP('',(#33720,#33721,#33722,#33723));
#8007=EDGE_LOOP('',(#33724,#33725,#33726,#33727));
#8008=EDGE_LOOP('',(#33728,#33729,#33730,#33731));
#8009=EDGE_LOOP('',(#33732,#33733,#33734,#33735));
#8010=EDGE_LOOP('',(#33736,#33737,#33738,#33739));
#8011=EDGE_LOOP('',(#33740,#33741,#33742,#33743));
#8012=EDGE_LOOP('',(#33744,#33745,#33746,#33747));
#8013=EDGE_LOOP('',(#33748,#33749,#33750,#33751));
#8014=EDGE_LOOP('',(#33752,#33753,#33754,#33755));
#8015=EDGE_LOOP('',(#33756,#33757,#33758,#33759,#33760,#33761));
#8016=EDGE_LOOP('',(#33762,#33763,#33764,#33765,#33766,#33767,#33768,#33769,
#33770,#33771));
#8017=EDGE_LOOP('',(#33772,#33773,#33774,#33775));
#8018=EDGE_LOOP('',(#33776,#33777,#33778,#33779,#33780,#33781));
#8019=EDGE_LOOP('',(#33782,#33783,#33784,#33785));
#8020=EDGE_LOOP('',(#33786,#33787,#33788,#33789));
#8021=EDGE_LOOP('',(#33790,#33791,#33792,#33793));
#8022=EDGE_LOOP('',(#33794,#33795,#33796,#33797));
#8023=EDGE_LOOP('',(#33798,#33799,#33800,#33801,#33802,#33803,#33804,#33805,
#33806,#33807));
#8024=EDGE_LOOP('',(#33808,#33809,#33810,#33811,#33812,#33813,#33814,#33815,
#33816,#33817,#33818,#33819));
#8025=EDGE_LOOP('',(#33820,#33821,#33822));
#8026=EDGE_LOOP('',(#33823,#33824,#33825,#33826,#33827,#33828,#33829,#33830,
#33831,#33832));
#8027=EDGE_LOOP('',(#33833,#33834,#33835,#33836));
#8028=EDGE_LOOP('',(#33837,#33838,#33839,#33840));
#8029=EDGE_LOOP('',(#33841,#33842,#33843,#33844));
#8030=EDGE_LOOP('',(#33845,#33846,#33847,#33848,#33849,#33850,#33851,#33852,
#33853,#33854));
#8031=EDGE_LOOP('',(#33855,#33856,#33857,#33858));
#8032=EDGE_LOOP('',(#33859,#33860,#33861,#33862));
#8033=EDGE_LOOP('',(#33863,#33864,#33865,#33866));
#8034=EDGE_LOOP('',(#33867,#33868,#33869,#33870,#33871,#33872,#33873,#33874));
#8035=EDGE_LOOP('',(#33875,#33876,#33877,#33878));
#8036=EDGE_LOOP('',(#33879,#33880,#33881,#33882,#33883,#33884,#33885,#33886));
#8037=EDGE_LOOP('',(#33887,#33888,#33889,#33890));
#8038=EDGE_LOOP('',(#33891,#33892,#33893,#33894));
#8039=EDGE_LOOP('',(#33895,#33896,#33897,#33898));
#8040=EDGE_LOOP('',(#33899,#33900,#33901,#33902));
#8041=EDGE_LOOP('',(#33903,#33904,#33905,#33906));
#8042=EDGE_LOOP('',(#33907,#33908,#33909,#33910));
#8043=EDGE_LOOP('',(#33911,#33912,#33913,#33914));
#8044=EDGE_LOOP('',(#33915,#33916,#33917,#33918));
#8045=EDGE_LOOP('',(#33919,#33920,#33921,#33922));
#8046=EDGE_LOOP('',(#33923,#33924,#33925,#33926));
#8047=EDGE_LOOP('',(#33927,#33928,#33929,#33930));
#8048=EDGE_LOOP('',(#33931,#33932,#33933,#33934));
#8049=EDGE_LOOP('',(#33935,#33936,#33937,#33938));
#8050=EDGE_LOOP('',(#33939,#33940,#33941,#33942));
#8051=EDGE_LOOP('',(#33943,#33944,#33945,#33946));
#8052=EDGE_LOOP('',(#33947,#33948,#33949,#33950));
#8053=EDGE_LOOP('',(#33951,#33952,#33953,#33954,#33955,#33956,#33957,#33958));
#8054=EDGE_LOOP('',(#33959,#33960,#33961,#33962));
#8055=EDGE_LOOP('',(#33963,#33964,#33965,#33966));
#8056=EDGE_LOOP('',(#33967,#33968,#33969,#33970));
#8057=EDGE_LOOP('',(#33971,#33972,#33973,#33974,#33975,#33976,#33977,#33978));
#8058=EDGE_LOOP('',(#33979,#33980,#33981,#33982));
#8059=EDGE_LOOP('',(#33983,#33984,#33985,#33986));
#8060=EDGE_LOOP('',(#33987,#33988,#33989,#33990));
#8061=EDGE_LOOP('',(#33991,#33992,#33993,#33994));
#8062=EDGE_LOOP('',(#33995,#33996,#33997,#33998,#33999,#34000,#34001,#34002,
#34003,#34004));
#8063=EDGE_LOOP('',(#34005,#34006,#34007,#34008,#34009,#34010,#34011,#34012,
#34013,#34014));
#8064=EDGE_LOOP('',(#34015,#34016,#34017));
#8065=EDGE_LOOP('',(#34018,#34019,#34020,#34021,#34022,#34023,#34024,#34025));
#8066=EDGE_LOOP('',(#34026,#34027,#34028,#34029));
#8067=EDGE_LOOP('',(#34030,#34031,#34032,#34033));
#8068=EDGE_LOOP('',(#34034,#34035,#34036,#34037));
#8069=EDGE_LOOP('',(#34038,#34039,#34040,#34041));
#8070=EDGE_LOOP('',(#34042,#34043,#34044,#34045));
#8071=EDGE_LOOP('',(#34046,#34047,#34048,#34049));
#8072=EDGE_LOOP('',(#34050,#34051,#34052,#34053));
#8073=EDGE_LOOP('',(#34054,#34055,#34056,#34057));
#8074=EDGE_LOOP('',(#34058,#34059,#34060,#34061,#34062,#34063,#34064,#34065));
#8075=EDGE_LOOP('',(#34066,#34067,#34068,#34069,#34070,#34071,#34072,#34073));
#8076=EDGE_LOOP('',(#34074,#34075,#34076,#34077));
#8077=EDGE_LOOP('',(#34078,#34079,#34080,#34081));
#8078=EDGE_LOOP('',(#34082,#34083,#34084,#34085));
#8079=EDGE_LOOP('',(#34086,#34087,#34088,#34089));
#8080=EDGE_LOOP('',(#34090,#34091,#34092,#34093));
#8081=EDGE_LOOP('',(#34094,#34095,#34096,#34097));
#8082=EDGE_LOOP('',(#34098,#34099,#34100,#34101));
#8083=EDGE_LOOP('',(#34102,#34103,#34104,#34105));
#8084=EDGE_LOOP('',(#34106,#34107,#34108,#34109,#34110,#34111,#34112,#34113));
#8085=EDGE_LOOP('',(#34114,#34115,#34116,#34117,#34118,#34119,#34120,#34121));
#8086=EDGE_LOOP('',(#34122,#34123,#34124,#34125));
#8087=EDGE_LOOP('',(#34126,#34127,#34128,#34129));
#8088=EDGE_LOOP('',(#34130,#34131,#34132,#34133));
#8089=EDGE_LOOP('',(#34134,#34135,#34136,#34137));
#8090=EDGE_LOOP('',(#34138,#34139,#34140,#34141));
#8091=EDGE_LOOP('',(#34142,#34143,#34144,#34145));
#8092=EDGE_LOOP('',(#34146,#34147,#34148,#34149));
#8093=EDGE_LOOP('',(#34150,#34151,#34152,#34153));
#8094=EDGE_LOOP('',(#34154,#34155,#34156,#34157,#34158,#34159,#34160,#34161));
#8095=EDGE_LOOP('',(#34162,#34163,#34164,#34165));
#8096=EDGE_LOOP('',(#34166,#34167,#34168,#34169));
#8097=EDGE_LOOP('',(#34170,#34171,#34172,#34173));
#8098=EDGE_LOOP('',(#34174,#34175,#34176,#34177));
#8099=EDGE_LOOP('',(#34178,#34179));
#8100=EDGE_LOOP('',(#34180,#34181));
#8101=EDGE_LOOP('',(#34182,#34183));
#8102=EDGE_LOOP('',(#34184,#34185));
#8103=EDGE_LOOP('',(#34186,#34187));
#8104=EDGE_LOOP('',(#34188,#34189,#34190,#34191));
#8105=EDGE_LOOP('',(#34192,#34193));
#8106=EDGE_LOOP('',(#34194,#34195));
#8107=EDGE_LOOP('',(#34196,#34197));
#8108=EDGE_LOOP('',(#34198,#34199));
#8109=EDGE_LOOP('',(#34200,#34201));
#8110=EDGE_LOOP('',(#34202,#34203));
#8111=EDGE_LOOP('',(#34204,#34205));
#8112=EDGE_LOOP('',(#34206,#34207));
#8113=EDGE_LOOP('',(#34208,#34209));
#8114=EDGE_LOOP('',(#34210,#34211));
#8115=EDGE_LOOP('',(#34212,#34213));
#8116=EDGE_LOOP('',(#34214,#34215));
#8117=EDGE_LOOP('',(#34216,#34217));
#8118=EDGE_LOOP('',(#34218,#34219));
#8119=EDGE_LOOP('',(#34220,#34221));
#8120=EDGE_LOOP('',(#34222,#34223));
#8121=EDGE_LOOP('',(#34224,#34225));
#8122=EDGE_LOOP('',(#34226,#34227));
#8123=EDGE_LOOP('',(#34228,#34229));
#8124=EDGE_LOOP('',(#34230,#34231));
#8125=EDGE_LOOP('',(#34232,#34233));
#8126=EDGE_LOOP('',(#34234,#34235));
#8127=EDGE_LOOP('',(#34236,#34237));
#8128=EDGE_LOOP('',(#34238,#34239));
#8129=EDGE_LOOP('',(#34240,#34241));
#8130=EDGE_LOOP('',(#34242,#34243));
#8131=EDGE_LOOP('',(#34244,#34245));
#8132=EDGE_LOOP('',(#34246,#34247));
#8133=EDGE_LOOP('',(#34248,#34249));
#8134=EDGE_LOOP('',(#34250,#34251));
#8135=EDGE_LOOP('',(#34252,#34253));
#8136=EDGE_LOOP('',(#34254,#34255));
#8137=EDGE_LOOP('',(#34256,#34257));
#8138=EDGE_LOOP('',(#34258,#34259));
#8139=EDGE_LOOP('',(#34260,#34261));
#8140=EDGE_LOOP('',(#34262,#34263));
#8141=EDGE_LOOP('',(#34264,#34265));
#8142=EDGE_LOOP('',(#34266,#34267));
#8143=EDGE_LOOP('',(#34268,#34269));
#8144=EDGE_LOOP('',(#34270,#34271));
#8145=EDGE_LOOP('',(#34272,#34273));
#8146=EDGE_LOOP('',(#34274,#34275));
#8147=EDGE_LOOP('',(#34276,#34277));
#8148=EDGE_LOOP('',(#34278,#34279));
#8149=EDGE_LOOP('',(#34280,#34281));
#8150=EDGE_LOOP('',(#34282,#34283));
#8151=EDGE_LOOP('',(#34284,#34285));
#8152=EDGE_LOOP('',(#34286,#34287));
#8153=EDGE_LOOP('',(#34288,#34289));
#8154=EDGE_LOOP('',(#34290,#34291));
#8155=EDGE_LOOP('',(#34292,#34293));
#8156=EDGE_LOOP('',(#34294,#34295));
#8157=EDGE_LOOP('',(#34296,#34297));
#8158=EDGE_LOOP('',(#34298,#34299));
#8159=EDGE_LOOP('',(#34300,#34301));
#8160=EDGE_LOOP('',(#34302,#34303));
#8161=EDGE_LOOP('',(#34304,#34305));
#8162=EDGE_LOOP('',(#34306,#34307));
#8163=EDGE_LOOP('',(#34308,#34309));
#8164=EDGE_LOOP('',(#34310,#34311));
#8165=EDGE_LOOP('',(#34312,#34313));
#8166=EDGE_LOOP('',(#34314,#34315));
#8167=EDGE_LOOP('',(#34316,#34317));
#8168=EDGE_LOOP('',(#34318,#34319));
#8169=EDGE_LOOP('',(#34320,#34321));
#8170=EDGE_LOOP('',(#34322,#34323));
#8171=EDGE_LOOP('',(#34324,#34325));
#8172=EDGE_LOOP('',(#34326,#34327));
#8173=EDGE_LOOP('',(#34328,#34329));
#8174=EDGE_LOOP('',(#34330,#34331));
#8175=EDGE_LOOP('',(#34332,#34333));
#8176=EDGE_LOOP('',(#34334,#34335));
#8177=EDGE_LOOP('',(#34336,#34337));
#8178=EDGE_LOOP('',(#34338,#34339));
#8179=EDGE_LOOP('',(#34340,#34341));
#8180=EDGE_LOOP('',(#34342,#34343));
#8181=EDGE_LOOP('',(#34344,#34345));
#8182=EDGE_LOOP('',(#34346,#34347));
#8183=EDGE_LOOP('',(#34348,#34349));
#8184=EDGE_LOOP('',(#34350,#34351));
#8185=EDGE_LOOP('',(#34352,#34353));
#8186=EDGE_LOOP('',(#34354,#34355));
#8187=EDGE_LOOP('',(#34356,#34357));
#8188=EDGE_LOOP('',(#34358,#34359));
#8189=EDGE_LOOP('',(#34360,#34361));
#8190=EDGE_LOOP('',(#34362,#34363));
#8191=EDGE_LOOP('',(#34364,#34365));
#8192=EDGE_LOOP('',(#34366,#34367));
#8193=EDGE_LOOP('',(#34368,#34369));
#8194=EDGE_LOOP('',(#34370,#34371,#34372,#34373));
#8195=EDGE_LOOP('',(#34374,#34375,#34376,#34377));
#8196=EDGE_LOOP('',(#34378,#34379));
#8197=EDGE_LOOP('',(#34380,#34381));
#8198=EDGE_LOOP('',(#34382,#34383));
#8199=EDGE_LOOP('',(#34384,#34385));
#8200=EDGE_LOOP('',(#34386,#34387));
#8201=EDGE_LOOP('',(#34388,#34389));
#8202=EDGE_LOOP('',(#34390,#34391));
#8203=EDGE_LOOP('',(#34392,#34393));
#8204=EDGE_LOOP('',(#34394,#34395));
#8205=EDGE_LOOP('',(#34396,#34397));
#8206=EDGE_LOOP('',(#34398,#34399));
#8207=EDGE_LOOP('',(#34400,#34401));
#8208=EDGE_LOOP('',(#34402,#34403));
#8209=EDGE_LOOP('',(#34404,#34405));
#8210=EDGE_LOOP('',(#34406,#34407));
#8211=EDGE_LOOP('',(#34408,#34409));
#8212=EDGE_LOOP('',(#34410,#34411));
#8213=EDGE_LOOP('',(#34412,#34413));
#8214=EDGE_LOOP('',(#34414,#34415));
#8215=EDGE_LOOP('',(#34416,#34417));
#8216=EDGE_LOOP('',(#34418,#34419));
#8217=EDGE_LOOP('',(#34420,#34421));
#8218=EDGE_LOOP('',(#34422,#34423));
#8219=EDGE_LOOP('',(#34424,#34425));
#8220=EDGE_LOOP('',(#34426,#34427));
#8221=EDGE_LOOP('',(#34428,#34429));
#8222=EDGE_LOOP('',(#34430,#34431));
#8223=EDGE_LOOP('',(#34432,#34433));
#8224=EDGE_LOOP('',(#34434,#34435));
#8225=EDGE_LOOP('',(#34436,#34437));
#8226=EDGE_LOOP('',(#34438,#34439));
#8227=EDGE_LOOP('',(#34440,#34441));
#8228=EDGE_LOOP('',(#34442,#34443));
#8229=EDGE_LOOP('',(#34444,#34445));
#8230=EDGE_LOOP('',(#34446,#34447));
#8231=EDGE_LOOP('',(#34448,#34449));
#8232=EDGE_LOOP('',(#34450,#34451));
#8233=EDGE_LOOP('',(#34452,#34453));
#8234=EDGE_LOOP('',(#34454,#34455));
#8235=EDGE_LOOP('',(#34456,#34457));
#8236=EDGE_LOOP('',(#34458,#34459));
#8237=EDGE_LOOP('',(#34460,#34461));
#8238=EDGE_LOOP('',(#34462,#34463));
#8239=EDGE_LOOP('',(#34464,#34465));
#8240=EDGE_LOOP('',(#34466,#34467));
#8241=EDGE_LOOP('',(#34468,#34469));
#8242=EDGE_LOOP('',(#34470,#34471));
#8243=EDGE_LOOP('',(#34472,#34473));
#8244=EDGE_LOOP('',(#34474,#34475));
#8245=EDGE_LOOP('',(#34476,#34477));
#8246=EDGE_LOOP('',(#34478,#34479));
#8247=EDGE_LOOP('',(#34480,#34481));
#8248=EDGE_LOOP('',(#34482,#34483));
#8249=EDGE_LOOP('',(#34484,#34485,#34486,#34487));
#8250=EDGE_LOOP('',(#34488,#34489));
#8251=EDGE_LOOP('',(#34490,#34491));
#8252=EDGE_LOOP('',(#34492,#34493));
#8253=EDGE_LOOP('',(#34494,#34495));
#8254=EDGE_LOOP('',(#34496,#34497));
#8255=EDGE_LOOP('',(#34498,#34499));
#8256=EDGE_LOOP('',(#34500,#34501));
#8257=EDGE_LOOP('',(#34502,#34503));
#8258=EDGE_LOOP('',(#34504,#34505));
#8259=EDGE_LOOP('',(#34506,#34507));
#8260=EDGE_LOOP('',(#34508,#34509));
#8261=EDGE_LOOP('',(#34510,#34511));
#8262=EDGE_LOOP('',(#34512,#34513));
#8263=EDGE_LOOP('',(#34514,#34515));
#8264=EDGE_LOOP('',(#34516,#34517));
#8265=EDGE_LOOP('',(#34518,#34519));
#8266=EDGE_LOOP('',(#34520,#34521));
#8267=EDGE_LOOP('',(#34522,#34523));
#8268=EDGE_LOOP('',(#34524,#34525));
#8269=EDGE_LOOP('',(#34526,#34527));
#8270=EDGE_LOOP('',(#34528,#34529));
#8271=EDGE_LOOP('',(#34530,#34531));
#8272=EDGE_LOOP('',(#34532,#34533));
#8273=EDGE_LOOP('',(#34534,#34535));
#8274=EDGE_LOOP('',(#34536,#34537));
#8275=EDGE_LOOP('',(#34538,#34539));
#8276=EDGE_LOOP('',(#34540,#34541));
#8277=EDGE_LOOP('',(#34542,#34543));
#8278=EDGE_LOOP('',(#34544,#34545));
#8279=EDGE_LOOP('',(#34546,#34547));
#8280=EDGE_LOOP('',(#34548,#34549));
#8281=EDGE_LOOP('',(#34550,#34551));
#8282=EDGE_LOOP('',(#34552,#34553));
#8283=EDGE_LOOP('',(#34554,#34555));
#8284=EDGE_LOOP('',(#34556,#34557));
#8285=EDGE_LOOP('',(#34558,#34559));
#8286=EDGE_LOOP('',(#34560,#34561));
#8287=EDGE_LOOP('',(#34562,#34563));
#8288=EDGE_LOOP('',(#34564,#34565));
#8289=EDGE_LOOP('',(#34566,#34567));
#8290=EDGE_LOOP('',(#34568,#34569));
#8291=EDGE_LOOP('',(#34570,#34571));
#8292=EDGE_LOOP('',(#34572,#34573));
#8293=EDGE_LOOP('',(#34574,#34575));
#8294=EDGE_LOOP('',(#34576,#34577));
#8295=EDGE_LOOP('',(#34578,#34579));
#8296=EDGE_LOOP('',(#34580,#34581));
#8297=EDGE_LOOP('',(#34582,#34583));
#8298=EDGE_LOOP('',(#34584,#34585));
#8299=EDGE_LOOP('',(#34586,#34587));
#8300=EDGE_LOOP('',(#34588,#34589));
#8301=EDGE_LOOP('',(#34590,#34591));
#8302=EDGE_LOOP('',(#34592,#34593));
#8303=EDGE_LOOP('',(#34594,#34595));
#8304=EDGE_LOOP('',(#34596,#34597));
#8305=EDGE_LOOP('',(#34598,#34599));
#8306=EDGE_LOOP('',(#34600,#34601));
#8307=EDGE_LOOP('',(#34602,#34603));
#8308=EDGE_LOOP('',(#34604,#34605));
#8309=EDGE_LOOP('',(#34606,#34607));
#8310=EDGE_LOOP('',(#34608,#34609));
#8311=EDGE_LOOP('',(#34610,#34611));
#8312=EDGE_LOOP('',(#34612,#34613));
#8313=EDGE_LOOP('',(#34614,#34615));
#8314=EDGE_LOOP('',(#34616,#34617));
#8315=EDGE_LOOP('',(#34618,#34619,#34620,#34621));
#8316=EDGE_LOOP('',(#34622,#34623));
#8317=EDGE_LOOP('',(#34624,#34625));
#8318=EDGE_LOOP('',(#34626,#34627));
#8319=EDGE_LOOP('',(#34628,#34629));
#8320=EDGE_LOOP('',(#34630,#34631));
#8321=EDGE_LOOP('',(#34632,#34633));
#8322=EDGE_LOOP('',(#34634,#34635));
#8323=EDGE_LOOP('',(#34636,#34637));
#8324=EDGE_LOOP('',(#34638,#34639));
#8325=EDGE_LOOP('',(#34640,#34641));
#8326=EDGE_LOOP('',(#34642,#34643));
#8327=EDGE_LOOP('',(#34644,#34645));
#8328=EDGE_LOOP('',(#34646,#34647));
#8329=EDGE_LOOP('',(#34648,#34649));
#8330=EDGE_LOOP('',(#34650,#34651));
#8331=EDGE_LOOP('',(#34652,#34653));
#8332=EDGE_LOOP('',(#34654,#34655));
#8333=EDGE_LOOP('',(#34656,#34657));
#8334=EDGE_LOOP('',(#34658,#34659));
#8335=EDGE_LOOP('',(#34660,#34661));
#8336=EDGE_LOOP('',(#34662,#34663));
#8337=EDGE_LOOP('',(#34664,#34665));
#8338=EDGE_LOOP('',(#34666,#34667));
#8339=EDGE_LOOP('',(#34668,#34669));
#8340=EDGE_LOOP('',(#34670,#34671));
#8341=EDGE_LOOP('',(#34672,#34673));
#8342=EDGE_LOOP('',(#34674,#34675));
#8343=EDGE_LOOP('',(#34676,#34677));
#8344=EDGE_LOOP('',(#34678,#34679));
#8345=EDGE_LOOP('',(#34680,#34681));
#8346=EDGE_LOOP('',(#34682,#34683,#34684,#34685));
#8347=EDGE_LOOP('',(#34686,#34687));
#8348=EDGE_LOOP('',(#34688,#34689));
#8349=EDGE_LOOP('',(#34690,#34691));
#8350=EDGE_LOOP('',(#34692,#34693));
#8351=EDGE_LOOP('',(#34694,#34695));
#8352=EDGE_LOOP('',(#34696,#34697));
#8353=EDGE_LOOP('',(#34698,#34699));
#8354=EDGE_LOOP('',(#34700,#34701));
#8355=EDGE_LOOP('',(#34702,#34703));
#8356=EDGE_LOOP('',(#34704,#34705));
#8357=EDGE_LOOP('',(#34706,#34707));
#8358=EDGE_LOOP('',(#34708,#34709));
#8359=EDGE_LOOP('',(#34710,#34711));
#8360=EDGE_LOOP('',(#34712,#34713));
#8361=EDGE_LOOP('',(#34714,#34715));
#8362=EDGE_LOOP('',(#34716,#34717));
#8363=EDGE_LOOP('',(#34718,#34719));
#8364=EDGE_LOOP('',(#34720,#34721));
#8365=EDGE_LOOP('',(#34722,#34723));
#8366=EDGE_LOOP('',(#34724,#34725));
#8367=EDGE_LOOP('',(#34726,#34727));
#8368=EDGE_LOOP('',(#34728,#34729));
#8369=EDGE_LOOP('',(#34730,#34731));
#8370=EDGE_LOOP('',(#34732,#34733));
#8371=EDGE_LOOP('',(#34734,#34735));
#8372=EDGE_LOOP('',(#34736,#34737));
#8373=EDGE_LOOP('',(#34738,#34739));
#8374=EDGE_LOOP('',(#34740,#34741));
#8375=EDGE_LOOP('',(#34742,#34743));
#8376=EDGE_LOOP('',(#34744,#34745));
#8377=EDGE_LOOP('',(#34746,#34747));
#8378=EDGE_LOOP('',(#34748,#34749));
#8379=EDGE_LOOP('',(#34750,#34751));
#8380=EDGE_LOOP('',(#34752,#34753,#34754,#34755));
#8381=EDGE_LOOP('',(#34756,#34757));
#8382=EDGE_LOOP('',(#34758,#34759));
#8383=EDGE_LOOP('',(#34760,#34761));
#8384=EDGE_LOOP('',(#34762,#34763));
#8385=EDGE_LOOP('',(#34764,#34765));
#8386=EDGE_LOOP('',(#34766,#34767));
#8387=EDGE_LOOP('',(#34768,#34769));
#8388=EDGE_LOOP('',(#34770,#34771));
#8389=EDGE_LOOP('',(#34772,#34773));
#8390=EDGE_LOOP('',(#34774,#34775));
#8391=EDGE_LOOP('',(#34776,#34777));
#8392=EDGE_LOOP('',(#34778,#34779));
#8393=EDGE_LOOP('',(#34780,#34781));
#8394=EDGE_LOOP('',(#34782,#34783));
#8395=EDGE_LOOP('',(#34784,#34785));
#8396=EDGE_LOOP('',(#34786,#34787));
#8397=EDGE_LOOP('',(#34788,#34789));
#8398=EDGE_LOOP('',(#34790,#34791));
#8399=EDGE_LOOP('',(#34792,#34793));
#8400=EDGE_LOOP('',(#34794,#34795,#34796,#34797));
#8401=EDGE_LOOP('',(#34798,#34799,#34800,#34801,#34802,#34803));
#8402=EDGE_LOOP('',(#34804,#34805,#34806,#34807,#34808,#34809));
#8403=EDGE_LOOP('',(#34810,#34811,#34812,#34813,#34814,#34815));
#8404=EDGE_LOOP('',(#34816,#34817,#34818,#34819,#34820,#34821));
#8405=EDGE_LOOP('',(#34822,#34823,#34824,#34825,#34826,#34827));
#8406=EDGE_LOOP('',(#34828,#34829,#34830,#34831));
#8407=EDGE_LOOP('',(#34832,#34833,#34834,#34835));
#8408=EDGE_LOOP('',(#34836,#34837,#34838,#34839));
#8409=EDGE_LOOP('',(#34840,#34841,#34842,#34843));
#8410=EDGE_LOOP('',(#34844,#34845,#34846,#34847,#34848,#34849));
#8411=EDGE_LOOP('',(#34850,#34851,#34852,#34853,#34854,#34855));
#8412=EDGE_LOOP('',(#34856,#34857,#34858,#34859,#34860,#34861));
#8413=EDGE_LOOP('',(#34862,#34863,#34864,#34865,#34866,#34867));
#8414=EDGE_LOOP('',(#34868,#34869,#34870,#34871,#34872,#34873));
#8415=EDGE_LOOP('',(#34874,#34875,#34876,#34877,#34878,#34879));
#8416=EDGE_LOOP('',(#34880,#34881,#34882,#34883,#34884,#34885));
#8417=EDGE_LOOP('',(#34886,#34887,#34888,#34889,#34890,#34891));
#8418=EDGE_LOOP('',(#34892,#34893,#34894,#34895,#34896,#34897));
#8419=EDGE_LOOP('',(#34898,#34899,#34900,#34901,#34902,#34903));
#8420=EDGE_LOOP('',(#34904,#34905,#34906,#34907,#34908,#34909));
#8421=EDGE_LOOP('',(#34910,#34911,#34912,#34913,#34914,#34915));
#8422=EDGE_LOOP('',(#34916,#34917,#34918,#34919,#34920,#34921));
#8423=EDGE_LOOP('',(#34922,#34923,#34924,#34925,#34926,#34927));
#8424=EDGE_LOOP('',(#34928,#34929,#34930,#34931,#34932,#34933));
#8425=EDGE_LOOP('',(#34934,#34935,#34936,#34937,#34938,#34939));
#8426=EDGE_LOOP('',(#34940,#34941,#34942,#34943,#34944,#34945));
#8427=EDGE_LOOP('',(#34946,#34947,#34948,#34949,#34950,#34951));
#8428=EDGE_LOOP('',(#34952,#34953,#34954,#34955,#34956,#34957));
#8429=EDGE_LOOP('',(#34958,#34959,#34960,#34961,#34962,#34963));
#8430=EDGE_LOOP('',(#34964,#34965,#34966,#34967,#34968,#34969));
#8431=EDGE_LOOP('',(#34970,#34971,#34972,#34973,#34974,#34975));
#8432=EDGE_LOOP('',(#34976,#34977,#34978,#34979,#34980,#34981));
#8433=EDGE_LOOP('',(#34982,#34983,#34984,#34985,#34986,#34987));
#8434=EDGE_LOOP('',(#34988,#34989,#34990,#34991,#34992,#34993));
#8435=EDGE_LOOP('',(#34994,#34995,#34996,#34997,#34998,#34999));
#8436=EDGE_LOOP('',(#35000,#35001,#35002,#35003,#35004,#35005));
#8437=EDGE_LOOP('',(#35006,#35007,#35008,#35009,#35010,#35011));
#8438=EDGE_LOOP('',(#35012,#35013,#35014,#35015,#35016,#35017));
#8439=EDGE_LOOP('',(#35018,#35019,#35020,#35021,#35022,#35023));
#8440=EDGE_LOOP('',(#35024,#35025,#35026,#35027,#35028,#35029));
#8441=EDGE_LOOP('',(#35030,#35031,#35032,#35033,#35034,#35035));
#8442=EDGE_LOOP('',(#35036,#35037,#35038,#35039,#35040,#35041));
#8443=EDGE_LOOP('',(#35042,#35043,#35044,#35045,#35046,#35047));
#8444=EDGE_LOOP('',(#35048,#35049,#35050,#35051,#35052,#35053));
#8445=EDGE_LOOP('',(#35054,#35055,#35056,#35057,#35058,#35059));
#8446=EDGE_LOOP('',(#35060,#35061,#35062,#35063,#35064,#35065));
#8447=EDGE_LOOP('',(#35066,#35067,#35068,#35069,#35070,#35071));
#8448=EDGE_LOOP('',(#35072,#35073,#35074,#35075,#35076,#35077));
#8449=EDGE_LOOP('',(#35078,#35079,#35080,#35081,#35082,#35083));
#8450=EDGE_LOOP('',(#35084,#35085,#35086,#35087,#35088,#35089));
#8451=EDGE_LOOP('',(#35090,#35091,#35092,#35093,#35094,#35095));
#8452=EDGE_LOOP('',(#35096,#35097,#35098,#35099,#35100,#35101));
#8453=EDGE_LOOP('',(#35102,#35103,#35104,#35105,#35106,#35107));
#8454=EDGE_LOOP('',(#35108,#35109,#35110,#35111,#35112,#35113));
#8455=EDGE_LOOP('',(#35114,#35115,#35116,#35117,#35118,#35119));
#8456=EDGE_LOOP('',(#35120,#35121,#35122,#35123,#35124,#35125));
#8457=EDGE_LOOP('',(#35126,#35127,#35128,#35129,#35130,#35131));
#8458=EDGE_LOOP('',(#35132,#35133,#35134,#35135,#35136,#35137));
#8459=EDGE_LOOP('',(#35138,#35139,#35140,#35141,#35142,#35143));
#8460=EDGE_LOOP('',(#35144,#35145,#35146,#35147,#35148,#35149));
#8461=EDGE_LOOP('',(#35150,#35151,#35152,#35153,#35154,#35155));
#8462=EDGE_LOOP('',(#35156,#35157,#35158,#35159,#35160,#35161));
#8463=EDGE_LOOP('',(#35162,#35163,#35164,#35165,#35166,#35167));
#8464=EDGE_LOOP('',(#35168,#35169,#35170,#35171,#35172,#35173));
#8465=EDGE_LOOP('',(#35174,#35175,#35176,#35177,#35178,#35179));
#8466=EDGE_LOOP('',(#35180,#35181,#35182,#35183,#35184,#35185));
#8467=EDGE_LOOP('',(#35186,#35187,#35188,#35189,#35190,#35191));
#8468=EDGE_LOOP('',(#35192,#35193,#35194,#35195,#35196,#35197));
#8469=EDGE_LOOP('',(#35198,#35199,#35200,#35201,#35202,#35203));
#8470=EDGE_LOOP('',(#35204,#35205,#35206,#35207,#35208,#35209));
#8471=EDGE_LOOP('',(#35210,#35211,#35212,#35213,#35214,#35215));
#8472=EDGE_LOOP('',(#35216,#35217,#35218,#35219,#35220,#35221));
#8473=EDGE_LOOP('',(#35222,#35223,#35224,#35225,#35226,#35227));
#8474=EDGE_LOOP('',(#35228,#35229,#35230,#35231,#35232,#35233));
#8475=EDGE_LOOP('',(#35234,#35235,#35236,#35237,#35238,#35239));
#8476=EDGE_LOOP('',(#35240,#35241,#35242,#35243,#35244,#35245));
#8477=EDGE_LOOP('',(#35246,#35247,#35248,#35249,#35250,#35251));
#8478=EDGE_LOOP('',(#35252,#35253,#35254,#35255,#35256,#35257));
#8479=EDGE_LOOP('',(#35258,#35259,#35260,#35261,#35262,#35263));
#8480=EDGE_LOOP('',(#35264,#35265,#35266,#35267,#35268,#35269));
#8481=EDGE_LOOP('',(#35270,#35271,#35272,#35273,#35274,#35275));
#8482=EDGE_LOOP('',(#35276,#35277,#35278,#35279,#35280,#35281));
#8483=EDGE_LOOP('',(#35282,#35283,#35284,#35285,#35286,#35287));
#8484=EDGE_LOOP('',(#35288,#35289,#35290,#35291,#35292,#35293));
#8485=EDGE_LOOP('',(#35294,#35295,#35296,#35297,#35298,#35299));
#8486=EDGE_LOOP('',(#35300,#35301,#35302,#35303,#35304,#35305));
#8487=EDGE_LOOP('',(#35306,#35307,#35308,#35309,#35310,#35311));
#8488=EDGE_LOOP('',(#35312,#35313,#35314,#35315,#35316,#35317));
#8489=EDGE_LOOP('',(#35318,#35319,#35320,#35321,#35322,#35323));
#8490=EDGE_LOOP('',(#35324,#35325,#35326,#35327,#35328,#35329));
#8491=EDGE_LOOP('',(#35330,#35331,#35332,#35333,#35334,#35335));
#8492=EDGE_LOOP('',(#35336,#35337,#35338,#35339,#35340,#35341));
#8493=EDGE_LOOP('',(#35342,#35343,#35344,#35345,#35346,#35347));
#8494=EDGE_LOOP('',(#35348,#35349,#35350,#35351,#35352,#35353));
#8495=EDGE_LOOP('',(#35354,#35355,#35356,#35357,#35358,#35359));
#8496=EDGE_LOOP('',(#35360,#35361,#35362,#35363,#35364,#35365));
#8497=EDGE_LOOP('',(#35366,#35367,#35368,#35369,#35370,#35371));
#8498=EDGE_LOOP('',(#35372,#35373,#35374,#35375,#35376,#35377));
#8499=EDGE_LOOP('',(#35378,#35379,#35380,#35381));
#8500=EDGE_LOOP('',(#35382,#35383,#35384,#35385));
#8501=EDGE_LOOP('',(#35386,#35387,#35388,#35389));
#8502=EDGE_LOOP('',(#35390,#35391,#35392,#35393));
#8503=EDGE_LOOP('',(#35394,#35395,#35396,#35397));
#8504=EDGE_LOOP('',(#35398,#35399,#35400,#35401));
#8505=EDGE_LOOP('',(#35402,#35403,#35404,#35405));
#8506=EDGE_LOOP('',(#35406,#35407,#35408,#35409));
#8507=EDGE_LOOP('',(#35410,#35411,#35412,#35413,#35414,#35415));
#8508=EDGE_LOOP('',(#35416,#35417,#35418,#35419,#35420,#35421));
#8509=EDGE_LOOP('',(#35422,#35423,#35424,#35425,#35426,#35427));
#8510=EDGE_LOOP('',(#35428,#35429,#35430,#35431,#35432,#35433));
#8511=EDGE_LOOP('',(#35434,#35435,#35436,#35437,#35438,#35439));
#8512=EDGE_LOOP('',(#35440,#35441,#35442,#35443,#35444,#35445));
#8513=EDGE_LOOP('',(#35446,#35447,#35448,#35449,#35450,#35451));
#8514=EDGE_LOOP('',(#35452,#35453,#35454,#35455,#35456,#35457));
#8515=EDGE_LOOP('',(#35458,#35459,#35460,#35461,#35462,#35463));
#8516=EDGE_LOOP('',(#35464,#35465,#35466,#35467,#35468,#35469));
#8517=EDGE_LOOP('',(#35470,#35471,#35472,#35473,#35474,#35475));
#8518=EDGE_LOOP('',(#35476,#35477,#35478,#35479,#35480,#35481));
#8519=EDGE_LOOP('',(#35482,#35483,#35484,#35485,#35486,#35487));
#8520=EDGE_LOOP('',(#35488,#35489,#35490,#35491,#35492,#35493));
#8521=EDGE_LOOP('',(#35494,#35495,#35496,#35497,#35498,#35499));
#8522=EDGE_LOOP('',(#35500,#35501,#35502,#35503,#35504,#35505));
#8523=EDGE_LOOP('',(#35506,#35507,#35508,#35509,#35510,#35511));
#8524=EDGE_LOOP('',(#35512,#35513,#35514,#35515,#35516,#35517));
#8525=EDGE_LOOP('',(#35518,#35519,#35520,#35521,#35522,#35523));
#8526=EDGE_LOOP('',(#35524,#35525,#35526,#35527,#35528,#35529));
#8527=EDGE_LOOP('',(#35530,#35531,#35532,#35533,#35534,#35535));
#8528=EDGE_LOOP('',(#35536,#35537,#35538,#35539,#35540,#35541));
#8529=EDGE_LOOP('',(#35542,#35543,#35544,#35545,#35546,#35547));
#8530=EDGE_LOOP('',(#35548,#35549,#35550,#35551,#35552,#35553));
#8531=EDGE_LOOP('',(#35554,#35555,#35556,#35557,#35558,#35559));
#8532=EDGE_LOOP('',(#35560,#35561,#35562,#35563,#35564,#35565));
#8533=EDGE_LOOP('',(#35566,#35567,#35568,#35569,#35570,#35571));
#8534=EDGE_LOOP('',(#35572,#35573,#35574,#35575,#35576,#35577));
#8535=EDGE_LOOP('',(#35578,#35579,#35580,#35581,#35582,#35583));
#8536=EDGE_LOOP('',(#35584,#35585,#35586,#35587,#35588,#35589));
#8537=EDGE_LOOP('',(#35590,#35591,#35592,#35593,#35594,#35595));
#8538=EDGE_LOOP('',(#35596,#35597,#35598,#35599,#35600,#35601));
#8539=EDGE_LOOP('',(#35602,#35603,#35604,#35605,#35606,#35607));
#8540=EDGE_LOOP('',(#35608,#35609,#35610,#35611,#35612,#35613));
#8541=EDGE_LOOP('',(#35614,#35615,#35616,#35617,#35618,#35619));
#8542=EDGE_LOOP('',(#35620,#35621,#35622,#35623,#35624,#35625));
#8543=EDGE_LOOP('',(#35626,#35627,#35628,#35629,#35630,#35631));
#8544=EDGE_LOOP('',(#35632,#35633,#35634,#35635,#35636,#35637));
#8545=EDGE_LOOP('',(#35638,#35639,#35640,#35641,#35642,#35643));
#8546=EDGE_LOOP('',(#35644,#35645,#35646,#35647,#35648,#35649));
#8547=EDGE_LOOP('',(#35650,#35651,#35652,#35653,#35654,#35655));
#8548=EDGE_LOOP('',(#35656,#35657,#35658,#35659,#35660,#35661));
#8549=EDGE_LOOP('',(#35662,#35663,#35664,#35665,#35666,#35667));
#8550=EDGE_LOOP('',(#35668,#35669,#35670,#35671,#35672,#35673));
#8551=EDGE_LOOP('',(#35674,#35675,#35676,#35677,#35678,#35679));
#8552=EDGE_LOOP('',(#35680,#35681,#35682,#35683,#35684,#35685));
#8553=EDGE_LOOP('',(#35686,#35687,#35688,#35689,#35690,#35691));
#8554=EDGE_LOOP('',(#35692,#35693,#35694,#35695,#35696,#35697));
#8555=EDGE_LOOP('',(#35698,#35699,#35700,#35701,#35702,#35703));
#8556=EDGE_LOOP('',(#35704,#35705,#35706,#35707,#35708,#35709));
#8557=EDGE_LOOP('',(#35710,#35711,#35712,#35713,#35714,#35715));
#8558=EDGE_LOOP('',(#35716,#35717,#35718,#35719,#35720,#35721));
#8559=EDGE_LOOP('',(#35722,#35723,#35724,#35725,#35726,#35727));
#8560=EDGE_LOOP('',(#35728,#35729,#35730,#35731));
#8561=EDGE_LOOP('',(#35732,#35733,#35734,#35735));
#8562=EDGE_LOOP('',(#35736,#35737,#35738,#35739));
#8563=EDGE_LOOP('',(#35740,#35741,#35742,#35743));
#8564=EDGE_LOOP('',(#35744,#35745,#35746,#35747));
#8565=EDGE_LOOP('',(#35748,#35749,#35750,#35751));
#8566=EDGE_LOOP('',(#35752,#35753,#35754,#35755));
#8567=EDGE_LOOP('',(#35756,#35757,#35758,#35759));
#8568=EDGE_LOOP('',(#35760,#35761,#35762,#35763,#35764));
#8569=EDGE_LOOP('',(#35765,#35766,#35767,#35768,#35769,#35770));
#8570=EDGE_LOOP('',(#35771,#35772,#35773,#35774));
#8571=EDGE_LOOP('',(#35775,#35776,#35777,#35778,#35779));
#8572=EDGE_LOOP('',(#35780,#35781,#35782,#35783,#35784));
#8573=EDGE_LOOP('',(#35785,#35786,#35787,#35788,#35789,#35790));
#8574=EDGE_LOOP('',(#35791,#35792,#35793,#35794));
#8575=EDGE_LOOP('',(#35795,#35796,#35797,#35798,#35799));
#8576=EDGE_LOOP('',(#35800,#35801,#35802,#35803,#35804,#35805));
#8577=EDGE_LOOP('',(#35806,#35807,#35808,#35809,#35810,#35811));
#8578=EDGE_LOOP('',(#35812,#35813,#35814,#35815,#35816,#35817,#35818,#35819));
#8579=EDGE_LOOP('',(#35820,#35821,#35822,#35823));
#8580=EDGE_LOOP('',(#35824,#35825,#35826,#35827));
#8581=EDGE_LOOP('',(#35828,#35829,#35830,#35831));
#8582=EDGE_LOOP('',(#35832,#35833,#35834,#35835,#35836,#35837));
#8583=EDGE_LOOP('',(#35838,#35839,#35840,#35841));
#8584=EDGE_LOOP('',(#35842,#35843,#35844,#35845,#35846,#35847));
#8585=EDGE_LOOP('',(#35848,#35849,#35850,#35851));
#8586=EDGE_LOOP('',(#35852,#35853,#35854,#35855));
#8587=EDGE_LOOP('',(#35856,#35857,#35858,#35859,#35860,#35861,#35862,#35863));
#8588=EDGE_LOOP('',(#35864,#35865,#35866,#35867));
#8589=EDGE_LOOP('',(#35868,#35869,#35870,#35871,#35872,#35873,#35874,#35875));
#8590=EDGE_LOOP('',(#35876,#35877,#35878,#35879,#35880,#35881,#35882,#35883,
#35884,#35885,#35886,#35887,#35888,#35889,#35890,#35891));
#8591=EDGE_LOOP('',(#35892,#35893,#35894,#35895));
#8592=EDGE_LOOP('',(#35896,#35897,#35898,#35899,#35900,#35901,#35902,#35903));
#8593=EDGE_LOOP('',(#35904,#35905,#35906,#35907));
#8594=EDGE_LOOP('',(#35908,#35909,#35910,#35911,#35912,#35913,#35914,#35915));
#8595=EDGE_LOOP('',(#35916,#35917,#35918,#35919));
#8596=EDGE_LOOP('',(#35920,#35921,#35922,#35923,#35924,#35925,#35926,#35927));
#8597=EDGE_LOOP('',(#35928,#35929,#35930,#35931));
#8598=EDGE_LOOP('',(#35932,#35933,#35934,#35935,#35936,#35937,#35938,#35939));
#8599=EDGE_LOOP('',(#35940,#35941,#35942,#35943));
#8600=EDGE_LOOP('',(#35944,#35945,#35946,#35947));
#8601=EDGE_LOOP('',(#35948,#35949,#35950,#35951));
#8602=EDGE_LOOP('',(#35952,#35953,#35954,#35955));
#8603=EDGE_LOOP('',(#35956,#35957,#35958,#35959));
#8604=EDGE_LOOP('',(#35960,#35961,#35962,#35963));
#8605=EDGE_LOOP('',(#35964,#35965,#35966,#35967));
#8606=EDGE_LOOP('',(#35968,#35969,#35970,#35971));
#8607=EDGE_LOOP('',(#35972,#35973,#35974,#35975,#35976,#35977));
#8608=EDGE_LOOP('',(#35978,#35979,#35980,#35981,#35982,#35983,#35984,#35985));
#8609=EDGE_LOOP('',(#35986,#35987,#35988,#35989));
#8610=EDGE_LOOP('',(#35990,#35991,#35992,#35993));
#8611=EDGE_LOOP('',(#35994,#35995,#35996,#35997));
#8612=EDGE_LOOP('',(#35998,#35999,#36000,#36001));
#8613=EDGE_LOOP('',(#36002,#36003,#36004,#36005));
#8614=EDGE_LOOP('',(#36006,#36007,#36008,#36009,#36010,#36011,#36012,#36013,
#36014,#36015,#36016,#36017,#36018,#36019));
#8615=EDGE_LOOP('',(#36020,#36021,#36022,#36023,#36024,#36025));
#8616=EDGE_LOOP('',(#36026,#36027,#36028,#36029,#36030));
#8617=EDGE_LOOP('',(#36031,#36032,#36033,#36034,#36035,#36036));
#8618=EDGE_LOOP('',(#36037,#36038,#36039,#36040,#36041));
#8619=EDGE_LOOP('',(#36042,#36043,#36044,#36045,#36046,#36047));
#8620=EDGE_LOOP('',(#36048,#36049,#36050,#36051,#36052));
#8621=EDGE_LOOP('',(#36053,#36054,#36055,#36056,#36057,#36058));
#8622=EDGE_LOOP('',(#36059,#36060,#36061,#36062,#36063));
#8623=EDGE_LOOP('',(#36064,#36065,#36066,#36067));
#8624=EDGE_LOOP('',(#36068,#36069,#36070,#36071));
#8625=EDGE_LOOP('',(#36072,#36073,#36074,#36075,#36076,#36077,#36078,#36079,
#36080,#36081,#36082,#36083,#36084,#36085,#36086,#36087));
#8626=EDGE_LOOP('',(#36088));
#8627=EDGE_LOOP('',(#36089));
#8628=EDGE_LOOP('',(#36090,#36091,#36092,#36093));
#8629=EDGE_LOOP('',(#36094,#36095,#36096,#36097));
#8630=EDGE_LOOP('',(#36098,#36099,#36100,#36101));
#8631=EDGE_LOOP('',(#36102,#36103,#36104,#36105));
#8632=EDGE_LOOP('',(#36106,#36107,#36108,#36109,#36110,#36111,#36112,#36113,
#36114,#36115,#36116,#36117,#36118,#36119,#36120,#36121));
#8633=EDGE_LOOP('',(#36122));
#8634=EDGE_LOOP('',(#36123));
#8635=EDGE_LOOP('',(#36124,#36125,#36126,#36127));
#8636=EDGE_LOOP('',(#36128,#36129,#36130,#36131));
#8637=EDGE_LOOP('',(#36132,#36133,#36134,#36135));
#8638=EDGE_LOOP('',(#36136,#36137,#36138,#36139));
#8639=EDGE_LOOP('',(#36140,#36141,#36142,#36143));
#8640=EDGE_LOOP('',(#36144,#36145,#36146,#36147));
#8641=EDGE_LOOP('',(#36148,#36149,#36150,#36151));
#8642=EDGE_LOOP('',(#36152,#36153,#36154,#36155));
#8643=EDGE_LOOP('',(#36156,#36157,#36158,#36159));
#8644=EDGE_LOOP('',(#36160,#36161,#36162,#36163));
#8645=EDGE_LOOP('',(#36164,#36165,#36166,#36167,#36168,#36169));
#8646=EDGE_LOOP('',(#36170,#36171,#36172,#36173,#36174));
#8647=EDGE_LOOP('',(#36175,#36176,#36177,#36178,#36179));
#8648=EDGE_LOOP('',(#36180,#36181,#36182,#36183));
#8649=EDGE_LOOP('',(#36184,#36185,#36186,#36187));
#8650=EDGE_LOOP('',(#36188,#36189,#36190,#36191));
#8651=EDGE_LOOP('',(#36192,#36193,#36194,#36195));
#8652=EDGE_LOOP('',(#36196,#36197,#36198,#36199,#36200,#36201,#36202,#36203));
#8653=EDGE_LOOP('',(#36204,#36205,#36206,#36207));
#8654=EDGE_LOOP('',(#36208,#36209));
#8655=EDGE_LOOP('',(#36210,#36211,#36212,#36213));
#8656=EDGE_LOOP('',(#36214,#36215,#36216,#36217,#36218,#36219,#36220,#36221,
#36222,#36223,#36224,#36225,#36226,#36227));
#8657=EDGE_LOOP('',(#36228,#36229,#36230,#36231));
#8658=EDGE_LOOP('',(#36232,#36233,#36234,#36235));
#8659=EDGE_LOOP('',(#36236,#36237,#36238,#36239));
#8660=EDGE_LOOP('',(#36240,#36241,#36242,#36243));
#8661=EDGE_LOOP('',(#36244,#36245,#36246,#36247));
#8662=EDGE_LOOP('',(#36248,#36249,#36250,#36251));
#8663=EDGE_LOOP('',(#36252,#36253,#36254,#36255));
#8664=EDGE_LOOP('',(#36256,#36257,#36258,#36259,#36260));
#8665=EDGE_LOOP('',(#36261,#36262,#36263,#36264,#36265));
#8666=EDGE_LOOP('',(#36266,#36267,#36268,#36269,#36270,#36271,#36272,#36273,
#36274,#36275,#36276,#36277,#36278,#36279,#36280,#36281));
#8667=EDGE_LOOP('',(#36282,#36283));
#8668=EDGE_LOOP('',(#36284,#36285));
#8669=EDGE_LOOP('',(#36286,#36287,#36288,#36289));
#8670=EDGE_LOOP('',(#36290,#36291));
#8671=EDGE_LOOP('',(#36292,#36293));
#8672=EDGE_LOOP('',(#36294,#36295));
#8673=EDGE_LOOP('',(#36296,#36297,#36298,#36299,#36300,#36301,#36302,#36303));
#8674=EDGE_LOOP('',(#36304,#36305,#36306,#36307));
#8675=EDGE_LOOP('',(#36308,#36309,#36310,#36311));
#8676=EDGE_LOOP('',(#36312,#36313,#36314,#36315));
#8677=EDGE_LOOP('',(#36316,#36317,#36318,#36319));
#8678=EDGE_LOOP('',(#36320,#36321,#36322,#36323));
#8679=EDGE_LOOP('',(#36324,#36325,#36326,#36327));
#8680=EDGE_LOOP('',(#36328,#36329,#36330,#36331,#36332,#36333,#36334,#36335));
#8681=EDGE_LOOP('',(#36336,#36337,#36338,#36339));
#8682=EDGE_LOOP('',(#36340,#36341,#36342,#36343));
#8683=EDGE_LOOP('',(#36344,#36345,#36346,#36347));
#8684=EDGE_LOOP('',(#36348,#36349,#36350,#36351));
#8685=EDGE_LOOP('',(#36352,#36353,#36354,#36355));
#8686=EDGE_LOOP('',(#36356,#36357,#36358,#36359));
#8687=EDGE_LOOP('',(#36360,#36361,#36362,#36363));
#8688=EDGE_LOOP('',(#36364,#36365,#36366,#36367));
#8689=EDGE_LOOP('',(#36368,#36369,#36370,#36371,#36372,#36373,#36374,#36375,
#36376,#36377,#36378,#36379));
#8690=EDGE_LOOP('',(#36380,#36381,#36382,#36383));
#8691=EDGE_LOOP('',(#36384,#36385));
#8692=EDGE_LOOP('',(#36386));
#8693=EDGE_LOOP('',(#36387));
#8694=EDGE_LOOP('',(#36388));
#8695=EDGE_LOOP('',(#36389));
#8696=EDGE_LOOP('',(#36390));
#8697=EDGE_LOOP('',(#36391));
#8698=EDGE_LOOP('',(#36392));
#8699=EDGE_LOOP('',(#36393));
#8700=EDGE_LOOP('',(#36394,#36395,#36396,#36397));
#8701=EDGE_LOOP('',(#36398,#36399,#36400,#36401));
#8702=EDGE_LOOP('',(#36402,#36403,#36404,#36405));
#8703=EDGE_LOOP('',(#36406,#36407,#36408,#36409));
#8704=EDGE_LOOP('',(#36410,#36411,#36412,#36413,#36414,#36415,#36416,#36417,
#36418,#36419,#36420,#36421,#36422,#36423,#36424,#36425));
#8705=EDGE_LOOP('',(#36426,#36427,#36428,#36429));
#8706=EDGE_LOOP('',(#36430,#36431,#36432,#36433));
#8707=EDGE_LOOP('',(#36434,#36435,#36436,#36437));
#8708=EDGE_LOOP('',(#36438,#36439,#36440,#36441));
#8709=EDGE_LOOP('',(#36442,#36443,#36444,#36445,#36446,#36447,#36448,#36449));
#8710=EDGE_LOOP('',(#36450,#36451));
#8711=EDGE_LOOP('',(#36452,#36453));
#8712=EDGE_LOOP('',(#36454,#36455));
#8713=EDGE_LOOP('',(#36456,#36457));
#8714=EDGE_LOOP('',(#36458,#36459,#36460,#36461));
#8715=EDGE_LOOP('',(#36462,#36463,#36464,#36465,#36466,#36467));
#8716=EDGE_LOOP('',(#36468,#36469,#36470,#36471,#36472,#36473));
#8717=EDGE_LOOP('',(#36474,#36475,#36476,#36477));
#8718=EDGE_LOOP('',(#36478,#36479,#36480,#36481,#36482,#36483,#36484,#36485));
#8719=EDGE_LOOP('',(#36486,#36487,#36488,#36489));
#8720=EDGE_LOOP('',(#36490,#36491,#36492,#36493,#36494,#36495,#36496,#36497));
#8721=EDGE_LOOP('',(#36498,#36499,#36500,#36501));
#8722=EDGE_LOOP('',(#36502,#36503,#36504,#36505));
#8723=EDGE_LOOP('',(#36506,#36507,#36508,#36509));
#8724=EDGE_LOOP('',(#36510,#36511,#36512,#36513));
#8725=EDGE_LOOP('',(#36514,#36515,#36516,#36517,#36518,#36519,#36520,#36521));
#8726=EDGE_LOOP('',(#36522,#36523,#36524,#36525));
#8727=EDGE_LOOP('',(#36526,#36527,#36528,#36529));
#8728=EDGE_LOOP('',(#36530,#36531,#36532,#36533));
#8729=EDGE_LOOP('',(#36534,#36535,#36536,#36537,#36538,#36539,#36540,#36541));
#8730=EDGE_LOOP('',(#36542,#36543,#36544,#36545,#36546,#36547,#36548,#36549));
#8731=EDGE_LOOP('',(#36550,#36551,#36552,#36553,#36554,#36555,#36556,#36557));
#8732=EDGE_LOOP('',(#36558,#36559,#36560,#36561,#36562,#36563));
#8733=EDGE_LOOP('',(#36564,#36565,#36566,#36567,#36568,#36569,#36570,#36571));
#8734=EDGE_LOOP('',(#36572,#36573,#36574,#36575,#36576,#36577,#36578,#36579));
#8735=EDGE_LOOP('',(#36580,#36581,#36582,#36583,#36584,#36585));
#8736=EDGE_LOOP('',(#36586,#36587,#36588,#36589));
#8737=EDGE_LOOP('',(#36590,#36591,#36592,#36593));
#8738=EDGE_LOOP('',(#36594,#36595,#36596,#36597));
#8739=EDGE_LOOP('',(#36598,#36599,#36600,#36601));
#8740=EDGE_LOOP('',(#36602,#36603,#36604,#36605));
#8741=EDGE_LOOP('',(#36606,#36607,#36608,#36609));
#8742=EDGE_LOOP('',(#36610,#36611,#36612,#36613,#36614,#36615,#36616,#36617));
#8743=EDGE_LOOP('',(#36618,#36619,#36620,#36621));
#8744=EDGE_LOOP('',(#36622,#36623,#36624,#36625));
#8745=EDGE_LOOP('',(#36626,#36627,#36628,#36629,#36630,#36631,#36632,#36633));
#8746=EDGE_LOOP('',(#36634,#36635,#36636,#36637));
#8747=EDGE_LOOP('',(#36638,#36639,#36640,#36641));
#8748=EDGE_LOOP('',(#36642,#36643,#36644,#36645,#36646,#36647,#36648,#36649));
#8749=EDGE_LOOP('',(#36650,#36651,#36652,#36653));
#8750=EDGE_LOOP('',(#36654,#36655,#36656,#36657));
#8751=EDGE_LOOP('',(#36658,#36659,#36660,#36661,#36662,#36663,#36664,#36665,
#36666,#36667,#36668,#36669,#36670,#36671,#36672,#36673,#36674,#36675,#36676,
#36677,#36678,#36679,#36680,#36681,#36682,#36683,#36684,#36685,#36686,#36687,
#36688,#36689,#36690,#36691,#36692,#36693,#36694,#36695));
#8752=EDGE_LOOP('',(#36696,#36697,#36698,#36699));
#8753=EDGE_LOOP('',(#36700,#36701,#36702,#36703,#36704,#36705,#36706,#36707));
#8754=EDGE_LOOP('',(#36708,#36709,#36710,#36711,#36712,#36713,#36714,#36715));
#8755=EDGE_LOOP('',(#36716,#36717,#36718,#36719,#36720,#36721,#36722,#36723));
#8756=EDGE_LOOP('',(#36724,#36725,#36726,#36727,#36728,#36729,#36730,#36731,
#36732,#36733,#36734,#36735,#36736,#36737,#36738,#36739,#36740,#36741,#36742,
#36743,#36744,#36745,#36746,#36747,#36748,#36749,#36750,#36751,#36752,#36753,
#36754,#36755,#36756,#36757,#36758,#36759,#36760,#36761));
#8757=EDGE_LOOP('',(#36762,#36763,#36764,#36765));
#8758=EDGE_LOOP('',(#36766,#36767,#36768,#36769));
#8759=EDGE_LOOP('',(#36770,#36771,#36772,#36773));
#8760=EDGE_LOOP('',(#36774,#36775,#36776,#36777));
#8761=EDGE_LOOP('',(#36778,#36779,#36780,#36781));
#8762=EDGE_LOOP('',(#36782,#36783,#36784,#36785));
#8763=EDGE_LOOP('',(#36786,#36787,#36788,#36789));
#8764=EDGE_LOOP('',(#36790,#36791,#36792,#36793));
#8765=EDGE_LOOP('',(#36794,#36795,#36796,#36797));
#8766=EDGE_LOOP('',(#36798,#36799,#36800,#36801));
#8767=EDGE_LOOP('',(#36802,#36803,#36804,#36805));
#8768=EDGE_LOOP('',(#36806,#36807,#36808,#36809));
#8769=EDGE_LOOP('',(#36810,#36811,#36812,#36813));
#8770=EDGE_LOOP('',(#36814,#36815,#36816,#36817));
#8771=EDGE_LOOP('',(#36818,#36819,#36820,#36821));
#8772=EDGE_LOOP('',(#36822,#36823,#36824,#36825));
#8773=EDGE_LOOP('',(#36826,#36827,#36828,#36829));
#8774=EDGE_LOOP('',(#36830,#36831,#36832,#36833));
#8775=EDGE_LOOP('',(#36834,#36835,#36836,#36837));
#8776=EDGE_LOOP('',(#36838,#36839,#36840,#36841));
#8777=EDGE_LOOP('',(#36842,#36843,#36844,#36845));
#8778=EDGE_LOOP('',(#36846,#36847,#36848,#36849));
#8779=EDGE_LOOP('',(#36850,#36851,#36852,#36853));
#8780=EDGE_LOOP('',(#36854,#36855,#36856,#36857));
#8781=EDGE_LOOP('',(#36858,#36859,#36860,#36861));
#8782=EDGE_LOOP('',(#36862,#36863,#36864,#36865));
#8783=EDGE_LOOP('',(#36866,#36867,#36868,#36869));
#8784=EDGE_LOOP('',(#36870,#36871,#36872,#36873));
#8785=EDGE_LOOP('',(#36874,#36875,#36876,#36877));
#8786=EDGE_LOOP('',(#36878,#36879,#36880,#36881));
#8787=EDGE_LOOP('',(#36882,#36883,#36884,#36885));
#8788=EDGE_LOOP('',(#36886,#36887,#36888,#36889));
#8789=EDGE_LOOP('',(#36890,#36891,#36892,#36893));
#8790=EDGE_LOOP('',(#36894,#36895,#36896,#36897));
#8791=EDGE_LOOP('',(#36898,#36899,#36900,#36901));
#8792=EDGE_LOOP('',(#36902,#36903,#36904,#36905));
#8793=EDGE_LOOP('',(#36906,#36907,#36908,#36909));
#8794=EDGE_LOOP('',(#36910,#36911,#36912,#36913));
#8795=EDGE_LOOP('',(#36914,#36915,#36916,#36917,#36918,#36919,#36920,#36921,
#36922,#36923,#36924,#36925,#36926,#36927,#36928,#36929,#36930,#36931,#36932,
#36933,#36934,#36935,#36936,#36937,#36938,#36939,#36940,#36941));
#8796=EDGE_LOOP('',(#36942,#36943,#36944,#36945));
#8797=EDGE_LOOP('',(#36946,#36947,#36948,#36949));
#8798=EDGE_LOOP('',(#36950,#36951,#36952,#36953));
#8799=EDGE_LOOP('',(#36954,#36955,#36956,#36957));
#8800=EDGE_LOOP('',(#36958,#36959,#36960,#36961));
#8801=EDGE_LOOP('',(#36962,#36963,#36964,#36965));
#8802=EDGE_LOOP('',(#36966,#36967,#36968,#36969));
#8803=EDGE_LOOP('',(#36970,#36971,#36972,#36973));
#8804=EDGE_LOOP('',(#36974,#36975,#36976,#36977));
#8805=EDGE_LOOP('',(#36978,#36979,#36980,#36981));
#8806=EDGE_LOOP('',(#36982,#36983,#36984,#36985));
#8807=EDGE_LOOP('',(#36986,#36987,#36988,#36989));
#8808=EDGE_LOOP('',(#36990,#36991,#36992,#36993));
#8809=EDGE_LOOP('',(#36994,#36995,#36996,#36997,#36998,#36999,#37000,#37001,
#37002,#37003));
#8810=EDGE_LOOP('',(#37004,#37005,#37006,#37007));
#8811=EDGE_LOOP('',(#37008,#37009,#37010,#37011,#37012,#37013,#37014,#37015,
#37016,#37017,#37018,#37019));
#8812=EDGE_LOOP('',(#37020,#37021,#37022,#37023));
#8813=EDGE_LOOP('',(#37024,#37025,#37026,#37027));
#8814=EDGE_LOOP('',(#37028,#37029,#37030,#37031));
#8815=EDGE_LOOP('',(#37032,#37033,#37034,#37035));
#8816=EDGE_LOOP('',(#37036,#37037,#37038,#37039));
#8817=EDGE_LOOP('',(#37040,#37041,#37042,#37043));
#8818=EDGE_LOOP('',(#37044,#37045,#37046,#37047));
#8819=EDGE_LOOP('',(#37048,#37049,#37050,#37051));
#8820=EDGE_LOOP('',(#37052,#37053,#37054,#37055));
#8821=EDGE_LOOP('',(#37056,#37057,#37058,#37059,#37060,#37061,#37062,#37063,
#37064,#37065));
#8822=EDGE_LOOP('',(#37066,#37067,#37068,#37069));
#8823=EDGE_LOOP('',(#37070,#37071,#37072,#37073));
#8824=EDGE_LOOP('',(#37074,#37075,#37076,#37077));
#8825=EDGE_LOOP('',(#37078,#37079,#37080,#37081));
#8826=EDGE_LOOP('',(#37082,#37083,#37084,#37085));
#8827=EDGE_LOOP('',(#37086,#37087,#37088,#37089));
#8828=EDGE_LOOP('',(#37090,#37091,#37092,#37093));
#8829=EDGE_LOOP('',(#37094,#37095,#37096,#37097));
#8830=EDGE_LOOP('',(#37098,#37099,#37100,#37101));
#8831=EDGE_LOOP('',(#37102,#37103,#37104,#37105));
#8832=EDGE_LOOP('',(#37106,#37107,#37108,#37109));
#8833=EDGE_LOOP('',(#37110,#37111,#37112,#37113));
#8834=EDGE_LOOP('',(#37114,#37115,#37116,#37117));
#8835=EDGE_LOOP('',(#37118,#37119,#37120,#37121));
#8836=EDGE_LOOP('',(#37122,#37123,#37124,#37125));
#8837=EDGE_LOOP('',(#37126,#37127,#37128,#37129));
#8838=EDGE_LOOP('',(#37130,#37131,#37132,#37133));
#8839=EDGE_LOOP('',(#37134,#37135,#37136,#37137));
#8840=EDGE_LOOP('',(#37138,#37139,#37140,#37141));
#8841=EDGE_LOOP('',(#37142,#37143,#37144,#37145));
#8842=EDGE_LOOP('',(#37146,#37147,#37148,#37149));
#8843=EDGE_LOOP('',(#37150,#37151,#37152,#37153));
#8844=EDGE_LOOP('',(#37154,#37155,#37156,#37157));
#8845=EDGE_LOOP('',(#37158,#37159,#37160,#37161));
#8846=EDGE_LOOP('',(#37162,#37163,#37164,#37165));
#8847=EDGE_LOOP('',(#37166,#37167,#37168,#37169));
#8848=EDGE_LOOP('',(#37170,#37171,#37172,#37173));
#8849=EDGE_LOOP('',(#37174,#37175,#37176,#37177));
#8850=EDGE_LOOP('',(#37178,#37179,#37180,#37181));
#8851=EDGE_LOOP('',(#37182,#37183,#37184,#37185));
#8852=EDGE_LOOP('',(#37186,#37187,#37188,#37189));
#8853=EDGE_LOOP('',(#37190,#37191,#37192,#37193));
#8854=EDGE_LOOP('',(#37194,#37195,#37196,#37197));
#8855=EDGE_LOOP('',(#37198,#37199,#37200,#37201));
#8856=EDGE_LOOP('',(#37202,#37203,#37204,#37205,#37206,#37207,#37208,#37209,
#37210,#37211,#37212,#37213,#37214,#37215,#37216,#37217,#37218,#37219,#37220,
#37221,#37222,#37223,#37224,#37225,#37226,#37227,#37228,#37229,#37230,#37231,
#37232,#37233,#37234,#37235,#37236,#37237,#37238,#37239,#37240,#37241,#37242,
#37243,#37244,#37245));
#8857=EDGE_LOOP('',(#37246,#37247,#37248,#37249));
#8858=EDGE_LOOP('',(#37250,#37251,#37252,#37253));
#8859=EDGE_LOOP('',(#37254,#37255,#37256,#37257));
#8860=EDGE_LOOP('',(#37258,#37259,#37260,#37261,#37262,#37263,#37264,#37265,
#37266,#37267,#37268,#37269,#37270,#37271,#37272,#37273,#37274,#37275,#37276,
#37277));
#8861=EDGE_LOOP('',(#37278,#37279,#37280,#37281,#37282,#37283));
#8862=EDGE_LOOP('',(#37284,#37285,#37286,#37287));
#8863=EDGE_LOOP('',(#37288,#37289,#37290,#37291,#37292,#37293));
#8864=EDGE_LOOP('',(#37294,#37295,#37296,#37297));
#8865=EDGE_LOOP('',(#37298,#37299,#37300,#37301,#37302,#37303,#37304,#37305));
#8866=EDGE_LOOP('',(#37306,#37307,#37308,#37309,#37310,#37311,#37312,#37313,
#37314,#37315,#37316,#37317,#37318,#37319,#37320,#37321,#37322,#37323,#37324,
#37325,#37326,#37327,#37328,#37329,#37330,#37331,#37332,#37333,#37334,#37335,
#37336));
#8867=EDGE_LOOP('',(#37337,#37338,#37339,#37340,#37341,#37342,#37343,#37344,
#37345,#37346,#37347,#37348,#37349,#37350,#37351,#37352,#37353,#37354,#37355,
#37356,#37357,#37358,#37359,#37360,#37361,#37362,#37363,#37364,#37365,#37366,
#37367,#37368,#37369,#37370,#37371,#37372,#37373));
#8868=EDGE_LOOP('',(#37374,#37375,#37376,#37377,#37378,#37379,#37380,#37381,
#37382,#37383));
#8869=EDGE_LOOP('',(#37384,#37385,#37386,#37387,#37388,#37389,#37390,#37391,
#37392,#37393,#37394,#37395,#37396,#37397,#37398,#37399,#37400,#37401,#37402,
#37403,#37404));
#8870=EDGE_LOOP('',(#37405,#37406,#37407,#37408,#37409,#37410,#37411,#37412,
#37413,#37414,#37415));
#8871=EDGE_LOOP('',(#37416,#37417,#37418,#37419,#37420,#37421,#37422,#37423,
#37424,#37425,#37426,#37427,#37428,#37429,#37430,#37431,#37432,#37433,#37434,
#37435,#37436,#37437,#37438,#37439,#37440,#37441,#37442,#37443,#37444,#37445));
#8872=EDGE_LOOP('',(#37446,#37447,#37448,#37449,#37450,#37451,#37452,#37453));
#8873=EDGE_LOOP('',(#37454,#37455,#37456,#37457,#37458,#37459,#37460,#37461));
#8874=EDGE_LOOP('',(#37462,#37463,#37464,#37465,#37466,#37467,#37468,#37469));
#8875=EDGE_LOOP('',(#37470,#37471,#37472,#37473,#37474,#37475,#37476,#37477));
#8876=EDGE_LOOP('',(#37478,#37479,#37480,#37481,#37482,#37483,#37484,#37485));
#8877=EDGE_LOOP('',(#37486,#37487,#37488,#37489,#37490,#37491,#37492,#37493));
#8878=EDGE_LOOP('',(#37494,#37495,#37496,#37497));
#8879=EDGE_LOOP('',(#37498,#37499,#37500,#37501,#37502,#37503));
#8880=EDGE_LOOP('',(#37504,#37505,#37506,#37507,#37508,#37509));
#8881=EDGE_LOOP('',(#37510,#37511,#37512,#37513));
#8882=EDGE_LOOP('',(#37514,#37515,#37516,#37517));
#8883=EDGE_LOOP('',(#37518,#37519,#37520,#37521));
#8884=EDGE_LOOP('',(#37522,#37523,#37524,#37525));
#8885=EDGE_LOOP('',(#37526,#37527,#37528,#37529));
#8886=EDGE_LOOP('',(#37530,#37531,#37532,#37533));
#8887=EDGE_LOOP('',(#37534,#37535,#37536,#37537));
#8888=EDGE_LOOP('',(#37538,#37539,#37540,#37541));
#8889=EDGE_LOOP('',(#37542,#37543,#37544,#37545));
#8890=EDGE_LOOP('',(#37546,#37547,#37548,#37549));
#8891=EDGE_LOOP('',(#37550,#37551,#37552,#37553));
#8892=EDGE_LOOP('',(#37554,#37555,#37556,#37557));
#8893=EDGE_LOOP('',(#37558,#37559,#37560,#37561));
#8894=EDGE_LOOP('',(#37562,#37563,#37564,#37565));
#8895=EDGE_LOOP('',(#37566,#37567,#37568,#37569));
#8896=EDGE_LOOP('',(#37570,#37571,#37572,#37573));
#8897=EDGE_LOOP('',(#37574,#37575,#37576,#37577));
#8898=EDGE_LOOP('',(#37578,#37579,#37580,#37581));
#8899=EDGE_LOOP('',(#37582,#37583,#37584,#37585));
#8900=EDGE_LOOP('',(#37586,#37587,#37588,#37589));
#8901=EDGE_LOOP('',(#37590,#37591,#37592,#37593));
#8902=EDGE_LOOP('',(#37594,#37595,#37596,#37597));
#8903=EDGE_LOOP('',(#37598,#37599,#37600,#37601));
#8904=EDGE_LOOP('',(#37602,#37603,#37604,#37605));
#8905=EDGE_LOOP('',(#37606,#37607,#37608,#37609));
#8906=EDGE_LOOP('',(#37610,#37611,#37612,#37613));
#8907=EDGE_LOOP('',(#37614,#37615,#37616,#37617));
#8908=EDGE_LOOP('',(#37618,#37619,#37620,#37621));
#8909=EDGE_LOOP('',(#37622,#37623,#37624,#37625));
#8910=EDGE_LOOP('',(#37626,#37627,#37628,#37629));
#8911=EDGE_LOOP('',(#37630,#37631,#37632,#37633));
#8912=EDGE_LOOP('',(#37634,#37635,#37636,#37637));
#8913=EDGE_LOOP('',(#37638,#37639,#37640,#37641));
#8914=EDGE_LOOP('',(#37642,#37643,#37644,#37645,#37646,#37647,#37648,#37649,
#37650,#37651,#37652,#37653,#37654,#37655,#37656,#37657,#37658,#37659,#37660,
#37661,#37662,#37663,#37664,#37665,#37666,#37667,#37668,#37669,#37670,#37671,
#37672));
#8915=EDGE_LOOP('',(#37673,#37674,#37675,#37676));
#8916=EDGE_LOOP('',(#37677,#37678,#37679,#37680));
#8917=EDGE_LOOP('',(#37681,#37682,#37683,#37684));
#8918=EDGE_LOOP('',(#37685,#37686,#37687,#37688));
#8919=EDGE_LOOP('',(#37689,#37690,#37691,#37692));
#8920=EDGE_LOOP('',(#37693,#37694,#37695,#37696));
#8921=EDGE_LOOP('',(#37697,#37698,#37699,#37700));
#8922=EDGE_LOOP('',(#37701,#37702,#37703,#37704));
#8923=EDGE_LOOP('',(#37705,#37706,#37707,#37708));
#8924=EDGE_LOOP('',(#37709,#37710,#37711,#37712));
#8925=EDGE_LOOP('',(#37713,#37714,#37715,#37716));
#8926=EDGE_LOOP('',(#37717,#37718,#37719,#37720));
#8927=EDGE_LOOP('',(#37721,#37722,#37723,#37724));
#8928=EDGE_LOOP('',(#37725,#37726,#37727,#37728));
#8929=EDGE_LOOP('',(#37729,#37730,#37731,#37732));
#8930=EDGE_LOOP('',(#37733,#37734,#37735,#37736));
#8931=EDGE_LOOP('',(#37737,#37738,#37739,#37740));
#8932=EDGE_LOOP('',(#37741,#37742,#37743,#37744));
#8933=EDGE_LOOP('',(#37745,#37746,#37747,#37748));
#8934=EDGE_LOOP('',(#37749,#37750,#37751,#37752));
#8935=EDGE_LOOP('',(#37753,#37754,#37755,#37756));
#8936=EDGE_LOOP('',(#37757,#37758,#37759,#37760));
#8937=EDGE_LOOP('',(#37761,#37762,#37763,#37764));
#8938=EDGE_LOOP('',(#37765,#37766,#37767,#37768));
#8939=EDGE_LOOP('',(#37769,#37770,#37771,#37772));
#8940=EDGE_LOOP('',(#37773,#37774,#37775,#37776));
#8941=EDGE_LOOP('',(#37777,#37778,#37779,#37780));
#8942=EDGE_LOOP('',(#37781,#37782,#37783,#37784));
#8943=EDGE_LOOP('',(#37785,#37786,#37787,#37788));
#8944=EDGE_LOOP('',(#37789,#37790,#37791,#37792));
#8945=EDGE_LOOP('',(#37793,#37794,#37795,#37796));
#8946=EDGE_LOOP('',(#37797,#37798,#37799,#37800));
#8947=EDGE_LOOP('',(#37801,#37802,#37803,#37804));
#8948=EDGE_LOOP('',(#37805,#37806,#37807,#37808));
#8949=EDGE_LOOP('',(#37809,#37810,#37811,#37812));
#8950=EDGE_LOOP('',(#37813,#37814,#37815,#37816));
#8951=EDGE_LOOP('',(#37817,#37818,#37819,#37820));
#8952=EDGE_LOOP('',(#37821,#37822,#37823,#37824,#37825,#37826,#37827,#37828,
#37829,#37830,#37831,#37832,#37833,#37834,#37835,#37836,#37837,#37838,#37839,
#37840,#37841,#37842,#37843,#37844,#37845,#37846,#37847,#37848,#37849,#37850,
#37851,#37852,#37853,#37854,#37855,#37856,#37857));
#8953=EDGE_LOOP('',(#37858,#37859,#37860,#37861));
#8954=EDGE_LOOP('',(#37862,#37863,#37864,#37865));
#8955=EDGE_LOOP('',(#37866,#37867,#37868,#37869));
#8956=EDGE_LOOP('',(#37870,#37871,#37872,#37873));
#8957=EDGE_LOOP('',(#37874,#37875,#37876,#37877));
#8958=EDGE_LOOP('',(#37878,#37879,#37880,#37881));
#8959=EDGE_LOOP('',(#37882,#37883,#37884,#37885));
#8960=EDGE_LOOP('',(#37886,#37887,#37888,#37889));
#8961=EDGE_LOOP('',(#37890,#37891,#37892,#37893));
#8962=EDGE_LOOP('',(#37894,#37895,#37896,#37897));
#8963=EDGE_LOOP('',(#37898,#37899,#37900,#37901,#37902,#37903,#37904,#37905,
#37906,#37907));
#8964=EDGE_LOOP('',(#37908,#37909,#37910,#37911));
#8965=EDGE_LOOP('',(#37912,#37913,#37914,#37915));
#8966=EDGE_LOOP('',(#37916,#37917,#37918,#37919));
#8967=EDGE_LOOP('',(#37920,#37921,#37922,#37923));
#8968=EDGE_LOOP('',(#37924,#37925,#37926,#37927));
#8969=EDGE_LOOP('',(#37928,#37929,#37930,#37931));
#8970=EDGE_LOOP('',(#37932,#37933,#37934,#37935));
#8971=EDGE_LOOP('',(#37936,#37937,#37938,#37939));
#8972=EDGE_LOOP('',(#37940,#37941,#37942,#37943));
#8973=EDGE_LOOP('',(#37944,#37945,#37946,#37947));
#8974=EDGE_LOOP('',(#37948,#37949,#37950,#37951));
#8975=EDGE_LOOP('',(#37952,#37953,#37954,#37955));
#8976=EDGE_LOOP('',(#37956,#37957,#37958,#37959));
#8977=EDGE_LOOP('',(#37960,#37961,#37962,#37963));
#8978=EDGE_LOOP('',(#37964,#37965,#37966,#37967));
#8979=EDGE_LOOP('',(#37968,#37969,#37970,#37971));
#8980=EDGE_LOOP('',(#37972,#37973,#37974,#37975));
#8981=EDGE_LOOP('',(#37976,#37977,#37978,#37979));
#8982=EDGE_LOOP('',(#37980,#37981,#37982,#37983));
#8983=EDGE_LOOP('',(#37984,#37985,#37986,#37987));
#8984=EDGE_LOOP('',(#37988,#37989,#37990,#37991));
#8985=EDGE_LOOP('',(#37992,#37993,#37994,#37995,#37996,#37997,#37998,#37999,
#38000,#38001,#38002,#38003,#38004,#38005,#38006,#38007,#38008,#38009,#38010,
#38011,#38012));
#8986=EDGE_LOOP('',(#38013,#38014,#38015,#38016));
#8987=EDGE_LOOP('',(#38017,#38018,#38019,#38020));
#8988=EDGE_LOOP('',(#38021,#38022,#38023,#38024));
#8989=EDGE_LOOP('',(#38025,#38026,#38027,#38028));
#8990=EDGE_LOOP('',(#38029,#38030,#38031,#38032));
#8991=EDGE_LOOP('',(#38033,#38034,#38035,#38036));
#8992=EDGE_LOOP('',(#38037,#38038,#38039,#38040));
#8993=EDGE_LOOP('',(#38041,#38042,#38043,#38044));
#8994=EDGE_LOOP('',(#38045,#38046,#38047,#38048));
#8995=EDGE_LOOP('',(#38049,#38050,#38051,#38052));
#8996=EDGE_LOOP('',(#38053,#38054,#38055,#38056));
#8997=EDGE_LOOP('',(#38057,#38058,#38059,#38060));
#8998=EDGE_LOOP('',(#38061,#38062,#38063,#38064));
#8999=EDGE_LOOP('',(#38065,#38066,#38067,#38068));
#9000=EDGE_LOOP('',(#38069,#38070,#38071,#38072,#38073,#38074,#38075,#38076,
#38077,#38078,#38079));
#9001=EDGE_LOOP('',(#38080,#38081,#38082));
#9002=EDGE_LOOP('',(#38083,#38084,#38085,#38086));
#9003=EDGE_LOOP('',(#38087,#38088,#38089,#38090));
#9004=EDGE_LOOP('',(#38091,#38092,#38093,#38094));
#9005=EDGE_LOOP('',(#38095,#38096,#38097,#38098));
#9006=EDGE_LOOP('',(#38099,#38100,#38101,#38102));
#9007=EDGE_LOOP('',(#38103,#38104,#38105,#38106));
#9008=EDGE_LOOP('',(#38107,#38108,#38109,#38110));
#9009=EDGE_LOOP('',(#38111,#38112,#38113,#38114));
#9010=EDGE_LOOP('',(#38115,#38116,#38117,#38118));
#9011=EDGE_LOOP('',(#38119,#38120,#38121,#38122));
#9012=EDGE_LOOP('',(#38123,#38124,#38125,#38126));
#9013=EDGE_LOOP('',(#38127,#38128,#38129,#38130));
#9014=EDGE_LOOP('',(#38131,#38132,#38133,#38134));
#9015=EDGE_LOOP('',(#38135,#38136,#38137,#38138));
#9016=EDGE_LOOP('',(#38139,#38140,#38141,#38142));
#9017=EDGE_LOOP('',(#38143,#38144,#38145,#38146));
#9018=EDGE_LOOP('',(#38147,#38148,#38149,#38150));
#9019=EDGE_LOOP('',(#38151,#38152,#38153,#38154));
#9020=EDGE_LOOP('',(#38155,#38156,#38157,#38158));
#9021=EDGE_LOOP('',(#38159,#38160,#38161,#38162));
#9022=EDGE_LOOP('',(#38163,#38164,#38165,#38166));
#9023=EDGE_LOOP('',(#38167,#38168,#38169,#38170));
#9024=EDGE_LOOP('',(#38171,#38172,#38173,#38174));
#9025=EDGE_LOOP('',(#38175,#38176,#38177,#38178));
#9026=EDGE_LOOP('',(#38179,#38180,#38181,#38182));
#9027=EDGE_LOOP('',(#38183,#38184,#38185,#38186));
#9028=EDGE_LOOP('',(#38187,#38188,#38189,#38190));
#9029=EDGE_LOOP('',(#38191,#38192,#38193,#38194));
#9030=EDGE_LOOP('',(#38195,#38196,#38197,#38198));
#9031=EDGE_LOOP('',(#38199,#38200,#38201,#38202));
#9032=EDGE_LOOP('',(#38203,#38204,#38205,#38206));
#9033=EDGE_LOOP('',(#38207,#38208,#38209,#38210));
#9034=EDGE_LOOP('',(#38211,#38212,#38213,#38214));
#9035=EDGE_LOOP('',(#38215,#38216,#38217,#38218));
#9036=EDGE_LOOP('',(#38219,#38220,#38221,#38222));
#9037=EDGE_LOOP('',(#38223,#38224,#38225,#38226));
#9038=EDGE_LOOP('',(#38227,#38228,#38229,#38230));
#9039=EDGE_LOOP('',(#38231,#38232,#38233,#38234));
#9040=EDGE_LOOP('',(#38235,#38236,#38237,#38238));
#9041=EDGE_LOOP('',(#38239,#38240,#38241,#38242));
#9042=EDGE_LOOP('',(#38243,#38244,#38245,#38246,#38247,#38248,#38249,#38250,
#38251,#38252,#38253,#38254,#38255,#38256,#38257,#38258,#38259,#38260,#38261,
#38262,#38263,#38264,#38265,#38266,#38267,#38268,#38269,#38270,#38271,#38272));
#9043=EDGE_LOOP('',(#38273,#38274,#38275,#38276,#38277,#38278,#38279,#38280,
#38281,#38282));
#9044=EDGE_LOOP('',(#38283,#38284,#38285,#38286,#38287,#38288,#38289,#38290,
#38291,#38292));
#9045=EDGE_LOOP('',(#38293));
#9046=EDGE_LOOP('',(#38294));
#9047=EDGE_LOOP('',(#38295));
#9048=EDGE_LOOP('',(#38296));
#9049=EDGE_LOOP('',(#38297));
#9050=EDGE_LOOP('',(#38298));
#9051=EDGE_LOOP('',(#38299,#38300,#38301,#38302));
#9052=EDGE_LOOP('',(#38303,#38304,#38305,#38306));
#9053=EDGE_LOOP('',(#38307,#38308,#38309,#38310));
#9054=EDGE_LOOP('',(#38311,#38312,#38313,#38314,#38315,#38316,#38317,#38318,
#38319,#38320,#38321,#38322,#38323,#38324,#38325,#38326));
#9055=EDGE_LOOP('',(#38327,#38328,#38329,#38330));
#9056=EDGE_LOOP('',(#38331,#38332,#38333,#38334));
#9057=EDGE_LOOP('',(#38335,#38336,#38337,#38338));
#9058=EDGE_LOOP('',(#38339,#38340,#38341,#38342,#38343,#38344,#38345,#38346));
#9059=EDGE_LOOP('',(#38347,#38348,#38349,#38350,#38351,#38352,#38353,#38354));
#9060=EDGE_LOOP('',(#38355,#38356,#38357,#38358,#38359,#38360,#38361,#38362));
#9061=EDGE_LOOP('',(#38363,#38364,#38365,#38366,#38367,#38368,#38369,#38370));
#9062=EDGE_LOOP('',(#38371,#38372,#38373,#38374,#38375,#38376,#38377,#38378));
#9063=EDGE_LOOP('',(#38379,#38380,#38381,#38382,#38383,#38384,#38385,#38386));
#9064=EDGE_LOOP('',(#38387,#38388,#38389,#38390));
#9065=EDGE_LOOP('',(#38391,#38392,#38393,#38394));
#9066=EDGE_LOOP('',(#38395,#38396,#38397,#38398));
#9067=EDGE_LOOP('',(#38399,#38400,#38401,#38402));
#9068=EDGE_LOOP('',(#38403,#38404,#38405,#38406));
#9069=EDGE_LOOP('',(#38407,#38408,#38409,#38410));
#9070=EDGE_LOOP('',(#38411,#38412,#38413,#38414));
#9071=EDGE_LOOP('',(#38415,#38416,#38417,#38418));
#9072=EDGE_LOOP('',(#38419,#38420,#38421,#38422));
#9073=EDGE_LOOP('',(#38423,#38424,#38425,#38426));
#9074=EDGE_LOOP('',(#38427,#38428,#38429,#38430));
#9075=EDGE_LOOP('',(#38431,#38432,#38433,#38434));
#9076=EDGE_LOOP('',(#38435,#38436,#38437,#38438));
#9077=EDGE_LOOP('',(#38439,#38440,#38441,#38442));
#9078=EDGE_LOOP('',(#38443,#38444,#38445,#38446));
#9079=EDGE_LOOP('',(#38447,#38448,#38449,#38450));
#9080=EDGE_LOOP('',(#38451,#38452,#38453,#38454));
#9081=EDGE_LOOP('',(#38455,#38456,#38457,#38458));
#9082=EDGE_LOOP('',(#38459,#38460,#38461,#38462));
#9083=EDGE_LOOP('',(#38463,#38464,#38465,#38466));
#9084=EDGE_LOOP('',(#38467,#38468,#38469,#38470,#38471,#38472));
#9085=EDGE_LOOP('',(#38473,#38474,#38475,#38476));
#9086=EDGE_LOOP('',(#38477,#38478,#38479,#38480));
#9087=EDGE_LOOP('',(#38481,#38482,#38483,#38484));
#9088=EDGE_LOOP('',(#38485));
#9089=EDGE_LOOP('',(#38486,#38487,#38488,#38489));
#9090=EDGE_LOOP('',(#38490,#38491,#38492,#38493));
#9091=EDGE_LOOP('',(#38494,#38495,#38496,#38497,#38498,#38499));
#9092=EDGE_LOOP('',(#38500,#38501,#38502,#38503));
#9093=EDGE_LOOP('',(#38504,#38505,#38506,#38507));
#9094=EDGE_LOOP('',(#38508,#38509,#38510,#38511));
#9095=EDGE_LOOP('',(#38512));
#9096=EDGE_LOOP('',(#38513,#38514,#38515,#38516));
#9097=EDGE_LOOP('',(#38517,#38518,#38519,#38520));
#9098=EDGE_LOOP('',(#38521,#38522,#38523,#38524,#38525,#38526));
#9099=EDGE_LOOP('',(#38527,#38528,#38529,#38530));
#9100=EDGE_LOOP('',(#38531,#38532,#38533,#38534));
#9101=EDGE_LOOP('',(#38535,#38536,#38537,#38538));
#9102=EDGE_LOOP('',(#38539));
#9103=EDGE_LOOP('',(#38540,#38541,#38542,#38543));
#9104=EDGE_LOOP('',(#38544,#38545,#38546,#38547));
#9105=EDGE_LOOP('',(#38548,#38549,#38550,#38551,#38552,#38553));
#9106=EDGE_LOOP('',(#38554,#38555,#38556,#38557));
#9107=EDGE_LOOP('',(#38558,#38559,#38560,#38561));
#9108=EDGE_LOOP('',(#38562,#38563,#38564,#38565));
#9109=EDGE_LOOP('',(#38566));
#9110=EDGE_LOOP('',(#38567,#38568,#38569,#38570));
#9111=EDGE_LOOP('',(#38571,#38572,#38573,#38574));
#9112=EDGE_LOOP('',(#38575,#38576,#38577,#38578));
#9113=EDGE_LOOP('',(#38579,#38580,#38581,#38582));
#9114=EDGE_LOOP('',(#38583,#38584,#38585,#38586,#38587,#38588));
#9115=EDGE_LOOP('',(#38589,#38590,#38591,#38592));
#9116=EDGE_LOOP('',(#38593));
#9117=EDGE_LOOP('',(#38594,#38595,#38596,#38597));
#9118=EDGE_LOOP('',(#38598,#38599,#38600,#38601));
#9119=EDGE_LOOP('',(#38602,#38603,#38604,#38605,#38606,#38607));
#9120=EDGE_LOOP('',(#38608,#38609,#38610,#38611));
#9121=EDGE_LOOP('',(#38612,#38613,#38614,#38615));
#9122=EDGE_LOOP('',(#38616,#38617,#38618,#38619));
#9123=EDGE_LOOP('',(#38620));
#9124=EDGE_LOOP('',(#38621,#38622,#38623,#38624));
#9125=EDGE_LOOP('',(#38625,#38626,#38627,#38628));
#9126=EDGE_LOOP('',(#38629,#38630,#38631,#38632));
#9127=EDGE_LOOP('',(#38633,#38634,#38635,#38636));
#9128=EDGE_LOOP('',(#38637,#38638,#38639,#38640,#38641));
#9129=EDGE_LOOP('',(#38642));
#9130=EDGE_LOOP('',(#38643,#38644,#38645,#38646));
#9131=EDGE_LOOP('',(#38647,#38648,#38649,#38650));
#9132=EDGE_LOOP('',(#38651,#38652,#38653,#38654));
#9133=EDGE_LOOP('',(#38655,#38656,#38657,#38658));
#9134=EDGE_LOOP('',(#38659,#38660,#38661,#38662,#38663));
#9135=EDGE_LOOP('',(#38664));
#9136=EDGE_LOOP('',(#38665,#38666,#38667,#38668,#38669,#38670,#38671,#38672));
#9137=EDGE_LOOP('',(#38673));
#9138=EDGE_LOOP('',(#38674,#38675,#38676,#38677));
#9139=EDGE_LOOP('',(#38678,#38679,#38680,#38681));
#9140=EDGE_LOOP('',(#38682,#38683,#38684,#38685));
#9141=EDGE_LOOP('',(#38686,#38687,#38688,#38689));
#9142=EDGE_LOOP('',(#38690,#38691,#38692,#38693,#38694));
#9143=EDGE_LOOP('',(#38695));
#9144=EDGE_LOOP('',(#38696,#38697,#38698,#38699,#38700,#38701,#38702,#38703));
#9145=EDGE_LOOP('',(#38704));
#9146=EDGE_LOOP('',(#38705,#38706,#38707,#38708));
#9147=EDGE_LOOP('',(#38709,#38710,#38711,#38712));
#9148=EDGE_LOOP('',(#38713,#38714,#38715,#38716));
#9149=EDGE_LOOP('',(#38717,#38718,#38719,#38720));
#9150=EDGE_LOOP('',(#38721,#38722,#38723,#38724,#38725));
#9151=EDGE_LOOP('',(#38726));
#9152=EDGE_LOOP('',(#38727));
#9153=EDGE_LOOP('',(#38728,#38729,#38730,#38731));
#9154=EDGE_LOOP('',(#38732,#38733,#38734,#38735));
#9155=EDGE_LOOP('',(#38736,#38737,#38738,#38739));
#9156=EDGE_LOOP('',(#38740,#38741,#38742,#38743));
#9157=EDGE_LOOP('',(#38744,#38745,#38746,#38747));
#9158=EDGE_LOOP('',(#38748,#38749,#38750,#38751));
#9159=EDGE_LOOP('',(#38752,#38753,#38754,#38755));
#9160=EDGE_LOOP('',(#38756,#38757,#38758,#38759));
#9161=EDGE_LOOP('',(#38760,#38761,#38762,#38763));
#9162=EDGE_LOOP('',(#38764,#38765,#38766,#38767));
#9163=EDGE_LOOP('',(#38768,#38769,#38770,#38771));
#9164=EDGE_LOOP('',(#38772,#38773,#38774,#38775));
#9165=EDGE_LOOP('',(#38776,#38777,#38778,#38779));
#9166=EDGE_LOOP('',(#38780,#38781,#38782,#38783));
#9167=EDGE_LOOP('',(#38784,#38785,#38786,#38787));
#9168=EDGE_LOOP('',(#38788,#38789,#38790,#38791));
#9169=EDGE_LOOP('',(#38792,#38793,#38794,#38795));
#9170=EDGE_LOOP('',(#38796,#38797,#38798,#38799));
#9171=EDGE_LOOP('',(#38800,#38801,#38802,#38803));
#9172=EDGE_LOOP('',(#38804,#38805,#38806,#38807));
#9173=EDGE_LOOP('',(#38808,#38809,#38810,#38811));
#9174=EDGE_LOOP('',(#38812,#38813,#38814,#38815));
#9175=EDGE_LOOP('',(#38816,#38817,#38818,#38819));
#9176=EDGE_LOOP('',(#38820,#38821,#38822,#38823));
#9177=EDGE_LOOP('',(#38824,#38825,#38826,#38827));
#9178=EDGE_LOOP('',(#38828,#38829,#38830,#38831));
#9179=EDGE_LOOP('',(#38832,#38833,#38834,#38835));
#9180=EDGE_LOOP('',(#38836,#38837,#38838,#38839));
#9181=EDGE_LOOP('',(#38840,#38841,#38842,#38843));
#9182=EDGE_LOOP('',(#38844,#38845,#38846,#38847));
#9183=EDGE_LOOP('',(#38848,#38849,#38850,#38851));
#9184=EDGE_LOOP('',(#38852,#38853,#38854,#38855));
#9185=EDGE_LOOP('',(#38856,#38857,#38858,#38859));
#9186=EDGE_LOOP('',(#38860,#38861,#38862,#38863));
#9187=EDGE_LOOP('',(#38864,#38865,#38866,#38867));
#9188=EDGE_LOOP('',(#38868,#38869,#38870,#38871));
#9189=EDGE_LOOP('',(#38872,#38873,#38874,#38875));
#9190=EDGE_LOOP('',(#38876,#38877,#38878,#38879));
#9191=EDGE_LOOP('',(#38880,#38881,#38882,#38883));
#9192=EDGE_LOOP('',(#38884,#38885,#38886,#38887));
#9193=EDGE_LOOP('',(#38888,#38889,#38890,#38891));
#9194=EDGE_LOOP('',(#38892,#38893,#38894,#38895));
#9195=EDGE_LOOP('',(#38896,#38897,#38898,#38899));
#9196=EDGE_LOOP('',(#38900,#38901,#38902,#38903));
#9197=EDGE_LOOP('',(#38904,#38905,#38906,#38907));
#9198=EDGE_LOOP('',(#38908,#38909,#38910,#38911));
#9199=EDGE_LOOP('',(#38912,#38913,#38914,#38915));
#9200=EDGE_LOOP('',(#38916,#38917,#38918,#38919));
#9201=EDGE_LOOP('',(#38920,#38921,#38922,#38923));
#9202=EDGE_LOOP('',(#38924,#38925,#38926));
#9203=EDGE_LOOP('',(#38927));
#9204=LINE('',#57839,#13303);
#9205=LINE('',#57841,#13304);
#9206=LINE('',#57843,#13305);
#9207=LINE('',#57844,#13306);
#9208=LINE('',#57847,#13307);
#9209=LINE('',#57849,#13308);
#9210=LINE('',#57850,#13309);
#9211=LINE('',#57853,#13310);
#9212=LINE('',#57855,#13311);
#9213=LINE('',#57856,#13312);
#9214=LINE('',#57858,#13313);
#9215=LINE('',#57859,#13314);
#9216=LINE('',#57865,#13315);
#9217=LINE('',#57867,#13316);
#9218=LINE('',#57869,#13317);
#9219=LINE('',#57870,#13318);
#9220=LINE('',#57873,#13319);
#9221=LINE('',#57875,#13320);
#9222=LINE('',#57876,#13321);
#9223=LINE('',#57879,#13322);
#9224=LINE('',#57881,#13323);
#9225=LINE('',#57882,#13324);
#9226=LINE('',#57884,#13325);
#9227=LINE('',#57885,#13326);
#9228=LINE('',#57890,#13327);
#9229=LINE('',#57892,#13328);
#9230=LINE('',#57894,#13329);
#9231=LINE('',#57895,#13330);
#9232=LINE('',#57898,#13331);
#9233=LINE('',#57900,#13332);
#9234=LINE('',#57901,#13333);
#9235=LINE('',#57904,#13334);
#9236=LINE('',#57906,#13335);
#9237=LINE('',#57907,#13336);
#9238=LINE('',#57909,#13337);
#9239=LINE('',#57910,#13338);
#9240=LINE('',#57916,#13339);
#9241=LINE('',#57918,#13340);
#9242=LINE('',#57920,#13341);
#9243=LINE('',#57921,#13342);
#9244=LINE('',#57924,#13343);
#9245=LINE('',#57926,#13344);
#9246=LINE('',#57927,#13345);
#9247=LINE('',#57930,#13346);
#9248=LINE('',#57932,#13347);
#9249=LINE('',#57933,#13348);
#9250=LINE('',#57935,#13349);
#9251=LINE('',#57936,#13350);
#9252=LINE('',#57942,#13351);
#9253=LINE('',#57944,#13352);
#9254=LINE('',#57946,#13353);
#9255=LINE('',#57947,#13354);
#9256=LINE('',#57950,#13355);
#9257=LINE('',#57952,#13356);
#9258=LINE('',#57953,#13357);
#9259=LINE('',#57956,#13358);
#9260=LINE('',#57958,#13359);
#9261=LINE('',#57959,#13360);
#9262=LINE('',#57961,#13361);
#9263=LINE('',#57962,#13362);
#9264=LINE('',#57968,#13363);
#9265=LINE('',#57970,#13364);
#9266=LINE('',#57972,#13365);
#9267=LINE('',#57973,#13366);
#9268=LINE('',#57976,#13367);
#9269=LINE('',#57978,#13368);
#9270=LINE('',#57979,#13369);
#9271=LINE('',#57982,#13370);
#9272=LINE('',#57984,#13371);
#9273=LINE('',#57985,#13372);
#9274=LINE('',#57987,#13373);
#9275=LINE('',#57988,#13374);
#9276=LINE('',#57994,#13375);
#9277=LINE('',#57996,#13376);
#9278=LINE('',#57998,#13377);
#9279=LINE('',#57999,#13378);
#9280=LINE('',#58002,#13379);
#9281=LINE('',#58004,#13380);
#9282=LINE('',#58005,#13381);
#9283=LINE('',#58008,#13382);
#9284=LINE('',#58010,#13383);
#9285=LINE('',#58011,#13384);
#9286=LINE('',#58013,#13385);
#9287=LINE('',#58014,#13386);
#9288=LINE('',#58020,#13387);
#9289=LINE('',#58022,#13388);
#9290=LINE('',#58024,#13389);
#9291=LINE('',#58025,#13390);
#9292=LINE('',#58028,#13391);
#9293=LINE('',#58030,#13392);
#9294=LINE('',#58031,#13393);
#9295=LINE('',#58034,#13394);
#9296=LINE('',#58036,#13395);
#9297=LINE('',#58037,#13396);
#9298=LINE('',#58039,#13397);
#9299=LINE('',#58040,#13398);
#9300=LINE('',#58046,#13399);
#9301=LINE('',#58048,#13400);
#9302=LINE('',#58050,#13401);
#9303=LINE('',#58051,#13402);
#9304=LINE('',#58054,#13403);
#9305=LINE('',#58056,#13404);
#9306=LINE('',#58057,#13405);
#9307=LINE('',#58060,#13406);
#9308=LINE('',#58062,#13407);
#9309=LINE('',#58063,#13408);
#9310=LINE('',#58065,#13409);
#9311=LINE('',#58066,#13410);
#9312=LINE('',#58076,#13411);
#9313=LINE('',#58083,#13412);
#9314=LINE('',#58090,#13413);
#9315=LINE('',#58097,#13414);
#9316=LINE('',#58104,#13415);
#9317=LINE('',#58111,#13416);
#9318=LINE('',#58117,#13417);
#9319=LINE('',#58122,#13418);
#9320=LINE('',#58127,#13419);
#9321=LINE('',#58134,#13420);
#9322=LINE('',#58136,#13421);
#9323=LINE('',#58137,#13422);
#9324=LINE('',#58140,#13423);
#9325=LINE('',#58142,#13424);
#9326=LINE('',#58143,#13425);
#9327=LINE('',#58147,#13426);
#9328=LINE('',#58149,#13427);
#9329=LINE('',#58151,#13428);
#9330=LINE('',#58152,#13429);
#9331=LINE('',#58155,#13430);
#9332=LINE('',#58157,#13431);
#9333=LINE('',#58158,#13432);
#9334=LINE('',#58161,#13433);
#9335=LINE('',#58163,#13434);
#9336=LINE('',#58164,#13435);
#9337=LINE('',#58167,#13436);
#9338=LINE('',#58169,#13437);
#9339=LINE('',#58170,#13438);
#9340=LINE('',#58173,#13439);
#9341=LINE('',#58175,#13440);
#9342=LINE('',#58176,#13441);
#9343=LINE('',#58178,#13442);
#9344=LINE('',#58179,#13443);
#9345=LINE('',#58181,#13444);
#9346=LINE('',#58182,#13445);
#9347=LINE('',#58188,#13446);
#9348=LINE('',#58190,#13447);
#9349=LINE('',#58192,#13448);
#9350=LINE('',#58193,#13449);
#9351=LINE('',#58196,#13450);
#9352=LINE('',#58198,#13451);
#9353=LINE('',#58199,#13452);
#9354=LINE('',#58202,#13453);
#9355=LINE('',#58204,#13454);
#9356=LINE('',#58205,#13455);
#9357=LINE('',#58207,#13456);
#9358=LINE('',#58208,#13457);
#9359=LINE('',#58214,#13458);
#9360=LINE('',#58216,#13459);
#9361=LINE('',#58218,#13460);
#9362=LINE('',#58219,#13461);
#9363=LINE('',#58222,#13462);
#9364=LINE('',#58224,#13463);
#9365=LINE('',#58225,#13464);
#9366=LINE('',#58228,#13465);
#9367=LINE('',#58230,#13466);
#9368=LINE('',#58231,#13467);
#9369=LINE('',#58233,#13468);
#9370=LINE('',#58234,#13469);
#9371=LINE('',#58240,#13470);
#9372=LINE('',#58242,#13471);
#9373=LINE('',#58244,#13472);
#9374=LINE('',#58245,#13473);
#9375=LINE('',#58248,#13474);
#9376=LINE('',#58250,#13475);
#9377=LINE('',#58251,#13476);
#9378=LINE('',#58254,#13477);
#9379=LINE('',#58256,#13478);
#9380=LINE('',#58257,#13479);
#9381=LINE('',#58259,#13480);
#9382=LINE('',#58260,#13481);
#9383=LINE('',#58266,#13482);
#9384=LINE('',#58268,#13483);
#9385=LINE('',#58270,#13484);
#9386=LINE('',#58271,#13485);
#9387=LINE('',#58274,#13486);
#9388=LINE('',#58276,#13487);
#9389=LINE('',#58277,#13488);
#9390=LINE('',#58280,#13489);
#9391=LINE('',#58282,#13490);
#9392=LINE('',#58283,#13491);
#9393=LINE('',#58285,#13492);
#9394=LINE('',#58286,#13493);
#9395=LINE('',#58292,#13494);
#9396=LINE('',#58294,#13495);
#9397=LINE('',#58296,#13496);
#9398=LINE('',#58297,#13497);
#9399=LINE('',#58300,#13498);
#9400=LINE('',#58302,#13499);
#9401=LINE('',#58303,#13500);
#9402=LINE('',#58306,#13501);
#9403=LINE('',#58308,#13502);
#9404=LINE('',#58309,#13503);
#9405=LINE('',#58311,#13504);
#9406=LINE('',#58312,#13505);
#9407=LINE('',#58323,#13506);
#9408=LINE('',#58324,#13507);
#9409=LINE('',#58330,#13508);
#9410=LINE('',#58346,#13509);
#9411=LINE('',#58358,#13510);
#9412=LINE('',#58361,#13511);
#9413=LINE('',#58366,#13512);
#9414=LINE('',#58372,#13513);
#9415=LINE('',#58375,#13514);
#9416=LINE('',#58391,#13515);
#9417=LINE('',#58392,#13516);
#9418=LINE('',#58605,#13517);
#9419=LINE('',#58838,#13518);
#9420=LINE('',#58839,#13519);
#9421=LINE('',#59041,#13520);
#9422=LINE('',#59044,#13521);
#9423=LINE('',#59049,#13522);
#9424=LINE('',#59053,#13523);
#9425=LINE('',#59057,#13524);
#9426=LINE('',#59061,#13525);
#9427=LINE('',#59065,#13526);
#9428=LINE('',#59069,#13527);
#9429=LINE('',#59073,#13528);
#9430=LINE('',#59076,#13529);
#9431=LINE('',#59081,#13530);
#9432=LINE('',#59085,#13531);
#9433=LINE('',#59089,#13532);
#9434=LINE('',#59090,#13533);
#9435=LINE('',#59093,#13534);
#9436=LINE('',#59094,#13535);
#9437=LINE('',#59097,#13536);
#9438=LINE('',#59098,#13537);
#9439=LINE('',#59101,#13538);
#9440=LINE('',#59102,#13539);
#9441=LINE('',#59103,#13540);
#9442=LINE('',#59105,#13541);
#9443=LINE('',#59106,#13542);
#9444=LINE('',#59108,#13543);
#9445=LINE('',#59109,#13544);
#9446=LINE('',#59111,#13545);
#9447=LINE('',#59114,#13546);
#9448=LINE('',#59115,#13547);
#9449=LINE('',#59117,#13548);
#9450=LINE('',#59120,#13549);
#9451=LINE('',#59121,#13550);
#9452=LINE('',#59124,#13551);
#9453=LINE('',#59134,#13552);
#9454=LINE('',#59136,#13553);
#9455=LINE('',#59138,#13554);
#9456=LINE('',#59139,#13555);
#9457=LINE('',#59144,#13556);
#9458=LINE('',#59149,#13557);
#9459=LINE('',#59156,#13558);
#9460=LINE('',#59160,#13559);
#9461=LINE('',#59163,#13560);
#9462=LINE('',#59175,#13561);
#9463=LINE('',#59180,#13562);
#9464=LINE('',#59181,#13563);
#9465=LINE('',#59184,#13564);
#9466=LINE('',#59186,#13565);
#9467=LINE('',#59187,#13566);
#9468=LINE('',#59192,#13567);
#9469=LINE('',#59193,#13568);
#9470=LINE('',#59197,#13569);
#9471=LINE('',#59200,#13570);
#9472=LINE('',#59203,#13571);
#9473=LINE('',#59206,#13572);
#9474=LINE('',#59212,#13573);
#9475=LINE('',#59233,#13574);
#9476=LINE('',#59237,#13575);
#9477=LINE('',#59241,#13576);
#9478=LINE('',#59243,#13577);
#9479=LINE('',#59244,#13578);
#9480=LINE('',#59247,#13579);
#9481=LINE('',#59249,#13580);
#9482=LINE('',#59250,#13581);
#9483=LINE('',#59253,#13582);
#9484=LINE('',#59255,#13583);
#9485=LINE('',#59259,#13584);
#9486=LINE('',#59263,#13585);
#9487=LINE('',#59265,#13586);
#9488=LINE('',#59267,#13587);
#9489=LINE('',#59271,#13588);
#9490=LINE('',#59275,#13589);
#9491=LINE('',#59277,#13590);
#9492=LINE('',#59279,#13591);
#9493=LINE('',#59283,#13592);
#9494=LINE('',#59286,#13593);
#9495=LINE('',#59290,#13594);
#9496=LINE('',#59292,#13595);
#9497=LINE('',#59293,#13596);
#9498=LINE('',#59295,#13597);
#9499=LINE('',#59297,#13598);
#9500=LINE('',#59299,#13599);
#9501=LINE('',#59301,#13600);
#9502=LINE('',#59303,#13601);
#9503=LINE('',#59305,#13602);
#9504=LINE('',#59307,#13603);
#9505=LINE('',#59309,#13604);
#9506=LINE('',#59311,#13605);
#9507=LINE('',#59313,#13606);
#9508=LINE('',#59315,#13607);
#9509=LINE('',#59317,#13608);
#9510=LINE('',#59319,#13609);
#9511=LINE('',#59321,#13610);
#9512=LINE('',#59323,#13611);
#9513=LINE('',#59325,#13612);
#9514=LINE('',#59327,#13613);
#9515=LINE('',#59329,#13614);
#9516=LINE('',#59331,#13615);
#9517=LINE('',#59333,#13616);
#9518=LINE('',#59335,#13617);
#9519=LINE('',#59337,#13618);
#9520=LINE('',#59339,#13619);
#9521=LINE('',#59340,#13620);
#9522=LINE('',#59342,#13621);
#9523=LINE('',#59345,#13622);
#9524=LINE('',#59346,#13623);
#9525=LINE('',#59349,#13624);
#9526=LINE('',#59351,#13625);
#9527=LINE('',#59352,#13626);
#9528=LINE('',#59353,#13627);
#9529=LINE('',#59355,#13628);
#9530=LINE('',#59357,#13629);
#9531=LINE('',#59359,#13630);
#9532=LINE('',#59361,#13631);
#9533=LINE('',#59363,#13632);
#9534=LINE('',#59367,#13633);
#9535=LINE('',#59368,#13634);
#9536=LINE('',#59371,#13635);
#9537=LINE('',#59373,#13636);
#9538=LINE('',#59375,#13637);
#9539=LINE('',#59377,#13638);
#9540=LINE('',#59379,#13639);
#9541=LINE('',#59381,#13640);
#9542=LINE('',#59382,#13641);
#9543=LINE('',#59385,#13642);
#9544=LINE('',#59386,#13643);
#9545=LINE('',#59389,#13644);
#9546=LINE('',#59390,#13645);
#9547=LINE('',#59393,#13646);
#9548=LINE('',#59395,#13647);
#9549=LINE('',#59396,#13648);
#9550=LINE('',#59399,#13649);
#9551=LINE('',#59401,#13650);
#9552=LINE('',#59402,#13651);
#9553=LINE('',#59405,#13652);
#9554=LINE('',#59407,#13653);
#9555=LINE('',#59408,#13654);
#9556=LINE('',#59411,#13655);
#9557=LINE('',#59413,#13656);
#9558=LINE('',#59414,#13657);
#9559=LINE('',#59417,#13658);
#9560=LINE('',#59419,#13659);
#9561=LINE('',#59420,#13660);
#9562=LINE('',#59423,#13661);
#9563=LINE('',#59425,#13662);
#9564=LINE('',#59426,#13663);
#9565=LINE('',#59429,#13664);
#9566=LINE('',#59431,#13665);
#9567=LINE('',#59432,#13666);
#9568=LINE('',#59435,#13667);
#9569=LINE('',#59437,#13668);
#9570=LINE('',#59438,#13669);
#9571=LINE('',#59441,#13670);
#9572=LINE('',#59443,#13671);
#9573=LINE('',#59444,#13672);
#9574=LINE('',#59447,#13673);
#9575=LINE('',#59449,#13674);
#9576=LINE('',#59450,#13675);
#9577=LINE('',#59453,#13676);
#9578=LINE('',#59455,#13677);
#9579=LINE('',#59456,#13678);
#9580=LINE('',#59459,#13679);
#9581=LINE('',#59461,#13680);
#9582=LINE('',#59462,#13681);
#9583=LINE('',#59465,#13682);
#9584=LINE('',#59467,#13683);
#9585=LINE('',#59468,#13684);
#9586=LINE('',#59471,#13685);
#9587=LINE('',#59473,#13686);
#9588=LINE('',#59474,#13687);
#9589=LINE('',#59477,#13688);
#9590=LINE('',#59479,#13689);
#9591=LINE('',#59480,#13690);
#9592=LINE('',#59483,#13691);
#9593=LINE('',#59485,#13692);
#9594=LINE('',#59486,#13693);
#9595=LINE('',#59489,#13694);
#9596=LINE('',#59491,#13695);
#9597=LINE('',#59492,#13696);
#9598=LINE('',#59495,#13697);
#9599=LINE('',#59497,#13698);
#9600=LINE('',#59498,#13699);
#9601=LINE('',#59501,#13700);
#9602=LINE('',#59503,#13701);
#9603=LINE('',#59504,#13702);
#9604=LINE('',#59507,#13703);
#9605=LINE('',#59509,#13704);
#9606=LINE('',#59510,#13705);
#9607=LINE('',#59513,#13706);
#9608=LINE('',#59515,#13707);
#9609=LINE('',#59516,#13708);
#9610=LINE('',#59519,#13709);
#9611=LINE('',#59521,#13710);
#9612=LINE('',#59522,#13711);
#9613=LINE('',#59525,#13712);
#9614=LINE('',#59526,#13713);
#9615=LINE('',#59529,#13714);
#9616=LINE('',#59530,#13715);
#9617=LINE('',#59534,#13716);
#9618=LINE('',#59536,#13717);
#9619=LINE('',#59538,#13718);
#9620=LINE('',#59540,#13719);
#9621=LINE('',#59542,#13720);
#9622=LINE('',#59544,#13721);
#9623=LINE('',#59546,#13722);
#9624=LINE('',#59548,#13723);
#9625=LINE('',#59550,#13724);
#9626=LINE('',#59552,#13725);
#9627=LINE('',#59554,#13726);
#9628=LINE('',#59556,#13727);
#9629=LINE('',#59558,#13728);
#9630=LINE('',#59560,#13729);
#9631=LINE('',#59562,#13730);
#9632=LINE('',#59564,#13731);
#9633=LINE('',#59566,#13732);
#9634=LINE('',#59568,#13733);
#9635=LINE('',#59570,#13734);
#9636=LINE('',#59572,#13735);
#9637=LINE('',#59574,#13736);
#9638=LINE('',#59576,#13737);
#9639=LINE('',#59578,#13738);
#9640=LINE('',#59579,#13739);
#9641=LINE('',#59581,#13740);
#9642=LINE('',#59582,#13741);
#9643=LINE('',#59584,#13742);
#9644=LINE('',#59587,#13743);
#9645=LINE('',#59591,#13744);
#9646=LINE('',#59595,#13745);
#9647=LINE('',#59597,#13746);
#9648=LINE('',#59599,#13747);
#9649=LINE('',#59603,#13748);
#9650=LINE('',#59607,#13749);
#9651=LINE('',#59609,#13750);
#9652=LINE('',#59611,#13751);
#9653=LINE('',#59613,#13752);
#9654=LINE('',#59615,#13753);
#9655=LINE('',#59617,#13754);
#9656=LINE('',#59619,#13755);
#9657=LINE('',#59621,#13756);
#9658=LINE('',#59623,#13757);
#9659=LINE('',#59625,#13758);
#9660=LINE('',#59627,#13759);
#9661=LINE('',#59629,#13760);
#9662=LINE('',#59631,#13761);
#9663=LINE('',#59633,#13762);
#9664=LINE('',#59635,#13763);
#9665=LINE('',#59637,#13764);
#9666=LINE('',#59639,#13765);
#9667=LINE('',#59641,#13766);
#9668=LINE('',#59643,#13767);
#9669=LINE('',#59645,#13768);
#9670=LINE('',#59647,#13769);
#9671=LINE('',#59649,#13770);
#9672=LINE('',#59651,#13771);
#9673=LINE('',#59653,#13772);
#9674=LINE('',#59655,#13773);
#9675=LINE('',#59656,#13774);
#9676=LINE('',#59659,#13775);
#9677=LINE('',#59660,#13776);
#9678=LINE('',#59663,#13777);
#9679=LINE('',#59665,#13778);
#9680=LINE('',#59667,#13779);
#9681=LINE('',#59669,#13780);
#9682=LINE('',#59671,#13781);
#9683=LINE('',#59673,#13782);
#9684=LINE('',#59675,#13783);
#9685=LINE('',#59677,#13784);
#9686=LINE('',#59679,#13785);
#9687=LINE('',#59681,#13786);
#9688=LINE('',#59683,#13787);
#9689=LINE('',#59685,#13788);
#9690=LINE('',#59687,#13789);
#9691=LINE('',#59689,#13790);
#9692=LINE('',#59691,#13791);
#9693=LINE('',#59693,#13792);
#9694=LINE('',#59695,#13793);
#9695=LINE('',#59697,#13794);
#9696=LINE('',#59699,#13795);
#9697=LINE('',#59701,#13796);
#9698=LINE('',#59703,#13797);
#9699=LINE('',#59705,#13798);
#9700=LINE('',#59707,#13799);
#9701=LINE('',#59709,#13800);
#9702=LINE('',#59711,#13801);
#9703=LINE('',#59712,#13802);
#9704=LINE('',#59715,#13803);
#9705=LINE('',#59716,#13804);
#9706=LINE('',#59719,#13805);
#9707=LINE('',#59721,#13806);
#9708=LINE('',#59723,#13807);
#9709=LINE('',#59725,#13808);
#9710=LINE('',#59727,#13809);
#9711=LINE('',#59729,#13810);
#9712=LINE('',#59731,#13811);
#9713=LINE('',#59733,#13812);
#9714=LINE('',#59735,#13813);
#9715=LINE('',#59737,#13814);
#9716=LINE('',#59739,#13815);
#9717=LINE('',#59741,#13816);
#9718=LINE('',#59743,#13817);
#9719=LINE('',#59745,#13818);
#9720=LINE('',#59747,#13819);
#9721=LINE('',#59749,#13820);
#9722=LINE('',#59751,#13821);
#9723=LINE('',#59753,#13822);
#9724=LINE('',#59755,#13823);
#9725=LINE('',#59757,#13824);
#9726=LINE('',#59759,#13825);
#9727=LINE('',#59761,#13826);
#9728=LINE('',#59763,#13827);
#9729=LINE('',#59765,#13828);
#9730=LINE('',#59766,#13829);
#9731=LINE('',#59769,#13830);
#9732=LINE('',#59770,#13831);
#9733=LINE('',#59775,#13832);
#9734=LINE('',#59779,#13833);
#9735=LINE('',#59781,#13834);
#9736=LINE('',#59783,#13835);
#9737=LINE('',#59787,#13836);
#9738=LINE('',#59790,#13837);
#9739=LINE('',#59792,#13838);
#9740=LINE('',#59793,#13839);
#9741=LINE('',#59796,#13840);
#9742=LINE('',#59800,#13841);
#9743=LINE('',#59804,#13842);
#9744=LINE('',#59806,#13843);
#9745=LINE('',#59808,#13844);
#9746=LINE('',#59812,#13845);
#9747=LINE('',#59815,#13846);
#9748=LINE('',#59820,#13847);
#9749=LINE('',#59824,#13848);
#9750=LINE('',#59826,#13849);
#9751=LINE('',#59828,#13850);
#9752=LINE('',#59832,#13851);
#9753=LINE('',#59835,#13852);
#9754=LINE('',#59837,#13853);
#9755=LINE('',#59838,#13854);
#9756=LINE('',#59841,#13855);
#9757=LINE('',#59845,#13856);
#9758=LINE('',#59849,#13857);
#9759=LINE('',#59851,#13858);
#9760=LINE('',#59853,#13859);
#9761=LINE('',#59857,#13860);
#9762=LINE('',#59860,#13861);
#9763=LINE('',#59863,#13862);
#9764=LINE('',#59867,#13863);
#9765=LINE('',#59871,#13864);
#9766=LINE('',#59873,#13865);
#9767=LINE('',#59875,#13866);
#9768=LINE('',#59879,#13867);
#9769=LINE('',#59882,#13868);
#9770=LINE('',#59884,#13869);
#9771=LINE('',#59885,#13870);
#9772=LINE('',#59888,#13871);
#9773=LINE('',#59892,#13872);
#9774=LINE('',#59896,#13873);
#9775=LINE('',#59898,#13874);
#9776=LINE('',#59900,#13875);
#9777=LINE('',#59904,#13876);
#9778=LINE('',#59910,#13877);
#9779=LINE('',#59914,#13878);
#9780=LINE('',#59916,#13879);
#9781=LINE('',#59918,#13880);
#9782=LINE('',#59922,#13881);
#9783=LINE('',#59925,#13882);
#9784=LINE('',#59927,#13883);
#9785=LINE('',#59928,#13884);
#9786=LINE('',#59931,#13885);
#9787=LINE('',#59935,#13886);
#9788=LINE('',#59939,#13887);
#9789=LINE('',#59941,#13888);
#9790=LINE('',#59943,#13889);
#9791=LINE('',#59947,#13890);
#9792=LINE('',#59950,#13891);
#9793=LINE('',#59953,#13892);
#9794=LINE('',#59957,#13893);
#9795=LINE('',#59961,#13894);
#9796=LINE('',#59963,#13895);
#9797=LINE('',#59965,#13896);
#9798=LINE('',#59969,#13897);
#9799=LINE('',#59972,#13898);
#9800=LINE('',#59974,#13899);
#9801=LINE('',#59975,#13900);
#9802=LINE('',#59978,#13901);
#9803=LINE('',#59982,#13902);
#9804=LINE('',#59986,#13903);
#9805=LINE('',#59988,#13904);
#9806=LINE('',#59990,#13905);
#9807=LINE('',#59994,#13906);
#9808=LINE('',#60000,#13907);
#9809=LINE('',#60004,#13908);
#9810=LINE('',#60006,#13909);
#9811=LINE('',#60008,#13910);
#9812=LINE('',#60012,#13911);
#9813=LINE('',#60015,#13912);
#9814=LINE('',#60017,#13913);
#9815=LINE('',#60018,#13914);
#9816=LINE('',#60021,#13915);
#9817=LINE('',#60025,#13916);
#9818=LINE('',#60029,#13917);
#9819=LINE('',#60031,#13918);
#9820=LINE('',#60033,#13919);
#9821=LINE('',#60037,#13920);
#9822=LINE('',#60040,#13921);
#9823=LINE('',#60043,#13922);
#9824=LINE('',#60047,#13923);
#9825=LINE('',#60051,#13924);
#9826=LINE('',#60053,#13925);
#9827=LINE('',#60055,#13926);
#9828=LINE('',#60059,#13927);
#9829=LINE('',#60062,#13928);
#9830=LINE('',#60064,#13929);
#9831=LINE('',#60065,#13930);
#9832=LINE('',#60068,#13931);
#9833=LINE('',#60072,#13932);
#9834=LINE('',#60076,#13933);
#9835=LINE('',#60078,#13934);
#9836=LINE('',#60080,#13935);
#9837=LINE('',#60084,#13936);
#9838=LINE('',#60090,#13937);
#9839=LINE('',#60094,#13938);
#9840=LINE('',#60096,#13939);
#9841=LINE('',#60098,#13940);
#9842=LINE('',#60102,#13941);
#9843=LINE('',#60105,#13942);
#9844=LINE('',#60107,#13943);
#9845=LINE('',#60108,#13944);
#9846=LINE('',#60111,#13945);
#9847=LINE('',#60115,#13946);
#9848=LINE('',#60119,#13947);
#9849=LINE('',#60121,#13948);
#9850=LINE('',#60123,#13949);
#9851=LINE('',#60127,#13950);
#9852=LINE('',#60130,#13951);
#9853=LINE('',#60133,#13952);
#9854=LINE('',#60137,#13953);
#9855=LINE('',#60141,#13954);
#9856=LINE('',#60143,#13955);
#9857=LINE('',#60145,#13956);
#9858=LINE('',#60149,#13957);
#9859=LINE('',#60152,#13958);
#9860=LINE('',#60154,#13959);
#9861=LINE('',#60155,#13960);
#9862=LINE('',#60158,#13961);
#9863=LINE('',#60162,#13962);
#9864=LINE('',#60166,#13963);
#9865=LINE('',#60168,#13964);
#9866=LINE('',#60170,#13965);
#9867=LINE('',#60174,#13966);
#9868=LINE('',#60180,#13967);
#9869=LINE('',#60184,#13968);
#9870=LINE('',#60186,#13969);
#9871=LINE('',#60188,#13970);
#9872=LINE('',#60192,#13971);
#9873=LINE('',#60195,#13972);
#9874=LINE('',#60197,#13973);
#9875=LINE('',#60198,#13974);
#9876=LINE('',#60201,#13975);
#9877=LINE('',#60205,#13976);
#9878=LINE('',#60209,#13977);
#9879=LINE('',#60211,#13978);
#9880=LINE('',#60213,#13979);
#9881=LINE('',#60217,#13980);
#9882=LINE('',#60220,#13981);
#9883=LINE('',#60223,#13982);
#9884=LINE('',#60227,#13983);
#9885=LINE('',#60231,#13984);
#9886=LINE('',#60233,#13985);
#9887=LINE('',#60235,#13986);
#9888=LINE('',#60239,#13987);
#9889=LINE('',#60242,#13988);
#9890=LINE('',#60244,#13989);
#9891=LINE('',#60245,#13990);
#9892=LINE('',#60248,#13991);
#9893=LINE('',#60249,#13992);
#9894=LINE('',#60252,#13993);
#9895=LINE('',#60253,#13994);
#9896=LINE('',#60257,#13995);
#9897=LINE('',#60258,#13996);
#9898=LINE('',#60259,#13997);
#9899=LINE('',#60264,#13998);
#9900=LINE('',#60268,#13999);
#9901=LINE('',#60269,#14000);
#9902=LINE('',#60271,#14001);
#9903=LINE('',#60273,#14002);
#9904=LINE('',#60275,#14003);
#9905=LINE('',#60277,#14004);
#9906=LINE('',#60279,#14005);
#9907=LINE('',#60281,#14006);
#9908=LINE('',#60283,#14007);
#9909=LINE('',#60285,#14008);
#9910=LINE('',#60287,#14009);
#9911=LINE('',#60289,#14010);
#9912=LINE('',#60291,#14011);
#9913=LINE('',#60293,#14012);
#9914=LINE('',#60295,#14013);
#9915=LINE('',#60297,#14014);
#9916=LINE('',#60299,#14015);
#9917=LINE('',#60301,#14016);
#9918=LINE('',#60303,#14017);
#9919=LINE('',#60305,#14018);
#9920=LINE('',#60309,#14019);
#9921=LINE('',#60310,#14020);
#9922=LINE('',#60313,#14021);
#9923=LINE('',#60315,#14022);
#9924=LINE('',#60316,#14023);
#9925=LINE('',#60319,#14024);
#9926=LINE('',#60321,#14025);
#9927=LINE('',#60322,#14026);
#9928=LINE('',#60325,#14027);
#9929=LINE('',#60327,#14028);
#9930=LINE('',#60328,#14029);
#9931=LINE('',#60331,#14030);
#9932=LINE('',#60333,#14031);
#9933=LINE('',#60334,#14032);
#9934=LINE('',#60337,#14033);
#9935=LINE('',#60339,#14034);
#9936=LINE('',#60340,#14035);
#9937=LINE('',#60343,#14036);
#9938=LINE('',#60345,#14037);
#9939=LINE('',#60346,#14038);
#9940=LINE('',#60349,#14039);
#9941=LINE('',#60351,#14040);
#9942=LINE('',#60352,#14041);
#9943=LINE('',#60355,#14042);
#9944=LINE('',#60357,#14043);
#9945=LINE('',#60358,#14044);
#9946=LINE('',#60361,#14045);
#9947=LINE('',#60363,#14046);
#9948=LINE('',#60364,#14047);
#9949=LINE('',#60367,#14048);
#9950=LINE('',#60369,#14049);
#9951=LINE('',#60370,#14050);
#9952=LINE('',#60373,#14051);
#9953=LINE('',#60375,#14052);
#9954=LINE('',#60376,#14053);
#9955=LINE('',#60379,#14054);
#9956=LINE('',#60381,#14055);
#9957=LINE('',#60382,#14056);
#9958=LINE('',#60385,#14057);
#9959=LINE('',#60387,#14058);
#9960=LINE('',#60388,#14059);
#9961=LINE('',#60391,#14060);
#9962=LINE('',#60393,#14061);
#9963=LINE('',#60394,#14062);
#9964=LINE('',#60397,#14063);
#9965=LINE('',#60399,#14064);
#9966=LINE('',#60400,#14065);
#9967=LINE('',#60403,#14066);
#9968=LINE('',#60405,#14067);
#9969=LINE('',#60406,#14068);
#9970=LINE('',#60409,#14069);
#9971=LINE('',#60411,#14070);
#9972=LINE('',#60412,#14071);
#9973=LINE('',#60415,#14072);
#9974=LINE('',#60417,#14073);
#9975=LINE('',#60418,#14074);
#9976=LINE('',#60421,#14075);
#9977=LINE('',#60423,#14076);
#9978=LINE('',#60424,#14077);
#9979=LINE('',#60427,#14078);
#9980=LINE('',#60429,#14079);
#9981=LINE('',#60430,#14080);
#9982=LINE('',#60433,#14081);
#9983=LINE('',#60435,#14082);
#9984=LINE('',#60436,#14083);
#9985=LINE('',#60439,#14084);
#9986=LINE('',#60441,#14085);
#9987=LINE('',#60442,#14086);
#9988=LINE('',#60445,#14087);
#9989=LINE('',#60447,#14088);
#9990=LINE('',#60448,#14089);
#9991=LINE('',#60451,#14090);
#9992=LINE('',#60453,#14091);
#9993=LINE('',#60454,#14092);
#9994=LINE('',#60457,#14093);
#9995=LINE('',#60458,#14094);
#9996=LINE('',#60461,#14095);
#9997=LINE('',#60462,#14096);
#9998=LINE('',#60465,#14097);
#9999=LINE('',#60467,#14098);
#10000=LINE('',#60468,#14099);
#10001=LINE('',#60471,#14100);
#10002=LINE('',#60473,#14101);
#10003=LINE('',#60474,#14102);
#10004=LINE('',#60477,#14103);
#10005=LINE('',#60479,#14104);
#10006=LINE('',#60480,#14105);
#10007=LINE('',#60483,#14106);
#10008=LINE('',#60485,#14107);
#10009=LINE('',#60486,#14108);
#10010=LINE('',#60489,#14109);
#10011=LINE('',#60491,#14110);
#10012=LINE('',#60492,#14111);
#10013=LINE('',#60495,#14112);
#10014=LINE('',#60497,#14113);
#10015=LINE('',#60498,#14114);
#10016=LINE('',#60501,#14115);
#10017=LINE('',#60503,#14116);
#10018=LINE('',#60504,#14117);
#10019=LINE('',#60507,#14118);
#10020=LINE('',#60509,#14119);
#10021=LINE('',#60510,#14120);
#10022=LINE('',#60513,#14121);
#10023=LINE('',#60515,#14122);
#10024=LINE('',#60516,#14123);
#10025=LINE('',#60519,#14124);
#10026=LINE('',#60521,#14125);
#10027=LINE('',#60522,#14126);
#10028=LINE('',#60525,#14127);
#10029=LINE('',#60527,#14128);
#10030=LINE('',#60528,#14129);
#10031=LINE('',#60531,#14130);
#10032=LINE('',#60533,#14131);
#10033=LINE('',#60534,#14132);
#10034=LINE('',#60537,#14133);
#10035=LINE('',#60539,#14134);
#10036=LINE('',#60540,#14135);
#10037=LINE('',#60543,#14136);
#10038=LINE('',#60545,#14137);
#10039=LINE('',#60546,#14138);
#10040=LINE('',#60549,#14139);
#10041=LINE('',#60551,#14140);
#10042=LINE('',#60552,#14141);
#10043=LINE('',#60555,#14142);
#10044=LINE('',#60557,#14143);
#10045=LINE('',#60558,#14144);
#10046=LINE('',#60561,#14145);
#10047=LINE('',#60563,#14146);
#10048=LINE('',#60564,#14147);
#10049=LINE('',#60567,#14148);
#10050=LINE('',#60569,#14149);
#10051=LINE('',#60570,#14150);
#10052=LINE('',#60573,#14151);
#10053=LINE('',#60575,#14152);
#10054=LINE('',#60576,#14153);
#10055=LINE('',#60579,#14154);
#10056=LINE('',#60581,#14155);
#10057=LINE('',#60582,#14156);
#10058=LINE('',#60585,#14157);
#10059=LINE('',#60587,#14158);
#10060=LINE('',#60588,#14159);
#10061=LINE('',#60591,#14160);
#10062=LINE('',#60593,#14161);
#10063=LINE('',#60594,#14162);
#10064=LINE('',#60597,#14163);
#10065=LINE('',#60599,#14164);
#10066=LINE('',#60600,#14165);
#10067=LINE('',#60603,#14166);
#10068=LINE('',#60605,#14167);
#10069=LINE('',#60606,#14168);
#10070=LINE('',#60609,#14169);
#10071=LINE('',#60610,#14170);
#10072=LINE('',#60613,#14171);
#10073=LINE('',#60614,#14172);
#10074=LINE('',#60617,#14173);
#10075=LINE('',#60619,#14174);
#10076=LINE('',#60620,#14175);
#10077=LINE('',#60623,#14176);
#10078=LINE('',#60625,#14177);
#10079=LINE('',#60626,#14178);
#10080=LINE('',#60629,#14179);
#10081=LINE('',#60631,#14180);
#10082=LINE('',#60632,#14181);
#10083=LINE('',#60635,#14182);
#10084=LINE('',#60637,#14183);
#10085=LINE('',#60638,#14184);
#10086=LINE('',#60641,#14185);
#10087=LINE('',#60643,#14186);
#10088=LINE('',#60644,#14187);
#10089=LINE('',#60647,#14188);
#10090=LINE('',#60649,#14189);
#10091=LINE('',#60650,#14190);
#10092=LINE('',#60653,#14191);
#10093=LINE('',#60655,#14192);
#10094=LINE('',#60656,#14193);
#10095=LINE('',#60659,#14194);
#10096=LINE('',#60661,#14195);
#10097=LINE('',#60662,#14196);
#10098=LINE('',#60665,#14197);
#10099=LINE('',#60667,#14198);
#10100=LINE('',#60668,#14199);
#10101=LINE('',#60671,#14200);
#10102=LINE('',#60673,#14201);
#10103=LINE('',#60674,#14202);
#10104=LINE('',#60677,#14203);
#10105=LINE('',#60679,#14204);
#10106=LINE('',#60680,#14205);
#10107=LINE('',#60683,#14206);
#10108=LINE('',#60685,#14207);
#10109=LINE('',#60686,#14208);
#10110=LINE('',#60689,#14209);
#10111=LINE('',#60691,#14210);
#10112=LINE('',#60692,#14211);
#10113=LINE('',#60695,#14212);
#10114=LINE('',#60697,#14213);
#10115=LINE('',#60698,#14214);
#10116=LINE('',#60701,#14215);
#10117=LINE('',#60703,#14216);
#10118=LINE('',#60704,#14217);
#10119=LINE('',#60707,#14218);
#10120=LINE('',#60709,#14219);
#10121=LINE('',#60710,#14220);
#10122=LINE('',#60713,#14221);
#10123=LINE('',#60715,#14222);
#10124=LINE('',#60716,#14223);
#10125=LINE('',#60719,#14224);
#10126=LINE('',#60721,#14225);
#10127=LINE('',#60722,#14226);
#10128=LINE('',#60725,#14227);
#10129=LINE('',#60727,#14228);
#10130=LINE('',#60728,#14229);
#10131=LINE('',#60731,#14230);
#10132=LINE('',#60733,#14231);
#10133=LINE('',#60734,#14232);
#10134=LINE('',#60737,#14233);
#10135=LINE('',#60739,#14234);
#10136=LINE('',#60740,#14235);
#10137=LINE('',#60743,#14236);
#10138=LINE('',#60745,#14237);
#10139=LINE('',#60746,#14238);
#10140=LINE('',#60749,#14239);
#10141=LINE('',#60751,#14240);
#10142=LINE('',#60752,#14241);
#10143=LINE('',#60754,#14242);
#10144=LINE('',#60756,#14243);
#10145=LINE('',#60758,#14244);
#10146=LINE('',#60760,#14245);
#10147=LINE('',#60762,#14246);
#10148=LINE('',#60764,#14247);
#10149=LINE('',#60766,#14248);
#10150=LINE('',#60768,#14249);
#10151=LINE('',#60771,#14250);
#10152=LINE('',#60773,#14251);
#10153=LINE('',#60775,#14252);
#10154=LINE('',#60777,#14253);
#10155=LINE('',#60779,#14254);
#10156=LINE('',#60781,#14255);
#10157=LINE('',#60783,#14256);
#10158=LINE('',#60786,#14257);
#10159=LINE('',#60788,#14258);
#10160=LINE('',#60790,#14259);
#10161=LINE('',#60792,#14260);
#10162=LINE('',#60794,#14261);
#10163=LINE('',#60796,#14262);
#10164=LINE('',#60798,#14263);
#10165=LINE('',#60801,#14264);
#10166=LINE('',#60803,#14265);
#10167=LINE('',#60805,#14266);
#10168=LINE('',#60807,#14267);
#10169=LINE('',#60809,#14268);
#10170=LINE('',#60811,#14269);
#10171=LINE('',#60813,#14270);
#10172=LINE('',#60816,#14271);
#10173=LINE('',#60818,#14272);
#10174=LINE('',#60820,#14273);
#10175=LINE('',#60822,#14274);
#10176=LINE('',#60824,#14275);
#10177=LINE('',#60826,#14276);
#10178=LINE('',#60828,#14277);
#10179=LINE('',#60831,#14278);
#10180=LINE('',#60833,#14279);
#10181=LINE('',#60835,#14280);
#10182=LINE('',#60837,#14281);
#10183=LINE('',#60839,#14282);
#10184=LINE('',#60841,#14283);
#10185=LINE('',#60843,#14284);
#10186=LINE('',#60846,#14285);
#10187=LINE('',#60848,#14286);
#10188=LINE('',#60850,#14287);
#10189=LINE('',#60852,#14288);
#10190=LINE('',#60854,#14289);
#10191=LINE('',#60856,#14290);
#10192=LINE('',#60858,#14291);
#10193=LINE('',#60861,#14292);
#10194=LINE('',#60863,#14293);
#10195=LINE('',#60865,#14294);
#10196=LINE('',#60867,#14295);
#10197=LINE('',#60869,#14296);
#10198=LINE('',#60871,#14297);
#10199=LINE('',#60873,#14298);
#10200=LINE('',#60876,#14299);
#10201=LINE('',#60878,#14300);
#10202=LINE('',#60880,#14301);
#10203=LINE('',#60882,#14302);
#10204=LINE('',#60884,#14303);
#10205=LINE('',#60886,#14304);
#10206=LINE('',#60888,#14305);
#10207=LINE('',#60891,#14306);
#10208=LINE('',#60893,#14307);
#10209=LINE('',#60895,#14308);
#10210=LINE('',#60897,#14309);
#10211=LINE('',#60899,#14310);
#10212=LINE('',#60901,#14311);
#10213=LINE('',#60903,#14312);
#10214=LINE('',#60907,#14313);
#10215=LINE('',#60908,#14314);
#10216=LINE('',#60913,#14315);
#10217=LINE('',#60917,#14316);
#10218=LINE('',#60919,#14317);
#10219=LINE('',#60921,#14318);
#10220=LINE('',#60925,#14319);
#10221=LINE('',#60928,#14320);
#10222=LINE('',#60932,#14321);
#10223=LINE('',#60934,#14322);
#10224=LINE('',#60936,#14323);
#10225=LINE('',#60938,#14324);
#10226=LINE('',#60940,#14325);
#10227=LINE('',#60942,#14326);
#10228=LINE('',#60944,#14327);
#10229=LINE('',#60946,#14328);
#10230=LINE('',#60948,#14329);
#10231=LINE('',#60950,#14330);
#10232=LINE('',#60952,#14331);
#10233=LINE('',#60954,#14332);
#10234=LINE('',#60956,#14333);
#10235=LINE('',#60958,#14334);
#10236=LINE('',#60960,#14335);
#10237=LINE('',#60962,#14336);
#10238=LINE('',#60964,#14337);
#10239=LINE('',#60966,#14338);
#10240=LINE('',#60968,#14339);
#10241=LINE('',#60970,#14340);
#10242=LINE('',#60972,#14341);
#10243=LINE('',#60974,#14342);
#10244=LINE('',#60976,#14343);
#10245=LINE('',#60978,#14344);
#10246=LINE('',#60980,#14345);
#10247=LINE('',#60981,#14346);
#10248=LINE('',#60982,#14347);
#10249=LINE('',#60985,#14348);
#10250=LINE('',#60990,#14349);
#10251=LINE('',#60993,#14350);
#10252=LINE('',#60995,#14351);
#10253=LINE('',#60997,#14352);
#10254=LINE('',#60999,#14353);
#10255=LINE('',#61000,#14354);
#10256=LINE('',#61007,#14355);
#10257=LINE('',#61009,#14356);
#10258=LINE('',#61011,#14357);
#10259=LINE('',#61013,#14358);
#10260=LINE('',#61015,#14359);
#10261=LINE('',#61017,#14360);
#10262=LINE('',#61019,#14361);
#10263=LINE('',#61021,#14362);
#10264=LINE('',#61023,#14363);
#10265=LINE('',#61025,#14364);
#10266=LINE('',#61027,#14365);
#10267=LINE('',#61029,#14366);
#10268=LINE('',#61031,#14367);
#10269=LINE('',#61033,#14368);
#10270=LINE('',#61035,#14369);
#10271=LINE('',#61037,#14370);
#10272=LINE('',#61039,#14371);
#10273=LINE('',#61041,#14372);
#10274=LINE('',#61043,#14373);
#10275=LINE('',#61045,#14374);
#10276=LINE('',#61047,#14375);
#10277=LINE('',#61049,#14376);
#10278=LINE('',#61051,#14377);
#10279=LINE('',#61053,#14378);
#10280=LINE('',#61055,#14379);
#10281=LINE('',#61056,#14380);
#10282=LINE('',#61058,#14381);
#10283=LINE('',#61061,#14382);
#10284=LINE('',#61065,#14383);
#10285=LINE('',#61069,#14384);
#10286=LINE('',#61071,#14385);
#10287=LINE('',#61073,#14386);
#10288=LINE('',#61077,#14387);
#10289=LINE('',#61083,#14388);
#10290=LINE('',#61087,#14389);
#10291=LINE('',#61089,#14390);
#10292=LINE('',#61091,#14391);
#10293=LINE('',#61095,#14392);
#10294=LINE('',#61098,#14393);
#10295=LINE('',#61100,#14394);
#10296=LINE('',#61101,#14395);
#10297=LINE('',#61104,#14396);
#10298=LINE('',#61108,#14397);
#10299=LINE('',#61112,#14398);
#10300=LINE('',#61114,#14399);
#10301=LINE('',#61116,#14400);
#10302=LINE('',#61120,#14401);
#10303=LINE('',#61123,#14402);
#10304=LINE('',#61126,#14403);
#10305=LINE('',#61130,#14404);
#10306=LINE('',#61134,#14405);
#10307=LINE('',#61136,#14406);
#10308=LINE('',#61138,#14407);
#10309=LINE('',#61142,#14408);
#10310=LINE('',#61145,#14409);
#10311=LINE('',#61147,#14410);
#10312=LINE('',#61148,#14411);
#10313=LINE('',#61151,#14412);
#10314=LINE('',#61155,#14413);
#10315=LINE('',#61159,#14414);
#10316=LINE('',#61161,#14415);
#10317=LINE('',#61163,#14416);
#10318=LINE('',#61167,#14417);
#10319=LINE('',#61173,#14418);
#10320=LINE('',#61177,#14419);
#10321=LINE('',#61179,#14420);
#10322=LINE('',#61181,#14421);
#10323=LINE('',#61185,#14422);
#10324=LINE('',#61188,#14423);
#10325=LINE('',#61190,#14424);
#10326=LINE('',#61191,#14425);
#10327=LINE('',#61194,#14426);
#10328=LINE('',#61198,#14427);
#10329=LINE('',#61202,#14428);
#10330=LINE('',#61204,#14429);
#10331=LINE('',#61206,#14430);
#10332=LINE('',#61210,#14431);
#10333=LINE('',#61213,#14432);
#10334=LINE('',#61216,#14433);
#10335=LINE('',#61220,#14434);
#10336=LINE('',#61224,#14435);
#10337=LINE('',#61226,#14436);
#10338=LINE('',#61228,#14437);
#10339=LINE('',#61232,#14438);
#10340=LINE('',#61235,#14439);
#10341=LINE('',#61237,#14440);
#10342=LINE('',#61238,#14441);
#10343=LINE('',#61241,#14442);
#10344=LINE('',#61245,#14443);
#10345=LINE('',#61249,#14444);
#10346=LINE('',#61251,#14445);
#10347=LINE('',#61253,#14446);
#10348=LINE('',#61257,#14447);
#10349=LINE('',#61263,#14448);
#10350=LINE('',#61267,#14449);
#10351=LINE('',#61269,#14450);
#10352=LINE('',#61271,#14451);
#10353=LINE('',#61275,#14452);
#10354=LINE('',#61278,#14453);
#10355=LINE('',#61280,#14454);
#10356=LINE('',#61281,#14455);
#10357=LINE('',#61284,#14456);
#10358=LINE('',#61288,#14457);
#10359=LINE('',#61292,#14458);
#10360=LINE('',#61294,#14459);
#10361=LINE('',#61296,#14460);
#10362=LINE('',#61300,#14461);
#10363=LINE('',#61303,#14462);
#10364=LINE('',#61306,#14463);
#10365=LINE('',#61310,#14464);
#10366=LINE('',#61314,#14465);
#10367=LINE('',#61316,#14466);
#10368=LINE('',#61318,#14467);
#10369=LINE('',#61322,#14468);
#10370=LINE('',#61325,#14469);
#10371=LINE('',#61327,#14470);
#10372=LINE('',#61328,#14471);
#10373=LINE('',#61331,#14472);
#10374=LINE('',#61335,#14473);
#10375=LINE('',#61339,#14474);
#10376=LINE('',#61341,#14475);
#10377=LINE('',#61343,#14476);
#10378=LINE('',#61347,#14477);
#10379=LINE('',#61353,#14478);
#10380=LINE('',#61357,#14479);
#10381=LINE('',#61359,#14480);
#10382=LINE('',#61361,#14481);
#10383=LINE('',#61365,#14482);
#10384=LINE('',#61368,#14483);
#10385=LINE('',#61370,#14484);
#10386=LINE('',#61371,#14485);
#10387=LINE('',#61374,#14486);
#10388=LINE('',#61378,#14487);
#10389=LINE('',#61382,#14488);
#10390=LINE('',#61384,#14489);
#10391=LINE('',#61386,#14490);
#10392=LINE('',#61390,#14491);
#10393=LINE('',#61393,#14492);
#10394=LINE('',#61396,#14493);
#10395=LINE('',#61400,#14494);
#10396=LINE('',#61404,#14495);
#10397=LINE('',#61406,#14496);
#10398=LINE('',#61408,#14497);
#10399=LINE('',#61412,#14498);
#10400=LINE('',#61415,#14499);
#10401=LINE('',#61417,#14500);
#10402=LINE('',#61418,#14501);
#10403=LINE('',#61421,#14502);
#10404=LINE('',#61425,#14503);
#10405=LINE('',#61429,#14504);
#10406=LINE('',#61431,#14505);
#10407=LINE('',#61433,#14506);
#10408=LINE('',#61437,#14507);
#10409=LINE('',#61443,#14508);
#10410=LINE('',#61447,#14509);
#10411=LINE('',#61449,#14510);
#10412=LINE('',#61451,#14511);
#10413=LINE('',#61455,#14512);
#10414=LINE('',#61458,#14513);
#10415=LINE('',#61460,#14514);
#10416=LINE('',#61461,#14515);
#10417=LINE('',#61464,#14516);
#10418=LINE('',#61468,#14517);
#10419=LINE('',#61472,#14518);
#10420=LINE('',#61474,#14519);
#10421=LINE('',#61476,#14520);
#10422=LINE('',#61480,#14521);
#10423=LINE('',#61483,#14522);
#10424=LINE('',#61486,#14523);
#10425=LINE('',#61490,#14524);
#10426=LINE('',#61494,#14525);
#10427=LINE('',#61496,#14526);
#10428=LINE('',#61498,#14527);
#10429=LINE('',#61502,#14528);
#10430=LINE('',#61505,#14529);
#10431=LINE('',#61507,#14530);
#10432=LINE('',#61508,#14531);
#10433=LINE('',#61511,#14532);
#10434=LINE('',#61515,#14533);
#10435=LINE('',#61519,#14534);
#10436=LINE('',#61521,#14535);
#10437=LINE('',#61523,#14536);
#10438=LINE('',#61527,#14537);
#10439=LINE('',#61533,#14538);
#10440=LINE('',#61537,#14539);
#10441=LINE('',#61539,#14540);
#10442=LINE('',#61541,#14541);
#10443=LINE('',#61545,#14542);
#10444=LINE('',#61548,#14543);
#10445=LINE('',#61550,#14544);
#10446=LINE('',#61551,#14545);
#10447=LINE('',#61554,#14546);
#10448=LINE('',#61558,#14547);
#10449=LINE('',#61562,#14548);
#10450=LINE('',#61564,#14549);
#10451=LINE('',#61566,#14550);
#10452=LINE('',#61570,#14551);
#10453=LINE('',#61573,#14552);
#10454=LINE('',#61576,#14553);
#10455=LINE('',#61580,#14554);
#10456=LINE('',#61584,#14555);
#10457=LINE('',#61586,#14556);
#10458=LINE('',#61588,#14557);
#10459=LINE('',#61592,#14558);
#10460=LINE('',#61595,#14559);
#10461=LINE('',#61597,#14560);
#10462=LINE('',#61598,#14561);
#10463=LINE('',#61601,#14562);
#10464=LINE('',#61602,#14563);
#10465=LINE('',#61605,#14564);
#10466=LINE('',#61606,#14565);
#10467=LINE('',#61609,#14566);
#10468=LINE('',#61613,#14567);
#10469=LINE('',#61617,#14568);
#10470=LINE('',#61619,#14569);
#10471=LINE('',#61621,#14570);
#10472=LINE('',#61625,#14571);
#10473=LINE('',#61631,#14572);
#10474=LINE('',#61635,#14573);
#10475=LINE('',#61637,#14574);
#10476=LINE('',#61639,#14575);
#10477=LINE('',#61643,#14576);
#10478=LINE('',#61646,#14577);
#10479=LINE('',#61649,#14578);
#10480=LINE('',#61651,#14579);
#10481=LINE('',#61652,#14580);
#10482=LINE('',#61655,#14581);
#10483=LINE('',#61659,#14582);
#10484=LINE('',#61663,#14583);
#10485=LINE('',#61665,#14584);
#10486=LINE('',#61667,#14585);
#10487=LINE('',#61671,#14586);
#10488=LINE('',#61674,#14587);
#10489=LINE('',#61677,#14588);
#10490=LINE('',#61681,#14589);
#10491=LINE('',#61685,#14590);
#10492=LINE('',#61687,#14591);
#10493=LINE('',#61689,#14592);
#10494=LINE('',#61693,#14593);
#10495=LINE('',#61696,#14594);
#10496=LINE('',#61699,#14595);
#10497=LINE('',#61701,#14596);
#10498=LINE('',#61702,#14597);
#10499=LINE('',#61705,#14598);
#10500=LINE('',#61709,#14599);
#10501=LINE('',#61713,#14600);
#10502=LINE('',#61715,#14601);
#10503=LINE('',#61717,#14602);
#10504=LINE('',#61721,#14603);
#10505=LINE('',#61727,#14604);
#10506=LINE('',#61731,#14605);
#10507=LINE('',#61733,#14606);
#10508=LINE('',#61735,#14607);
#10509=LINE('',#61739,#14608);
#10510=LINE('',#61742,#14609);
#10511=LINE('',#61745,#14610);
#10512=LINE('',#61747,#14611);
#10513=LINE('',#61748,#14612);
#10514=LINE('',#61751,#14613);
#10515=LINE('',#61755,#14614);
#10516=LINE('',#61759,#14615);
#10517=LINE('',#61761,#14616);
#10518=LINE('',#61763,#14617);
#10519=LINE('',#61767,#14618);
#10520=LINE('',#61770,#14619);
#10521=LINE('',#61773,#14620);
#10522=LINE('',#61777,#14621);
#10523=LINE('',#61781,#14622);
#10524=LINE('',#61783,#14623);
#10525=LINE('',#61785,#14624);
#10526=LINE('',#61789,#14625);
#10527=LINE('',#61792,#14626);
#10528=LINE('',#61795,#14627);
#10529=LINE('',#61797,#14628);
#10530=LINE('',#61798,#14629);
#10531=LINE('',#61801,#14630);
#10532=LINE('',#61805,#14631);
#10533=LINE('',#61809,#14632);
#10534=LINE('',#61811,#14633);
#10535=LINE('',#61813,#14634);
#10536=LINE('',#61817,#14635);
#10537=LINE('',#61823,#14636);
#10538=LINE('',#61827,#14637);
#10539=LINE('',#61829,#14638);
#10540=LINE('',#61831,#14639);
#10541=LINE('',#61835,#14640);
#10542=LINE('',#61838,#14641);
#10543=LINE('',#61841,#14642);
#10544=LINE('',#61843,#14643);
#10545=LINE('',#61844,#14644);
#10546=LINE('',#61847,#14645);
#10547=LINE('',#61851,#14646);
#10548=LINE('',#61855,#14647);
#10549=LINE('',#61857,#14648);
#10550=LINE('',#61859,#14649);
#10551=LINE('',#61863,#14650);
#10552=LINE('',#61866,#14651);
#10553=LINE('',#61869,#14652);
#10554=LINE('',#61873,#14653);
#10555=LINE('',#61877,#14654);
#10556=LINE('',#61879,#14655);
#10557=LINE('',#61881,#14656);
#10558=LINE('',#61885,#14657);
#10559=LINE('',#61888,#14658);
#10560=LINE('',#61891,#14659);
#10561=LINE('',#61893,#14660);
#10562=LINE('',#61894,#14661);
#10563=LINE('',#61897,#14662);
#10564=LINE('',#61901,#14663);
#10565=LINE('',#61905,#14664);
#10566=LINE('',#61907,#14665);
#10567=LINE('',#61909,#14666);
#10568=LINE('',#61913,#14667);
#10569=LINE('',#61919,#14668);
#10570=LINE('',#61923,#14669);
#10571=LINE('',#61925,#14670);
#10572=LINE('',#61927,#14671);
#10573=LINE('',#61931,#14672);
#10574=LINE('',#61934,#14673);
#10575=LINE('',#61937,#14674);
#10576=LINE('',#61939,#14675);
#10577=LINE('',#61940,#14676);
#10578=LINE('',#61943,#14677);
#10579=LINE('',#61947,#14678);
#10580=LINE('',#61951,#14679);
#10581=LINE('',#61953,#14680);
#10582=LINE('',#61955,#14681);
#10583=LINE('',#61959,#14682);
#10584=LINE('',#61962,#14683);
#10585=LINE('',#61965,#14684);
#10586=LINE('',#61969,#14685);
#10587=LINE('',#61973,#14686);
#10588=LINE('',#61975,#14687);
#10589=LINE('',#61977,#14688);
#10590=LINE('',#61981,#14689);
#10591=LINE('',#61984,#14690);
#10592=LINE('',#61987,#14691);
#10593=LINE('',#61989,#14692);
#10594=LINE('',#61990,#14693);
#10595=LINE('',#61993,#14694);
#10596=LINE('',#61997,#14695);
#10597=LINE('',#62001,#14696);
#10598=LINE('',#62003,#14697);
#10599=LINE('',#62005,#14698);
#10600=LINE('',#62009,#14699);
#10601=LINE('',#62015,#14700);
#10602=LINE('',#62019,#14701);
#10603=LINE('',#62021,#14702);
#10604=LINE('',#62023,#14703);
#10605=LINE('',#62027,#14704);
#10606=LINE('',#62030,#14705);
#10607=LINE('',#62033,#14706);
#10608=LINE('',#62035,#14707);
#10609=LINE('',#62036,#14708);
#10610=LINE('',#62039,#14709);
#10611=LINE('',#62043,#14710);
#10612=LINE('',#62047,#14711);
#10613=LINE('',#62049,#14712);
#10614=LINE('',#62051,#14713);
#10615=LINE('',#62055,#14714);
#10616=LINE('',#62058,#14715);
#10617=LINE('',#62061,#14716);
#10618=LINE('',#62065,#14717);
#10619=LINE('',#62069,#14718);
#10620=LINE('',#62071,#14719);
#10621=LINE('',#62073,#14720);
#10622=LINE('',#62077,#14721);
#10623=LINE('',#62080,#14722);
#10624=LINE('',#62083,#14723);
#10625=LINE('',#62085,#14724);
#10626=LINE('',#62086,#14725);
#10627=LINE('',#62089,#14726);
#10628=LINE('',#62093,#14727);
#10629=LINE('',#62097,#14728);
#10630=LINE('',#62099,#14729);
#10631=LINE('',#62101,#14730);
#10632=LINE('',#62105,#14731);
#10633=LINE('',#62111,#14732);
#10634=LINE('',#62115,#14733);
#10635=LINE('',#62117,#14734);
#10636=LINE('',#62119,#14735);
#10637=LINE('',#62123,#14736);
#10638=LINE('',#62126,#14737);
#10639=LINE('',#62129,#14738);
#10640=LINE('',#62131,#14739);
#10641=LINE('',#62132,#14740);
#10642=LINE('',#62135,#14741);
#10643=LINE('',#62139,#14742);
#10644=LINE('',#62143,#14743);
#10645=LINE('',#62145,#14744);
#10646=LINE('',#62147,#14745);
#10647=LINE('',#62151,#14746);
#10648=LINE('',#62154,#14747);
#10649=LINE('',#62157,#14748);
#10650=LINE('',#62161,#14749);
#10651=LINE('',#62165,#14750);
#10652=LINE('',#62167,#14751);
#10653=LINE('',#62169,#14752);
#10654=LINE('',#62173,#14753);
#10655=LINE('',#62176,#14754);
#10656=LINE('',#62179,#14755);
#10657=LINE('',#62181,#14756);
#10658=LINE('',#62182,#14757);
#10659=LINE('',#62184,#14758);
#10660=LINE('',#62185,#14759);
#10661=LINE('',#62187,#14760);
#10662=LINE('',#62190,#14761);
#10663=LINE('',#62194,#14762);
#10664=LINE('',#62198,#14763);
#10665=LINE('',#62200,#14764);
#10666=LINE('',#62202,#14765);
#10667=LINE('',#62206,#14766);
#10668=LINE('',#62210,#14767);
#10669=LINE('',#62214,#14768);
#10670=LINE('',#62218,#14769);
#10671=LINE('',#62220,#14770);
#10672=LINE('',#62222,#14771);
#10673=LINE('',#62226,#14772);
#10674=LINE('',#62229,#14773);
#10675=LINE('',#62232,#14774);
#10676=LINE('',#62236,#14775);
#10677=LINE('',#62240,#14776);
#10678=LINE('',#62242,#14777);
#10679=LINE('',#62244,#14778);
#10680=LINE('',#62248,#14779);
#10681=LINE('',#62251,#14780);
#10682=LINE('',#62253,#14781);
#10683=LINE('',#62254,#14782);
#10684=LINE('',#62257,#14783);
#10685=LINE('',#62261,#14784);
#10686=LINE('',#62265,#14785);
#10687=LINE('',#62267,#14786);
#10688=LINE('',#62269,#14787);
#10689=LINE('',#62273,#14788);
#10690=LINE('',#62279,#14789);
#10691=LINE('',#62283,#14790);
#10692=LINE('',#62285,#14791);
#10693=LINE('',#62287,#14792);
#10694=LINE('',#62291,#14793);
#10695=LINE('',#62294,#14794);
#10696=LINE('',#62296,#14795);
#10697=LINE('',#62297,#14796);
#10698=LINE('',#62300,#14797);
#10699=LINE('',#62304,#14798);
#10700=LINE('',#62308,#14799);
#10701=LINE('',#62310,#14800);
#10702=LINE('',#62312,#14801);
#10703=LINE('',#62316,#14802);
#10704=LINE('',#62319,#14803);
#10705=LINE('',#62322,#14804);
#10706=LINE('',#62326,#14805);
#10707=LINE('',#62330,#14806);
#10708=LINE('',#62332,#14807);
#10709=LINE('',#62334,#14808);
#10710=LINE('',#62338,#14809);
#10711=LINE('',#62341,#14810);
#10712=LINE('',#62343,#14811);
#10713=LINE('',#62344,#14812);
#10714=LINE('',#62347,#14813);
#10715=LINE('',#62351,#14814);
#10716=LINE('',#62355,#14815);
#10717=LINE('',#62357,#14816);
#10718=LINE('',#62359,#14817);
#10719=LINE('',#62363,#14818);
#10720=LINE('',#62369,#14819);
#10721=LINE('',#62373,#14820);
#10722=LINE('',#62375,#14821);
#10723=LINE('',#62377,#14822);
#10724=LINE('',#62381,#14823);
#10725=LINE('',#62384,#14824);
#10726=LINE('',#62386,#14825);
#10727=LINE('',#62387,#14826);
#10728=LINE('',#62390,#14827);
#10729=LINE('',#62394,#14828);
#10730=LINE('',#62398,#14829);
#10731=LINE('',#62400,#14830);
#10732=LINE('',#62402,#14831);
#10733=LINE('',#62406,#14832);
#10734=LINE('',#62409,#14833);
#10735=LINE('',#62412,#14834);
#10736=LINE('',#62416,#14835);
#10737=LINE('',#62420,#14836);
#10738=LINE('',#62422,#14837);
#10739=LINE('',#62424,#14838);
#10740=LINE('',#62428,#14839);
#10741=LINE('',#62431,#14840);
#10742=LINE('',#62433,#14841);
#10743=LINE('',#62434,#14842);
#10744=LINE('',#62437,#14843);
#10745=LINE('',#62441,#14844);
#10746=LINE('',#62445,#14845);
#10747=LINE('',#62447,#14846);
#10748=LINE('',#62449,#14847);
#10749=LINE('',#62453,#14848);
#10750=LINE('',#62459,#14849);
#10751=LINE('',#62463,#14850);
#10752=LINE('',#62465,#14851);
#10753=LINE('',#62467,#14852);
#10754=LINE('',#62471,#14853);
#10755=LINE('',#62474,#14854);
#10756=LINE('',#62476,#14855);
#10757=LINE('',#62477,#14856);
#10758=LINE('',#62480,#14857);
#10759=LINE('',#62484,#14858);
#10760=LINE('',#62488,#14859);
#10761=LINE('',#62490,#14860);
#10762=LINE('',#62492,#14861);
#10763=LINE('',#62496,#14862);
#10764=LINE('',#62499,#14863);
#10765=LINE('',#62502,#14864);
#10766=LINE('',#62506,#14865);
#10767=LINE('',#62510,#14866);
#10768=LINE('',#62512,#14867);
#10769=LINE('',#62514,#14868);
#10770=LINE('',#62518,#14869);
#10771=LINE('',#62521,#14870);
#10772=LINE('',#62523,#14871);
#10773=LINE('',#62524,#14872);
#10774=LINE('',#62527,#14873);
#10775=LINE('',#62531,#14874);
#10776=LINE('',#62535,#14875);
#10777=LINE('',#62537,#14876);
#10778=LINE('',#62539,#14877);
#10779=LINE('',#62543,#14878);
#10780=LINE('',#62549,#14879);
#10781=LINE('',#62553,#14880);
#10782=LINE('',#62555,#14881);
#10783=LINE('',#62557,#14882);
#10784=LINE('',#62561,#14883);
#10785=LINE('',#62564,#14884);
#10786=LINE('',#62566,#14885);
#10787=LINE('',#62567,#14886);
#10788=LINE('',#62570,#14887);
#10789=LINE('',#62574,#14888);
#10790=LINE('',#62578,#14889);
#10791=LINE('',#62580,#14890);
#10792=LINE('',#62582,#14891);
#10793=LINE('',#62586,#14892);
#10794=LINE('',#62589,#14893);
#10795=LINE('',#62592,#14894);
#10796=LINE('',#62596,#14895);
#10797=LINE('',#62600,#14896);
#10798=LINE('',#62602,#14897);
#10799=LINE('',#62604,#14898);
#10800=LINE('',#62608,#14899);
#10801=LINE('',#62611,#14900);
#10802=LINE('',#62613,#14901);
#10803=LINE('',#62614,#14902);
#10804=LINE('',#62617,#14903);
#10805=LINE('',#62621,#14904);
#10806=LINE('',#62625,#14905);
#10807=LINE('',#62627,#14906);
#10808=LINE('',#62629,#14907);
#10809=LINE('',#62633,#14908);
#10810=LINE('',#62639,#14909);
#10811=LINE('',#62643,#14910);
#10812=LINE('',#62645,#14911);
#10813=LINE('',#62647,#14912);
#10814=LINE('',#62651,#14913);
#10815=LINE('',#62654,#14914);
#10816=LINE('',#62656,#14915);
#10817=LINE('',#62657,#14916);
#10818=LINE('',#62660,#14917);
#10819=LINE('',#62664,#14918);
#10820=LINE('',#62668,#14919);
#10821=LINE('',#62670,#14920);
#10822=LINE('',#62672,#14921);
#10823=LINE('',#62676,#14922);
#10824=LINE('',#62679,#14923);
#10825=LINE('',#62682,#14924);
#10826=LINE('',#62686,#14925);
#10827=LINE('',#62690,#14926);
#10828=LINE('',#62692,#14927);
#10829=LINE('',#62694,#14928);
#10830=LINE('',#62698,#14929);
#10831=LINE('',#62701,#14930);
#10832=LINE('',#62703,#14931);
#10833=LINE('',#62704,#14932);
#10834=LINE('',#62706,#14933);
#10835=LINE('',#62708,#14934);
#10836=LINE('',#62710,#14935);
#10837=LINE('',#62712,#14936);
#10838=LINE('',#62714,#14937);
#10839=LINE('',#62716,#14938);
#10840=LINE('',#62718,#14939);
#10841=LINE('',#62720,#14940);
#10842=LINE('',#62724,#14941);
#10843=LINE('',#62725,#14942);
#10844=LINE('',#62729,#14943);
#10845=LINE('',#62731,#14944);
#10846=LINE('',#62733,#14945);
#10847=LINE('',#62735,#14946);
#10848=LINE('',#62737,#14947);
#10849=LINE('',#62739,#14948);
#10850=LINE('',#62741,#14949);
#10851=LINE('',#62743,#14950);
#10852=LINE('',#62745,#14951);
#10853=LINE('',#62747,#14952);
#10854=LINE('',#62749,#14953);
#10855=LINE('',#62751,#14954);
#10856=LINE('',#62757,#14955);
#10857=LINE('',#62760,#14956);
#10858=LINE('',#62764,#14957);
#10859=LINE('',#62769,#14958);
#10860=LINE('',#62771,#14959);
#10861=LINE('',#62773,#14960);
#10862=LINE('',#62775,#14961);
#10863=LINE('',#62777,#14962);
#10864=LINE('',#62779,#14963);
#10865=LINE('',#62781,#14964);
#10866=LINE('',#62783,#14965);
#10867=LINE('',#62785,#14966);
#10868=LINE('',#62787,#14967);
#10869=LINE('',#62789,#14968);
#10870=LINE('',#62791,#14969);
#10871=LINE('',#62793,#14970);
#10872=LINE('',#62795,#14971);
#10873=LINE('',#62797,#14972);
#10874=LINE('',#62799,#14973);
#10875=LINE('',#62801,#14974);
#10876=LINE('',#62803,#14975);
#10877=LINE('',#62805,#14976);
#10878=LINE('',#62808,#14977);
#10879=LINE('',#62810,#14978);
#10880=LINE('',#62812,#14979);
#10881=LINE('',#62814,#14980);
#10882=LINE('',#62816,#14981);
#10883=LINE('',#62818,#14982);
#10884=LINE('',#62820,#14983);
#10885=LINE('',#62823,#14984);
#10886=LINE('',#62825,#14985);
#10887=LINE('',#62827,#14986);
#10888=LINE('',#62829,#14987);
#10889=LINE('',#62831,#14988);
#10890=LINE('',#62833,#14989);
#10891=LINE('',#62835,#14990);
#10892=LINE('',#62838,#14991);
#10893=LINE('',#62840,#14992);
#10894=LINE('',#62842,#14993);
#10895=LINE('',#62844,#14994);
#10896=LINE('',#62846,#14995);
#10897=LINE('',#62848,#14996);
#10898=LINE('',#62850,#14997);
#10899=LINE('',#62853,#14998);
#10900=LINE('',#62855,#14999);
#10901=LINE('',#62857,#15000);
#10902=LINE('',#62859,#15001);
#10903=LINE('',#62861,#15002);
#10904=LINE('',#62863,#15003);
#10905=LINE('',#62865,#15004);
#10906=LINE('',#62868,#15005);
#10907=LINE('',#62870,#15006);
#10908=LINE('',#62872,#15007);
#10909=LINE('',#62874,#15008);
#10910=LINE('',#62876,#15009);
#10911=LINE('',#62878,#15010);
#10912=LINE('',#62880,#15011);
#10913=LINE('',#62883,#15012);
#10914=LINE('',#62885,#15013);
#10915=LINE('',#62887,#15014);
#10916=LINE('',#62889,#15015);
#10917=LINE('',#62891,#15016);
#10918=LINE('',#62893,#15017);
#10919=LINE('',#62895,#15018);
#10920=LINE('',#62898,#15019);
#10921=LINE('',#62900,#15020);
#10922=LINE('',#62902,#15021);
#10923=LINE('',#62904,#15022);
#10924=LINE('',#62906,#15023);
#10925=LINE('',#62908,#15024);
#10926=LINE('',#62910,#15025);
#10927=LINE('',#62913,#15026);
#10928=LINE('',#62915,#15027);
#10929=LINE('',#62917,#15028);
#10930=LINE('',#62919,#15029);
#10931=LINE('',#62921,#15030);
#10932=LINE('',#62923,#15031);
#10933=LINE('',#62925,#15032);
#10934=LINE('',#62928,#15033);
#10935=LINE('',#62930,#15034);
#10936=LINE('',#62932,#15035);
#10937=LINE('',#62934,#15036);
#10938=LINE('',#62936,#15037);
#10939=LINE('',#62938,#15038);
#10940=LINE('',#62940,#15039);
#10941=LINE('',#62943,#15040);
#10942=LINE('',#62945,#15041);
#10943=LINE('',#62947,#15042);
#10944=LINE('',#62949,#15043);
#10945=LINE('',#62951,#15044);
#10946=LINE('',#62953,#15045);
#10947=LINE('',#62955,#15046);
#10948=LINE('',#62958,#15047);
#10949=LINE('',#62960,#15048);
#10950=LINE('',#62962,#15049);
#10951=LINE('',#62964,#15050);
#10952=LINE('',#62966,#15051);
#10953=LINE('',#62968,#15052);
#10954=LINE('',#62970,#15053);
#10955=LINE('',#62973,#15054);
#10956=LINE('',#62974,#15055);
#10957=LINE('',#62975,#15056);
#10958=LINE('',#62976,#15057);
#10959=LINE('',#62977,#15058);
#10960=LINE('',#62978,#15059);
#10961=LINE('',#62979,#15060);
#10962=LINE('',#62980,#15061);
#10963=LINE('',#62981,#15062);
#10964=LINE('',#62982,#15063);
#10965=LINE('',#62983,#15064);
#10966=LINE('',#62984,#15065);
#10967=LINE('',#62986,#15066);
#10968=LINE('',#62988,#15067);
#10969=LINE('',#62990,#15068);
#10970=LINE('',#62992,#15069);
#10971=LINE('',#62994,#15070);
#10972=LINE('',#62996,#15071);
#10973=LINE('',#62998,#15072);
#10974=LINE('',#63000,#15073);
#10975=LINE('',#63003,#15074);
#10976=LINE('',#63005,#15075);
#10977=LINE('',#63007,#15076);
#10978=LINE('',#63009,#15077);
#10979=LINE('',#63011,#15078);
#10980=LINE('',#63013,#15079);
#10981=LINE('',#63015,#15080);
#10982=LINE('',#63017,#15081);
#10983=LINE('',#63020,#15082);
#10984=LINE('',#63022,#15083);
#10985=LINE('',#63024,#15084);
#10986=LINE('',#63026,#15085);
#10987=LINE('',#63028,#15086);
#10988=LINE('',#63030,#15087);
#10989=LINE('',#63032,#15088);
#10990=LINE('',#63034,#15089);
#10991=LINE('',#63037,#15090);
#10992=LINE('',#63039,#15091);
#10993=LINE('',#63041,#15092);
#10994=LINE('',#63043,#15093);
#10995=LINE('',#63045,#15094);
#10996=LINE('',#63047,#15095);
#10997=LINE('',#63049,#15096);
#10998=LINE('',#63051,#15097);
#10999=LINE('',#63054,#15098);
#11000=LINE('',#63056,#15099);
#11001=LINE('',#63058,#15100);
#11002=LINE('',#63060,#15101);
#11003=LINE('',#63062,#15102);
#11004=LINE('',#63064,#15103);
#11005=LINE('',#63066,#15104);
#11006=LINE('',#63068,#15105);
#11007=LINE('',#63071,#15106);
#11008=LINE('',#63073,#15107);
#11009=LINE('',#63075,#15108);
#11010=LINE('',#63077,#15109);
#11011=LINE('',#63079,#15110);
#11012=LINE('',#63081,#15111);
#11013=LINE('',#63083,#15112);
#11014=LINE('',#63085,#15113);
#11015=LINE('',#63088,#15114);
#11016=LINE('',#63090,#15115);
#11017=LINE('',#63092,#15116);
#11018=LINE('',#63094,#15117);
#11019=LINE('',#63096,#15118);
#11020=LINE('',#63098,#15119);
#11021=LINE('',#63100,#15120);
#11022=LINE('',#63102,#15121);
#11023=LINE('',#63105,#15122);
#11024=LINE('',#63107,#15123);
#11025=LINE('',#63109,#15124);
#11026=LINE('',#63111,#15125);
#11027=LINE('',#63113,#15126);
#11028=LINE('',#63115,#15127);
#11029=LINE('',#63117,#15128);
#11030=LINE('',#63119,#15129);
#11031=LINE('',#63122,#15130);
#11032=LINE('',#63124,#15131);
#11033=LINE('',#63126,#15132);
#11034=LINE('',#63128,#15133);
#11035=LINE('',#63130,#15134);
#11036=LINE('',#63132,#15135);
#11037=LINE('',#63134,#15136);
#11038=LINE('',#63136,#15137);
#11039=LINE('',#63139,#15138);
#11040=LINE('',#63141,#15139);
#11041=LINE('',#63143,#15140);
#11042=LINE('',#63145,#15141);
#11043=LINE('',#63147,#15142);
#11044=LINE('',#63149,#15143);
#11045=LINE('',#63151,#15144);
#11046=LINE('',#63153,#15145);
#11047=LINE('',#63156,#15146);
#11048=LINE('',#63158,#15147);
#11049=LINE('',#63160,#15148);
#11050=LINE('',#63162,#15149);
#11051=LINE('',#63164,#15150);
#11052=LINE('',#63166,#15151);
#11053=LINE('',#63168,#15152);
#11054=LINE('',#63170,#15153);
#11055=LINE('',#63173,#15154);
#11056=LINE('',#63175,#15155);
#11057=LINE('',#63177,#15156);
#11058=LINE('',#63179,#15157);
#11059=LINE('',#63181,#15158);
#11060=LINE('',#63183,#15159);
#11061=LINE('',#63185,#15160);
#11062=LINE('',#63187,#15161);
#11063=LINE('',#63190,#15162);
#11064=LINE('',#63192,#15163);
#11065=LINE('',#63194,#15164);
#11066=LINE('',#63196,#15165);
#11067=LINE('',#63198,#15166);
#11068=LINE('',#63200,#15167);
#11069=LINE('',#63202,#15168);
#11070=LINE('',#63205,#15169);
#11071=LINE('',#63207,#15170);
#11072=LINE('',#63209,#15171);
#11073=LINE('',#63211,#15172);
#11074=LINE('',#63213,#15173);
#11075=LINE('',#63215,#15174);
#11076=LINE('',#63217,#15175);
#11077=LINE('',#63220,#15176);
#11078=LINE('',#63222,#15177);
#11079=LINE('',#63224,#15178);
#11080=LINE('',#63226,#15179);
#11081=LINE('',#63228,#15180);
#11082=LINE('',#63230,#15181);
#11083=LINE('',#63232,#15182);
#11084=LINE('',#63235,#15183);
#11085=LINE('',#63237,#15184);
#11086=LINE('',#63239,#15185);
#11087=LINE('',#63241,#15186);
#11088=LINE('',#63243,#15187);
#11089=LINE('',#63245,#15188);
#11090=LINE('',#63247,#15189);
#11091=LINE('',#63250,#15190);
#11092=LINE('',#63252,#15191);
#11093=LINE('',#63254,#15192);
#11094=LINE('',#63256,#15193);
#11095=LINE('',#63258,#15194);
#11096=LINE('',#63260,#15195);
#11097=LINE('',#63262,#15196);
#11098=LINE('',#63265,#15197);
#11099=LINE('',#63267,#15198);
#11100=LINE('',#63269,#15199);
#11101=LINE('',#63271,#15200);
#11102=LINE('',#63273,#15201);
#11103=LINE('',#63275,#15202);
#11104=LINE('',#63277,#15203);
#11105=LINE('',#63280,#15204);
#11106=LINE('',#63282,#15205);
#11107=LINE('',#63284,#15206);
#11108=LINE('',#63286,#15207);
#11109=LINE('',#63288,#15208);
#11110=LINE('',#63290,#15209);
#11111=LINE('',#63292,#15210);
#11112=LINE('',#63295,#15211);
#11113=LINE('',#63297,#15212);
#11114=LINE('',#63299,#15213);
#11115=LINE('',#63301,#15214);
#11116=LINE('',#63303,#15215);
#11117=LINE('',#63305,#15216);
#11118=LINE('',#63307,#15217);
#11119=LINE('',#63310,#15218);
#11120=LINE('',#63312,#15219);
#11121=LINE('',#63314,#15220);
#11122=LINE('',#63316,#15221);
#11123=LINE('',#63318,#15222);
#11124=LINE('',#63320,#15223);
#11125=LINE('',#63322,#15224);
#11126=LINE('',#63325,#15225);
#11127=LINE('',#63327,#15226);
#11128=LINE('',#63329,#15227);
#11129=LINE('',#63331,#15228);
#11130=LINE('',#63333,#15229);
#11131=LINE('',#63335,#15230);
#11132=LINE('',#63337,#15231);
#11133=LINE('',#63340,#15232);
#11134=LINE('',#63342,#15233);
#11135=LINE('',#63344,#15234);
#11136=LINE('',#63346,#15235);
#11137=LINE('',#63348,#15236);
#11138=LINE('',#63350,#15237);
#11139=LINE('',#63352,#15238);
#11140=LINE('',#63361,#15239);
#11141=LINE('',#63363,#15240);
#11142=LINE('',#63365,#15241);
#11143=LINE('',#63366,#15242);
#11144=LINE('',#63369,#15243);
#11145=LINE('',#63371,#15244);
#11146=LINE('',#63373,#15245);
#11147=LINE('',#63375,#15246);
#11148=LINE('',#63377,#15247);
#11149=LINE('',#63379,#15248);
#11150=LINE('',#63381,#15249);
#11151=LINE('',#63383,#15250);
#11152=LINE('',#63384,#15251);
#11153=LINE('',#63387,#15252);
#11154=LINE('',#63388,#15253);
#11155=LINE('',#63391,#15254);
#11156=LINE('',#63393,#15255);
#11157=LINE('',#63395,#15256);
#11158=LINE('',#63396,#15257);
#11159=LINE('',#63398,#15258);
#11160=LINE('',#63401,#15259);
#11161=LINE('',#63403,#15260);
#11162=LINE('',#63404,#15261);
#11163=LINE('',#63407,#15262);
#11164=LINE('',#63409,#15263);
#11165=LINE('',#63410,#15264);
#11166=LINE('',#63413,#15265);
#11167=LINE('',#63415,#15266);
#11168=LINE('',#63416,#15267);
#11169=LINE('',#63419,#15268);
#11170=LINE('',#63421,#15269);
#11171=LINE('',#63422,#15270);
#11172=LINE('',#63425,#15271);
#11173=LINE('',#63427,#15272);
#11174=LINE('',#63428,#15273);
#11175=LINE('',#63431,#15274);
#11176=LINE('',#63433,#15275);
#11177=LINE('',#63434,#15276);
#11178=LINE('',#63437,#15277);
#11179=LINE('',#63439,#15278);
#11180=LINE('',#63440,#15279);
#11181=LINE('',#63443,#15280);
#11182=LINE('',#63444,#15281);
#11183=LINE('',#63447,#15282);
#11184=LINE('',#63448,#15283);
#11185=LINE('',#63451,#15284);
#11186=LINE('',#63453,#15285);
#11187=LINE('',#63454,#15286);
#11188=LINE('',#63457,#15287);
#11189=LINE('',#63459,#15288);
#11190=LINE('',#63460,#15289);
#11191=LINE('',#63462,#15290);
#11192=LINE('',#63465,#15291);
#11193=LINE('',#63467,#15292);
#11194=LINE('',#63468,#15293);
#11195=LINE('',#63473,#15294);
#11196=LINE('',#63477,#15295);
#11197=LINE('',#63479,#15296);
#11198=LINE('',#63481,#15297);
#11199=LINE('',#63485,#15298);
#11200=LINE('',#63488,#15299);
#11201=LINE('',#63491,#15300);
#11202=LINE('',#63495,#15301);
#11203=LINE('',#63499,#15302);
#11204=LINE('',#63501,#15303);
#11205=LINE('',#63503,#15304);
#11206=LINE('',#63507,#15305);
#11207=LINE('',#63511,#15306);
#11208=LINE('',#63513,#15307);
#11209=LINE('',#63514,#15308);
#11210=LINE('',#63517,#15309);
#11211=LINE('',#63521,#15310);
#11212=LINE('',#63525,#15311);
#11213=LINE('',#63527,#15312);
#11214=LINE('',#63529,#15313);
#11215=LINE('',#63533,#15314);
#11216=LINE('',#63536,#15315);
#11217=LINE('',#63539,#15316);
#11218=LINE('',#63543,#15317);
#11219=LINE('',#63547,#15318);
#11220=LINE('',#63549,#15319);
#11221=LINE('',#63551,#15320);
#11222=LINE('',#63555,#15321);
#11223=LINE('',#63558,#15322);
#11224=LINE('',#63561,#15323);
#11225=LINE('',#63563,#15324);
#11226=LINE('',#63564,#15325);
#11227=LINE('',#63569,#15326);
#11228=LINE('',#63573,#15327);
#11229=LINE('',#63575,#15328);
#11230=LINE('',#63577,#15329);
#11231=LINE('',#63581,#15330);
#11232=LINE('',#63584,#15331);
#11233=LINE('',#63587,#15332);
#11234=LINE('',#63591,#15333);
#11235=LINE('',#63595,#15334);
#11236=LINE('',#63597,#15335);
#11237=LINE('',#63599,#15336);
#11238=LINE('',#63603,#15337);
#11239=LINE('',#63607,#15338);
#11240=LINE('',#63609,#15339);
#11241=LINE('',#63610,#15340);
#11242=LINE('',#63612,#15341);
#11243=LINE('',#63615,#15342);
#11244=LINE('',#63616,#15343);
#11245=LINE('',#63619,#15344);
#11246=LINE('',#63620,#15345);
#11247=LINE('',#63625,#15346);
#11248=LINE('',#63629,#15347);
#11249=LINE('',#63631,#15348);
#11250=LINE('',#63633,#15349);
#11251=LINE('',#63637,#15350);
#11252=LINE('',#63640,#15351);
#11253=LINE('',#63643,#15352);
#11254=LINE('',#63647,#15353);
#11255=LINE('',#63651,#15354);
#11256=LINE('',#63653,#15355);
#11257=LINE('',#63655,#15356);
#11258=LINE('',#63659,#15357);
#11259=LINE('',#63663,#15358);
#11260=LINE('',#63665,#15359);
#11261=LINE('',#63666,#15360);
#11262=LINE('',#63668,#15361);
#11263=LINE('',#63670,#15362);
#11264=LINE('',#63672,#15363);
#11265=LINE('',#63673,#15364);
#11266=LINE('',#63674,#15365);
#11267=LINE('',#63676,#15366);
#11268=LINE('',#63678,#15367);
#11269=LINE('',#63679,#15368);
#11270=LINE('',#63681,#15369);
#11271=LINE('',#63683,#15370);
#11272=LINE('',#63685,#15371);
#11273=LINE('',#63687,#15372);
#11274=LINE('',#63689,#15373);
#11275=LINE('',#63691,#15374);
#11276=LINE('',#63693,#15375);
#11277=LINE('',#63695,#15376);
#11278=LINE('',#63698,#15377);
#11279=LINE('',#63700,#15378);
#11280=LINE('',#63702,#15379);
#11281=LINE('',#63704,#15380);
#11282=LINE('',#63706,#15381);
#11283=LINE('',#63708,#15382);
#11284=LINE('',#63710,#15383);
#11285=LINE('',#63712,#15384);
#11286=LINE('',#63715,#15385);
#11287=LINE('',#63717,#15386);
#11288=LINE('',#63719,#15387);
#11289=LINE('',#63721,#15388);
#11290=LINE('',#63723,#15389);
#11291=LINE('',#63725,#15390);
#11292=LINE('',#63727,#15391);
#11293=LINE('',#63729,#15392);
#11294=LINE('',#63733,#15393);
#11295=LINE('',#63734,#15394);
#11296=LINE('',#63736,#15395);
#11297=LINE('',#63738,#15396);
#11298=LINE('',#63739,#15397);
#11299=LINE('',#63741,#15398);
#11300=LINE('',#63743,#15399);
#11301=LINE('',#63745,#15400);
#11302=LINE('',#63747,#15401);
#11303=LINE('',#63749,#15402);
#11304=LINE('',#63751,#15403);
#11305=LINE('',#63753,#15404);
#11306=LINE('',#63755,#15405);
#11307=LINE('',#63758,#15406);
#11308=LINE('',#63773,#15407);
#11309=LINE('',#63777,#15408);
#11310=LINE('',#63781,#15409);
#11311=LINE('',#63785,#15410);
#11312=LINE('',#63789,#15411);
#11313=LINE('',#63810,#15412);
#11314=LINE('',#63811,#15413);
#11315=LINE('',#63812,#15414);
#11316=LINE('',#63815,#15415);
#11317=LINE('',#63820,#15416);
#11318=LINE('',#63823,#15417);
#11319=LINE('',#63824,#15418);
#11320=LINE('',#63827,#15419);
#11321=LINE('',#63828,#15420);
#11322=LINE('',#63832,#15421);
#11323=LINE('',#63836,#15422);
#11324=LINE('',#63838,#15423);
#11325=LINE('',#63841,#15424);
#11326=LINE('',#63847,#15425);
#11327=LINE('',#63853,#15426);
#11328=LINE('',#63859,#15427);
#11329=LINE('',#63866,#15428);
#11330=LINE('',#63867,#15429);
#11331=LINE('',#63868,#15430);
#11332=LINE('',#63871,#15431);
#11333=LINE('',#63876,#15432);
#11334=LINE('',#63880,#15433);
#11335=LINE('',#63882,#15434);
#11336=LINE('',#63884,#15435);
#11337=LINE('',#63886,#15436);
#11338=LINE('',#63888,#15437);
#11339=LINE('',#63890,#15438);
#11340=LINE('',#63892,#15439);
#11341=LINE('',#63894,#15440);
#11342=LINE('',#63895,#15441);
#11343=LINE('',#63896,#15442);
#11344=LINE('',#63899,#15443);
#11345=LINE('',#63901,#15444);
#11346=LINE('',#63903,#15445);
#11347=LINE('',#63905,#15446);
#11348=LINE('',#63907,#15447);
#11349=LINE('',#63909,#15448);
#11350=LINE('',#63911,#15449);
#11351=LINE('',#63913,#15450);
#11352=LINE('',#63915,#15451);
#11353=LINE('',#63916,#15452);
#11354=LINE('',#63920,#15453);
#11355=LINE('',#63924,#15454);
#11356=LINE('',#63926,#15455);
#11357=LINE('',#63937,#15456);
#11358=LINE('',#63938,#15457);
#11359=LINE('',#63939,#15458);
#11360=LINE('',#63940,#15459);
#11361=LINE('',#63944,#15460);
#11362=LINE('',#63948,#15461);
#11363=LINE('',#63951,#15462);
#11364=LINE('',#63953,#15463);
#11365=LINE('',#63957,#15464);
#11366=LINE('',#63961,#15465);
#11367=LINE('',#63965,#15466);
#11368=LINE('',#63967,#15467);
#11369=LINE('',#63969,#15468);
#11370=LINE('',#63973,#15469);
#11371=LINE('',#63977,#15470);
#11372=LINE('',#63978,#15471);
#11373=LINE('',#63982,#15472);
#11374=LINE('',#63986,#15473);
#11375=LINE('',#63990,#15474);
#11376=LINE('',#63992,#15475);
#11377=LINE('',#63994,#15476);
#11378=LINE('',#63998,#15477);
#11379=LINE('',#64002,#15478);
#11380=LINE('',#64005,#15479);
#11381=LINE('',#64009,#15480);
#11382=LINE('',#64014,#15481);
#11383=LINE('',#64018,#15482);
#11384=LINE('',#64022,#15483);
#11385=LINE('',#64024,#15484);
#11386=LINE('',#64026,#15485);
#11387=LINE('',#64030,#15486);
#11388=LINE('',#64034,#15487);
#11389=LINE('',#64037,#15488);
#11390=LINE('',#64039,#15489);
#11391=LINE('',#64043,#15490);
#11392=LINE('',#64048,#15491);
#11393=LINE('',#64052,#15492);
#11394=LINE('',#64055,#15493);
#11395=LINE('',#64057,#15494);
#11396=LINE('',#64061,#15495);
#11397=LINE('',#64065,#15496);
#11398=LINE('',#64069,#15497);
#11399=LINE('',#64071,#15498);
#11400=LINE('',#64073,#15499);
#11401=LINE('',#64077,#15500);
#11402=LINE('',#64080,#15501);
#11403=LINE('',#64084,#15502);
#11404=LINE('',#64088,#15503);
#11405=LINE('',#64091,#15504);
#11406=LINE('',#64093,#15505);
#11407=LINE('',#64097,#15506);
#11408=LINE('',#64101,#15507);
#11409=LINE('',#64105,#15508);
#11410=LINE('',#64107,#15509);
#11411=LINE('',#64109,#15510);
#11412=LINE('',#64113,#15511);
#11413=LINE('',#64117,#15512);
#11414=LINE('',#64118,#15513);
#11415=LINE('',#64122,#15514);
#11416=LINE('',#64126,#15515);
#11417=LINE('',#64130,#15516);
#11418=LINE('',#64132,#15517);
#11419=LINE('',#64134,#15518);
#11420=LINE('',#64138,#15519);
#11421=LINE('',#64142,#15520);
#11422=LINE('',#64145,#15521);
#11423=LINE('',#64149,#15522);
#11424=LINE('',#64154,#15523);
#11425=LINE('',#64158,#15524);
#11426=LINE('',#64162,#15525);
#11427=LINE('',#64164,#15526);
#11428=LINE('',#64166,#15527);
#11429=LINE('',#64170,#15528);
#11430=LINE('',#64174,#15529);
#11431=LINE('',#64177,#15530);
#11432=LINE('',#64179,#15531);
#11433=LINE('',#64183,#15532);
#11434=LINE('',#64188,#15533);
#11435=LINE('',#64192,#15534);
#11436=LINE('',#64195,#15535);
#11437=LINE('',#64197,#15536);
#11438=LINE('',#64201,#15537);
#11439=LINE('',#64205,#15538);
#11440=LINE('',#64209,#15539);
#11441=LINE('',#64211,#15540);
#11442=LINE('',#64213,#15541);
#11443=LINE('',#64217,#15542);
#11444=LINE('',#64220,#15543);
#11445=LINE('',#64223,#15544);
#11446=LINE('',#64225,#15545);
#11447=LINE('',#64227,#15546);
#11448=LINE('',#64229,#15547);
#11449=LINE('',#64231,#15548);
#11450=LINE('',#64232,#15549);
#11451=LINE('',#64234,#15550);
#11452=LINE('',#64236,#15551);
#11453=LINE('',#64238,#15552);
#11454=LINE('',#64240,#15553);
#11455=LINE('',#64243,#15554);
#11456=LINE('',#64245,#15555);
#11457=LINE('',#64247,#15556);
#11458=LINE('',#64249,#15557);
#11459=LINE('',#64251,#15558);
#11460=LINE('',#64253,#15559);
#11461=LINE('',#64255,#15560);
#11462=LINE('',#64257,#15561);
#11463=LINE('',#64260,#15562);
#11464=LINE('',#64261,#15563);
#11465=LINE('',#64263,#15564);
#11466=LINE('',#64265,#15565);
#11467=LINE('',#64267,#15566);
#11468=LINE('',#64269,#15567);
#11469=LINE('',#64272,#15568);
#11470=LINE('',#64274,#15569);
#11471=LINE('',#64276,#15570);
#11472=LINE('',#64278,#15571);
#11473=LINE('',#64280,#15572);
#11474=LINE('',#64282,#15573);
#11475=LINE('',#64284,#15574);
#11476=LINE('',#64286,#15575);
#11477=LINE('',#64289,#15576);
#11478=LINE('',#64290,#15577);
#11479=LINE('',#64292,#15578);
#11480=LINE('',#64294,#15579);
#11481=LINE('',#64296,#15580);
#11482=LINE('',#64298,#15581);
#11483=LINE('',#64301,#15582);
#11484=LINE('',#64303,#15583);
#11485=LINE('',#64305,#15584);
#11486=LINE('',#64307,#15585);
#11487=LINE('',#64309,#15586);
#11488=LINE('',#64311,#15587);
#11489=LINE('',#64313,#15588);
#11490=LINE('',#64315,#15589);
#11491=LINE('',#64318,#15590);
#11492=LINE('',#64319,#15591);
#11493=LINE('',#64321,#15592);
#11494=LINE('',#64323,#15593);
#11495=LINE('',#64325,#15594);
#11496=LINE('',#64327,#15595);
#11497=LINE('',#64330,#15596);
#11498=LINE('',#64332,#15597);
#11499=LINE('',#64334,#15598);
#11500=LINE('',#64336,#15599);
#11501=LINE('',#64338,#15600);
#11502=LINE('',#64340,#15601);
#11503=LINE('',#64342,#15602);
#11504=LINE('',#64344,#15603);
#11505=LINE('',#64352,#15604);
#11506=LINE('',#64354,#15605);
#11507=LINE('',#64356,#15606);
#11508=LINE('',#64357,#15607);
#11509=LINE('',#64361,#15608);
#11510=LINE('',#64365,#15609);
#11511=LINE('',#64367,#15610);
#11512=LINE('',#64369,#15611);
#11513=LINE('',#64373,#15612);
#11514=LINE('',#64379,#15613);
#11515=LINE('',#64382,#15614);
#11516=LINE('',#64386,#15615);
#11517=LINE('',#64388,#15616);
#11518=LINE('',#64392,#15617);
#11519=LINE('',#64394,#15618);
#11520=LINE('',#64396,#15619);
#11521=LINE('',#64399,#15620);
#11522=LINE('',#64401,#15621);
#11523=LINE('',#64404,#15622);
#11524=LINE('',#64406,#15623);
#11525=LINE('',#64408,#15624);
#11526=LINE('',#64410,#15625);
#11527=LINE('',#64411,#15626);
#11528=LINE('',#64413,#15627);
#11529=LINE('',#64415,#15628);
#11530=LINE('',#64418,#15629);
#11531=LINE('',#64420,#15630);
#11532=LINE('',#64422,#15631);
#11533=LINE('',#64424,#15632);
#11534=LINE('',#64425,#15633);
#11535=LINE('',#64427,#15634);
#11536=LINE('',#64431,#15635);
#11537=LINE('',#64435,#15636);
#11538=LINE('',#64439,#15637);
#11539=LINE('',#64444,#15638);
#11540=LINE('',#64446,#15639);
#11541=LINE('',#64447,#15640);
#11542=LINE('',#64450,#15641);
#11543=LINE('',#64451,#15642);
#11544=LINE('',#64455,#15643);
#11545=LINE('',#64460,#15644);
#11546=LINE('',#64464,#15645);
#11547=LINE('',#64468,#15646);
#11548=LINE('',#64469,#15647);
#11549=LINE('',#64471,#15648);
#11550=LINE('',#64473,#15649);
#11551=LINE('',#64475,#15650);
#11552=LINE('',#64477,#15651);
#11553=LINE('',#64479,#15652);
#11554=LINE('',#64481,#15653);
#11555=LINE('',#64483,#15654);
#11556=LINE('',#64485,#15655);
#11557=LINE('',#64493,#15656);
#11558=LINE('',#64495,#15657);
#11559=LINE('',#64497,#15658);
#11560=LINE('',#64498,#15659);
#11561=LINE('',#64502,#15660);
#11562=LINE('',#64503,#15661);
#11563=LINE('',#64504,#15662);
#11564=LINE('',#64507,#15663);
#11565=LINE('',#64509,#15664);
#11566=LINE('',#64510,#15665);
#11567=LINE('',#64513,#15666);
#11568=LINE('',#64515,#15667);
#11569=LINE('',#64517,#15668);
#11570=LINE('',#64519,#15669);
#11571=LINE('',#64521,#15670);
#11572=LINE('',#64523,#15671);
#11573=LINE('',#64525,#15672);
#11574=LINE('',#64527,#15673);
#11575=LINE('',#64529,#15674);
#11576=LINE('',#64531,#15675);
#11577=LINE('',#64533,#15676);
#11578=LINE('',#64535,#15677);
#11579=LINE('',#64537,#15678);
#11580=LINE('',#64539,#15679);
#11581=LINE('',#64541,#15680);
#11582=LINE('',#64543,#15681);
#11583=LINE('',#64545,#15682);
#11584=LINE('',#64547,#15683);
#11585=LINE('',#64549,#15684);
#11586=LINE('',#64551,#15685);
#11587=LINE('',#64553,#15686);
#11588=LINE('',#64555,#15687);
#11589=LINE('',#64557,#15688);
#11590=LINE('',#64559,#15689);
#11591=LINE('',#64561,#15690);
#11592=LINE('',#64563,#15691);
#11593=LINE('',#64565,#15692);
#11594=LINE('',#64567,#15693);
#11595=LINE('',#64568,#15694);
#11596=LINE('',#64571,#15695);
#11597=LINE('',#64573,#15696);
#11598=LINE('',#64575,#15697);
#11599=LINE('',#64576,#15698);
#11600=LINE('',#64579,#15699);
#11601=LINE('',#64581,#15700);
#11602=LINE('',#64583,#15701);
#11603=LINE('',#64584,#15702);
#11604=LINE('',#64587,#15703);
#11605=LINE('',#64589,#15704);
#11606=LINE('',#64591,#15705);
#11607=LINE('',#64592,#15706);
#11608=LINE('',#64595,#15707);
#11609=LINE('',#64597,#15708);
#11610=LINE('',#64599,#15709);
#11611=LINE('',#64600,#15710);
#11612=LINE('',#64603,#15711);
#11613=LINE('',#64605,#15712);
#11614=LINE('',#64607,#15713);
#11615=LINE('',#64608,#15714);
#11616=LINE('',#64611,#15715);
#11617=LINE('',#64613,#15716);
#11618=LINE('',#64615,#15717);
#11619=LINE('',#64617,#15718);
#11620=LINE('',#64619,#15719);
#11621=LINE('',#64621,#15720);
#11622=LINE('',#64623,#15721);
#11623=LINE('',#64625,#15722);
#11624=LINE('',#64627,#15723);
#11625=LINE('',#64629,#15724);
#11626=LINE('',#64631,#15725);
#11627=LINE('',#64633,#15726);
#11628=LINE('',#64635,#15727);
#11629=LINE('',#64637,#15728);
#11630=LINE('',#64639,#15729);
#11631=LINE('',#64641,#15730);
#11632=LINE('',#64643,#15731);
#11633=LINE('',#64645,#15732);
#11634=LINE('',#64647,#15733);
#11635=LINE('',#64649,#15734);
#11636=LINE('',#64651,#15735);
#11637=LINE('',#64653,#15736);
#11638=LINE('',#64655,#15737);
#11639=LINE('',#64657,#15738);
#11640=LINE('',#64659,#15739);
#11641=LINE('',#64661,#15740);
#11642=LINE('',#64663,#15741);
#11643=LINE('',#64665,#15742);
#11644=LINE('',#64666,#15743);
#11645=LINE('',#64669,#15744);
#11646=LINE('',#64671,#15745);
#11647=LINE('',#64673,#15746);
#11648=LINE('',#64674,#15747);
#11649=LINE('',#64677,#15748);
#11650=LINE('',#64679,#15749);
#11651=LINE('',#64681,#15750);
#11652=LINE('',#64682,#15751);
#11653=LINE('',#64685,#15752);
#11654=LINE('',#64687,#15753);
#11655=LINE('',#64689,#15754);
#11656=LINE('',#64690,#15755);
#11657=LINE('',#64693,#15756);
#11658=LINE('',#64695,#15757);
#11659=LINE('',#64697,#15758);
#11660=LINE('',#64698,#15759);
#11661=LINE('',#64701,#15760);
#11662=LINE('',#64703,#15761);
#11663=LINE('',#64705,#15762);
#11664=LINE('',#64706,#15763);
#11665=LINE('',#64708,#15764);
#11666=LINE('',#64710,#15765);
#11667=LINE('',#64712,#15766);
#11668=LINE('',#64714,#15767);
#11669=LINE('',#64716,#15768);
#11670=LINE('',#64718,#15769);
#11671=LINE('',#64720,#15770);
#11672=LINE('',#64722,#15771);
#11673=LINE('',#64724,#15772);
#11674=LINE('',#64726,#15773);
#11675=LINE('',#64728,#15774);
#11676=LINE('',#64730,#15775);
#11677=LINE('',#64732,#15776);
#11678=LINE('',#64734,#15777);
#11679=LINE('',#64736,#15778);
#11680=LINE('',#64738,#15779);
#11681=LINE('',#64740,#15780);
#11682=LINE('',#64742,#15781);
#11683=LINE('',#64744,#15782);
#11684=LINE('',#64746,#15783);
#11685=LINE('',#64748,#15784);
#11686=LINE('',#64750,#15785);
#11687=LINE('',#64752,#15786);
#11688=LINE('',#64754,#15787);
#11689=LINE('',#64756,#15788);
#11690=LINE('',#64758,#15789);
#11691=LINE('',#64760,#15790);
#11692=LINE('',#64762,#15791);
#11693=LINE('',#64766,#15792);
#11694=LINE('',#64768,#15793);
#11695=LINE('',#64769,#15794);
#11696=LINE('',#64772,#15795);
#11697=LINE('',#64773,#15796);
#11698=LINE('',#64776,#15797);
#11699=LINE('',#64777,#15798);
#11700=LINE('',#64779,#15799);
#11701=LINE('',#64782,#15800);
#11702=LINE('',#64784,#15801);
#11703=LINE('',#64785,#15802);
#11704=LINE('',#64788,#15803);
#11705=LINE('',#64789,#15804);
#11706=LINE('',#64792,#15805);
#11707=LINE('',#64793,#15806);
#11708=LINE('',#64795,#15807);
#11709=LINE('',#64798,#15808);
#11710=LINE('',#64800,#15809);
#11711=LINE('',#64801,#15810);
#11712=LINE('',#64804,#15811);
#11713=LINE('',#64805,#15812);
#11714=LINE('',#64808,#15813);
#11715=LINE('',#64809,#15814);
#11716=LINE('',#64811,#15815);
#11717=LINE('',#64814,#15816);
#11718=LINE('',#64816,#15817);
#11719=LINE('',#64817,#15818);
#11720=LINE('',#64820,#15819);
#11721=LINE('',#64821,#15820);
#11722=LINE('',#64824,#15821);
#11723=LINE('',#64825,#15822);
#11724=LINE('',#64827,#15823);
#11725=LINE('',#64830,#15824);
#11726=LINE('',#64832,#15825);
#11727=LINE('',#64833,#15826);
#11728=LINE('',#64836,#15827);
#11729=LINE('',#64837,#15828);
#11730=LINE('',#64840,#15829);
#11731=LINE('',#64841,#15830);
#11732=LINE('',#64843,#15831);
#11733=LINE('',#64846,#15832);
#11734=LINE('',#64848,#15833);
#11735=LINE('',#64849,#15834);
#11736=LINE('',#64852,#15835);
#11737=LINE('',#64853,#15836);
#11738=LINE('',#64856,#15837);
#11739=LINE('',#64857,#15838);
#11740=LINE('',#64859,#15839);
#11741=LINE('',#64862,#15840);
#11742=LINE('',#64864,#15841);
#11743=LINE('',#64865,#15842);
#11744=LINE('',#64868,#15843);
#11745=LINE('',#64869,#15844);
#11746=LINE('',#64872,#15845);
#11747=LINE('',#64873,#15846);
#11748=LINE('',#64875,#15847);
#11749=LINE('',#64878,#15848);
#11750=LINE('',#64880,#15849);
#11751=LINE('',#64881,#15850);
#11752=LINE('',#64884,#15851);
#11753=LINE('',#64885,#15852);
#11754=LINE('',#64888,#15853);
#11755=LINE('',#64889,#15854);
#11756=LINE('',#64891,#15855);
#11757=LINE('',#64894,#15856);
#11758=LINE('',#64896,#15857);
#11759=LINE('',#64897,#15858);
#11760=LINE('',#64900,#15859);
#11761=LINE('',#64901,#15860);
#11762=LINE('',#64904,#15861);
#11763=LINE('',#64905,#15862);
#11764=LINE('',#64907,#15863);
#11765=LINE('',#64910,#15864);
#11766=LINE('',#64912,#15865);
#11767=LINE('',#64913,#15866);
#11768=LINE('',#64916,#15867);
#11769=LINE('',#64917,#15868);
#11770=LINE('',#64920,#15869);
#11771=LINE('',#64921,#15870);
#11772=LINE('',#64923,#15871);
#11773=LINE('',#64926,#15872);
#11774=LINE('',#64928,#15873);
#11775=LINE('',#64929,#15874);
#11776=LINE('',#64932,#15875);
#11777=LINE('',#64933,#15876);
#11778=LINE('',#64936,#15877);
#11779=LINE('',#64937,#15878);
#11780=LINE('',#64939,#15879);
#11781=LINE('',#64943,#15880);
#11782=LINE('',#64944,#15881);
#11783=LINE('',#64945,#15882);
#11784=LINE('',#64948,#15883);
#11785=LINE('',#64949,#15884);
#11786=LINE('',#64952,#15885);
#11787=LINE('',#64953,#15886);
#11788=LINE('',#64955,#15887);
#11789=LINE('',#64959,#15888);
#11790=LINE('',#64960,#15889);
#11791=LINE('',#64961,#15890);
#11792=LINE('',#64964,#15891);
#11793=LINE('',#64965,#15892);
#11794=LINE('',#64968,#15893);
#11795=LINE('',#64969,#15894);
#11796=LINE('',#64971,#15895);
#11797=LINE('',#64975,#15896);
#11798=LINE('',#64976,#15897);
#11799=LINE('',#64977,#15898);
#11800=LINE('',#64980,#15899);
#11801=LINE('',#64981,#15900);
#11802=LINE('',#64984,#15901);
#11803=LINE('',#64985,#15902);
#11804=LINE('',#64987,#15903);
#11805=LINE('',#64991,#15904);
#11806=LINE('',#64992,#15905);
#11807=LINE('',#64993,#15906);
#11808=LINE('',#64996,#15907);
#11809=LINE('',#64997,#15908);
#11810=LINE('',#65000,#15909);
#11811=LINE('',#65001,#15910);
#11812=LINE('',#65003,#15911);
#11813=LINE('',#65006,#15912);
#11814=LINE('',#65008,#15913);
#11815=LINE('',#65009,#15914);
#11816=LINE('',#65012,#15915);
#11817=LINE('',#65013,#15916);
#11818=LINE('',#65016,#15917);
#11819=LINE('',#65017,#15918);
#11820=LINE('',#65019,#15919);
#11821=LINE('',#65023,#15920);
#11822=LINE('',#65024,#15921);
#11823=LINE('',#65025,#15922);
#11824=LINE('',#65028,#15923);
#11825=LINE('',#65029,#15924);
#11826=LINE('',#65032,#15925);
#11827=LINE('',#65033,#15926);
#11828=LINE('',#65035,#15927);
#11829=LINE('',#65039,#15928);
#11830=LINE('',#65040,#15929);
#11831=LINE('',#65041,#15930);
#11832=LINE('',#65044,#15931);
#11833=LINE('',#65045,#15932);
#11834=LINE('',#65048,#15933);
#11835=LINE('',#65049,#15934);
#11836=LINE('',#65051,#15935);
#11837=LINE('',#65055,#15936);
#11838=LINE('',#65056,#15937);
#11839=LINE('',#65057,#15938);
#11840=LINE('',#65060,#15939);
#11841=LINE('',#65061,#15940);
#11842=LINE('',#65064,#15941);
#11843=LINE('',#65065,#15942);
#11844=LINE('',#65067,#15943);
#11845=LINE('',#65071,#15944);
#11846=LINE('',#65072,#15945);
#11847=LINE('',#65073,#15946);
#11848=LINE('',#65076,#15947);
#11849=LINE('',#65077,#15948);
#11850=LINE('',#65080,#15949);
#11851=LINE('',#65081,#15950);
#11852=LINE('',#65083,#15951);
#11853=LINE('',#65100,#15952);
#11854=LINE('',#65102,#15953);
#11855=LINE('',#65104,#15954);
#11856=LINE('',#65105,#15955);
#11857=LINE('',#65109,#15956);
#11858=LINE('',#65111,#15957);
#11859=LINE('',#65112,#15958);
#11860=LINE('',#65114,#15959);
#11861=LINE('',#65115,#15960);
#11862=LINE('',#65119,#15961);
#11863=LINE('',#65121,#15962);
#11864=LINE('',#65123,#15963);
#11865=LINE('',#65125,#15964);
#11866=LINE('',#65127,#15965);
#11867=LINE('',#65128,#15966);
#11868=LINE('',#65130,#15967);
#11869=LINE('',#65132,#15968);
#11870=LINE('',#65133,#15969);
#11871=LINE('',#65136,#15970);
#11872=LINE('',#65137,#15971);
#11873=LINE('',#65140,#15972);
#11874=LINE('',#65142,#15973);
#11875=LINE('',#65144,#15974);
#11876=LINE('',#65145,#15975);
#11877=LINE('',#65148,#15976);
#11878=LINE('',#65150,#15977);
#11879=LINE('',#65151,#15978);
#11880=LINE('',#65153,#15979);
#11881=LINE('',#65158,#15980);
#11882=LINE('',#65162,#15981);
#11883=LINE('',#65163,#15982);
#11884=LINE('',#65167,#15983);
#11885=LINE('',#65168,#15984);
#11886=LINE('',#65170,#15985);
#11887=LINE('',#65172,#15986);
#11888=LINE('',#65176,#15987);
#11889=LINE('',#65180,#15988);
#11890=LINE('',#65182,#15989);
#11891=LINE('',#65184,#15990);
#11892=LINE('',#65186,#15991);
#11893=LINE('',#65188,#15992);
#11894=LINE('',#65190,#15993);
#11895=LINE('',#65192,#15994);
#11896=LINE('',#65194,#15995);
#11897=LINE('',#65196,#15996);
#11898=LINE('',#65198,#15997);
#11899=LINE('',#65199,#15998);
#11900=LINE('',#65202,#15999);
#11901=LINE('',#65204,#16000);
#11902=LINE('',#65205,#16001);
#11903=LINE('',#65209,#16002);
#11904=LINE('',#65213,#16003);
#11905=LINE('',#65217,#16004);
#11906=LINE('',#65218,#16005);
#11907=LINE('',#65219,#16006);
#11908=LINE('',#65222,#16007);
#11909=LINE('',#65223,#16008);
#11910=LINE('',#65226,#16009);
#11911=LINE('',#65228,#16010);
#11912=LINE('',#65229,#16011);
#11913=LINE('',#65234,#16012);
#11914=LINE('',#65238,#16013);
#11915=LINE('',#65240,#16014);
#11916=LINE('',#65241,#16015);
#11917=LINE('',#65243,#16016);
#11918=LINE('',#65245,#16017);
#11919=LINE('',#65246,#16018);
#11920=LINE('',#65249,#16019);
#11921=LINE('',#65250,#16020);
#11922=LINE('',#65253,#16021);
#11923=LINE('',#65254,#16022);
#11924=LINE('',#65257,#16023);
#11925=LINE('',#65258,#16024);
#11926=LINE('',#65261,#16025);
#11927=LINE('',#65262,#16026);
#11928=LINE('',#65263,#16027);
#11929=LINE('',#65268,#16028);
#11930=LINE('',#65270,#16029);
#11931=LINE('',#65272,#16030);
#11932=LINE('',#65274,#16031);
#11933=LINE('',#65276,#16032);
#11934=LINE('',#65277,#16033);
#11935=LINE('',#65278,#16034);
#11936=LINE('',#65281,#16035);
#11937=LINE('',#65284,#16036);
#11938=LINE('',#65287,#16037);
#11939=LINE('',#65288,#16038);
#11940=LINE('',#65296,#16039);
#11941=LINE('',#65298,#16040);
#11942=LINE('',#65301,#16041);
#11943=LINE('',#65303,#16042);
#11944=LINE('',#65304,#16043);
#11945=LINE('',#65307,#16044);
#11946=LINE('',#65311,#16045);
#11947=LINE('',#65315,#16046);
#11948=LINE('',#65316,#16047);
#11949=LINE('',#65318,#16048);
#11950=LINE('',#65321,#16049);
#11951=LINE('',#65323,#16050);
#11952=LINE('',#65324,#16051);
#11953=LINE('',#65327,#16052);
#11954=LINE('',#65328,#16053);
#11955=LINE('',#65330,#16054);
#11956=LINE('',#65333,#16055);
#11957=LINE('',#65334,#16056);
#11958=LINE('',#65338,#16057);
#11959=LINE('',#65341,#16058);
#11960=LINE('',#65342,#16059);
#11961=LINE('',#65346,#16060);
#11962=LINE('',#65349,#16061);
#11963=LINE('',#65351,#16062);
#11964=LINE('',#65352,#16063);
#11965=LINE('',#65354,#16064);
#11966=LINE('',#65355,#16065);
#11967=LINE('',#65357,#16066);
#11968=LINE('',#65360,#16067);
#11969=LINE('',#65361,#16068);
#11970=LINE('',#65372,#16069);
#11971=LINE('',#65376,#16070);
#11972=LINE('',#65380,#16071);
#11973=LINE('',#65384,#16072);
#11974=LINE('',#65389,#16073);
#11975=LINE('',#65390,#16074);
#11976=LINE('',#65391,#16075);
#11977=LINE('',#65394,#16076);
#11978=LINE('',#65399,#16077);
#11979=LINE('',#65402,#16078);
#11980=LINE('',#65403,#16079);
#11981=LINE('',#65406,#16080);
#11982=LINE('',#65407,#16081);
#11983=LINE('',#65410,#16082);
#11984=LINE('',#65415,#16083);
#11985=LINE('',#65417,#16084);
#11986=LINE('',#65423,#16085);
#11987=LINE('',#65427,#16086);
#11988=LINE('',#65431,#16087);
#11989=LINE('',#65434,#16088);
#11990=LINE('',#65437,#16089);
#11991=LINE('',#65440,#16090);
#11992=LINE('',#65443,#16091);
#11993=LINE('',#65444,#16092);
#11994=LINE('',#65447,#16093);
#11995=LINE('',#65451,#16094);
#11996=LINE('',#65452,#16095);
#11997=LINE('',#65455,#16096);
#11998=LINE('',#65459,#16097);
#11999=LINE('',#65460,#16098);
#12000=LINE('',#65463,#16099);
#12001=LINE('',#65466,#16100);
#12002=LINE('',#65470,#16101);
#12003=LINE('',#65474,#16102);
#12004=LINE('',#65478,#16103);
#12005=LINE('',#65482,#16104);
#12006=LINE('',#65487,#16105);
#12007=LINE('',#65488,#16106);
#12008=LINE('',#65489,#16107);
#12009=LINE('',#65492,#16108);
#12010=LINE('',#65497,#16109);
#12011=LINE('',#65500,#16110);
#12012=LINE('',#65501,#16111);
#12013=LINE('',#65504,#16112);
#12014=LINE('',#65505,#16113);
#12015=LINE('',#65508,#16114);
#12016=LINE('',#65513,#16115);
#12017=LINE('',#65515,#16116);
#12018=LINE('',#65522,#16117);
#12019=LINE('',#65524,#16118);
#12020=LINE('',#65526,#16119);
#12021=LINE('',#65527,#16120);
#12022=LINE('',#65531,#16121);
#12023=LINE('',#65532,#16122);
#12024=LINE('',#65533,#16123);
#12025=LINE('',#65536,#16124);
#12026=LINE('',#65538,#16125);
#12027=LINE('',#65539,#16126);
#12028=LINE('',#65541,#16127);
#12029=LINE('',#65564,#16128);
#12030=LINE('',#65568,#16129);
#12031=LINE('',#65930,#16130);
#12032=LINE('',#65933,#16131);
#12033=LINE('',#65938,#16132);
#12034=LINE('',#65941,#16133);
#12035=LINE('',#66155,#16134);
#12036=LINE('',#66420,#16135);
#12037=LINE('',#66423,#16136);
#12038=LINE('',#66548,#16137);
#12039=LINE('',#66551,#16138);
#12040=LINE('',#66686,#16139);
#12041=LINE('',#66690,#16140);
#12042=LINE('',#66770,#16141);
#12043=LINE('',#66772,#16142);
#12044=LINE('',#66774,#16143);
#12045=LINE('',#66776,#16144);
#12046=LINE('',#66778,#16145);
#12047=LINE('',#66780,#16146);
#12048=LINE('',#66781,#16147);
#12049=LINE('',#66783,#16148);
#12050=LINE('',#66785,#16149);
#12051=LINE('',#66788,#16150);
#12052=LINE('',#66790,#16151);
#12053=LINE('',#66792,#16152);
#12054=LINE('',#66794,#16153);
#12055=LINE('',#66796,#16154);
#12056=LINE('',#66798,#16155);
#12057=LINE('',#66800,#16156);
#12058=LINE('',#66802,#16157);
#12059=LINE('',#66804,#16158);
#12060=LINE('',#66806,#16159);
#12061=LINE('',#66808,#16160);
#12062=LINE('',#66810,#16161);
#12063=LINE('',#66812,#16162);
#12064=LINE('',#66814,#16163);
#12065=LINE('',#66816,#16164);
#12066=LINE('',#66818,#16165);
#12067=LINE('',#66820,#16166);
#12068=LINE('',#66822,#16167);
#12069=LINE('',#66824,#16168);
#12070=LINE('',#66826,#16169);
#12071=LINE('',#66828,#16170);
#12072=LINE('',#66830,#16171);
#12073=LINE('',#66832,#16172);
#12074=LINE('',#66834,#16173);
#12075=LINE('',#66836,#16174);
#12076=LINE('',#66838,#16175);
#12077=LINE('',#66840,#16176);
#12078=LINE('',#66842,#16177);
#12079=LINE('',#66844,#16178);
#12080=LINE('',#66846,#16179);
#12081=LINE('',#66848,#16180);
#12082=LINE('',#66850,#16181);
#12083=LINE('',#66852,#16182);
#12084=LINE('',#66854,#16183);
#12085=LINE('',#66856,#16184);
#12086=LINE('',#66858,#16185);
#12087=LINE('',#66860,#16186);
#12088=LINE('',#66862,#16187);
#12089=LINE('',#66864,#16188);
#12090=LINE('',#66866,#16189);
#12091=LINE('',#66868,#16190);
#12092=LINE('',#66870,#16191);
#12093=LINE('',#66872,#16192);
#12094=LINE('',#66874,#16193);
#12095=LINE('',#66876,#16194);
#12096=LINE('',#66878,#16195);
#12097=LINE('',#66880,#16196);
#12098=LINE('',#66882,#16197);
#12099=LINE('',#66884,#16198);
#12100=LINE('',#66886,#16199);
#12101=LINE('',#66888,#16200);
#12102=LINE('',#66890,#16201);
#12103=LINE('',#66892,#16202);
#12104=LINE('',#66894,#16203);
#12105=LINE('',#66896,#16204);
#12106=LINE('',#66898,#16205);
#12107=LINE('',#66900,#16206);
#12108=LINE('',#66902,#16207);
#12109=LINE('',#66904,#16208);
#12110=LINE('',#66906,#16209);
#12111=LINE('',#66908,#16210);
#12112=LINE('',#66910,#16211);
#12113=LINE('',#66912,#16212);
#12114=LINE('',#66914,#16213);
#12115=LINE('',#66916,#16214);
#12116=LINE('',#66918,#16215);
#12117=LINE('',#66920,#16216);
#12118=LINE('',#66922,#16217);
#12119=LINE('',#66924,#16218);
#12120=LINE('',#66926,#16219);
#12121=LINE('',#66928,#16220);
#12122=LINE('',#66930,#16221);
#12123=LINE('',#66932,#16222);
#12124=LINE('',#66934,#16223);
#12125=LINE('',#66936,#16224);
#12126=LINE('',#66938,#16225);
#12127=LINE('',#66940,#16226);
#12128=LINE('',#66942,#16227);
#12129=LINE('',#66944,#16228);
#12130=LINE('',#66946,#16229);
#12131=LINE('',#66948,#16230);
#12132=LINE('',#66950,#16231);
#12133=LINE('',#66952,#16232);
#12134=LINE('',#66954,#16233);
#12135=LINE('',#66956,#16234);
#12136=LINE('',#66958,#16235);
#12137=LINE('',#66960,#16236);
#12138=LINE('',#66962,#16237);
#12139=LINE('',#66964,#16238);
#12140=LINE('',#66966,#16239);
#12141=LINE('',#66967,#16240);
#12142=LINE('',#66969,#16241);
#12143=LINE('',#66971,#16242);
#12144=LINE('',#66974,#16243);
#12145=LINE('',#66975,#16244);
#12146=LINE('',#66977,#16245);
#12147=LINE('',#66979,#16246);
#12148=LINE('',#66982,#16247);
#12149=LINE('',#66984,#16248);
#12150=LINE('',#66986,#16249);
#12151=LINE('',#66988,#16250);
#12152=LINE('',#66990,#16251);
#12153=LINE('',#66992,#16252);
#12154=LINE('',#66994,#16253);
#12155=LINE('',#66996,#16254);
#12156=LINE('',#66998,#16255);
#12157=LINE('',#67000,#16256);
#12158=LINE('',#67002,#16257);
#12159=LINE('',#67004,#16258);
#12160=LINE('',#67006,#16259);
#12161=LINE('',#67008,#16260);
#12162=LINE('',#67010,#16261);
#12163=LINE('',#67012,#16262);
#12164=LINE('',#67014,#16263);
#12165=LINE('',#67016,#16264);
#12166=LINE('',#67018,#16265);
#12167=LINE('',#67020,#16266);
#12168=LINE('',#67022,#16267);
#12169=LINE('',#67024,#16268);
#12170=LINE('',#67026,#16269);
#12171=LINE('',#67028,#16270);
#12172=LINE('',#67030,#16271);
#12173=LINE('',#67032,#16272);
#12174=LINE('',#67034,#16273);
#12175=LINE('',#67036,#16274);
#12176=LINE('',#67038,#16275);
#12177=LINE('',#67040,#16276);
#12178=LINE('',#67042,#16277);
#12179=LINE('',#67044,#16278);
#12180=LINE('',#67046,#16279);
#12181=LINE('',#67048,#16280);
#12182=LINE('',#67050,#16281);
#12183=LINE('',#67052,#16282);
#12184=LINE('',#67054,#16283);
#12185=LINE('',#67056,#16284);
#12186=LINE('',#67058,#16285);
#12187=LINE('',#67060,#16286);
#12188=LINE('',#67062,#16287);
#12189=LINE('',#67064,#16288);
#12190=LINE('',#67066,#16289);
#12191=LINE('',#67068,#16290);
#12192=LINE('',#67070,#16291);
#12193=LINE('',#67072,#16292);
#12194=LINE('',#67074,#16293);
#12195=LINE('',#67076,#16294);
#12196=LINE('',#67078,#16295);
#12197=LINE('',#67080,#16296);
#12198=LINE('',#67082,#16297);
#12199=LINE('',#67084,#16298);
#12200=LINE('',#67086,#16299);
#12201=LINE('',#67161,#16300);
#12202=LINE('',#67163,#16301);
#12203=LINE('',#67165,#16302);
#12204=LINE('',#67166,#16303);
#12205=LINE('',#67169,#16304);
#12206=LINE('',#67171,#16305);
#12207=LINE('',#67172,#16306);
#12208=LINE('',#67175,#16307);
#12209=LINE('',#67177,#16308);
#12210=LINE('',#67178,#16309);
#12211=LINE('',#67180,#16310);
#12212=LINE('',#67181,#16311);
#12213=LINE('',#67184,#16312);
#12214=LINE('',#67186,#16313);
#12215=LINE('',#67187,#16314);
#12216=LINE('',#67190,#16315);
#12217=LINE('',#67191,#16316);
#12218=LINE('',#67195,#16317);
#12219=LINE('',#67197,#16318);
#12220=LINE('',#67199,#16319);
#12221=LINE('',#67200,#16320);
#12222=LINE('',#67206,#16321);
#12223=LINE('',#67210,#16322);
#12224=LINE('',#67214,#16323);
#12225=LINE('',#67218,#16324);
#12226=LINE('',#67220,#16325);
#12227=LINE('',#67221,#16326);
#12228=LINE('',#67224,#16327);
#12229=LINE('',#67225,#16328);
#12230=LINE('',#67230,#16329);
#12231=LINE('',#67237,#16330);
#12232=LINE('',#67241,#16331);
#12233=LINE('',#67245,#16332);
#12234=LINE('',#67249,#16333);
#12235=LINE('',#67251,#16334);
#12236=LINE('',#67252,#16335);
#12237=LINE('',#67255,#16336);
#12238=LINE('',#67256,#16337);
#12239=LINE('',#67261,#16338);
#12240=LINE('',#67264,#16339);
#12241=LINE('',#67265,#16340);
#12242=LINE('',#67267,#16341);
#12243=LINE('',#67268,#16342);
#12244=LINE('',#67271,#16343);
#12245=LINE('',#67272,#16344);
#12246=LINE('',#67274,#16345);
#12247=LINE('',#67275,#16346);
#12248=LINE('',#67279,#16347);
#12249=LINE('',#67280,#16348);
#12250=LINE('',#67283,#16349);
#12251=LINE('',#67284,#16350);
#12252=LINE('',#67286,#16351);
#12253=LINE('',#67289,#16352);
#12254=LINE('',#67290,#16353);
#12255=LINE('',#67292,#16354);
#12256=LINE('',#67294,#16355);
#12257=LINE('',#67303,#16356);
#12258=LINE('',#67306,#16357);
#12259=LINE('',#67313,#16358);
#12260=LINE('',#67320,#16359);
#12261=LINE('',#67325,#16360);
#12262=LINE('',#67327,#16361);
#12263=LINE('',#67329,#16362);
#12264=LINE('',#67331,#16363);
#12265=LINE('',#67333,#16364);
#12266=LINE('',#67337,#16365);
#12267=LINE('',#67341,#16366);
#12268=LINE('',#67345,#16367);
#12269=LINE('',#67348,#16368);
#12270=LINE('',#67352,#16369);
#12271=LINE('',#67357,#16370);
#12272=LINE('',#67364,#16371);
#12273=LINE('',#67368,#16372);
#12274=LINE('',#67373,#16373);
#12275=LINE('',#67380,#16374);
#12276=LINE('',#67384,#16375);
#12277=LINE('',#67389,#16376);
#12278=LINE('',#67396,#16377);
#12279=LINE('',#67400,#16378);
#12280=LINE('',#67405,#16379);
#12281=LINE('',#67412,#16380);
#12282=LINE('',#67418,#16381);
#12283=LINE('',#67420,#16382);
#12284=LINE('',#67421,#16383);
#12285=LINE('',#67425,#16384);
#12286=LINE('',#67431,#16385);
#12287=LINE('',#67432,#16386);
#12288=LINE('',#67433,#16387);
#12289=LINE('',#67436,#16388);
#12290=LINE('',#67442,#16389);
#12291=LINE('',#67445,#16390);
#12292=LINE('',#67449,#16391);
#12293=LINE('',#67450,#16392);
#12294=LINE('',#67453,#16393);
#12295=LINE('',#67459,#16394);
#12296=LINE('',#67461,#16395);
#12297=LINE('',#67462,#16396);
#12298=LINE('',#67465,#16397);
#12299=LINE('',#67473,#16398);
#12300=LINE('',#67477,#16399);
#12301=LINE('',#67478,#16400);
#12302=LINE('',#67482,#16401);
#12303=LINE('',#67488,#16402);
#12304=LINE('',#67489,#16403);
#12305=LINE('',#67490,#16404);
#12306=LINE('',#67493,#16405);
#12307=LINE('',#67497,#16406);
#12308=LINE('',#67500,#16407);
#12309=LINE('',#67502,#16408);
#12310=LINE('',#67506,#16409);
#12311=LINE('',#67510,#16410);
#12312=LINE('',#67511,#16411);
#12313=LINE('',#67518,#16412);
#12314=LINE('',#67524,#16413);
#12315=LINE('',#67532,#16414);
#12316=LINE('',#67538,#16415);
#12317=LINE('',#67546,#16416);
#12318=LINE('',#67552,#16417);
#12319=LINE('',#67560,#16418);
#12320=LINE('',#67566,#16419);
#12321=LINE('',#67573,#16420);
#12322=LINE('',#67576,#16421);
#12323=LINE('',#67579,#16422);
#12324=LINE('',#67581,#16423);
#12325=LINE('',#67582,#16424);
#12326=LINE('',#67585,#16425);
#12327=LINE('',#67587,#16426);
#12328=LINE('',#67589,#16427);
#12329=LINE('',#67593,#16428);
#12330=LINE('',#67595,#16429);
#12331=LINE('',#67597,#16430);
#12332=LINE('',#67599,#16431);
#12333=LINE('',#67603,#16432);
#12334=LINE('',#67607,#16433);
#12335=LINE('',#67609,#16434);
#12336=LINE('',#67610,#16435);
#12337=LINE('',#67617,#16436);
#12338=LINE('',#67620,#16437);
#12339=LINE('',#67623,#16438);
#12340=LINE('',#67624,#16439);
#12341=LINE('',#67630,#16440);
#12342=LINE('',#67633,#16441);
#12343=LINE('',#67636,#16442);
#12344=LINE('',#67638,#16443);
#12345=LINE('',#67639,#16444);
#12346=LINE('',#67642,#16445);
#12347=LINE('',#67644,#16446);
#12348=LINE('',#67646,#16447);
#12349=LINE('',#67648,#16448);
#12350=LINE('',#67652,#16449);
#12351=LINE('',#67656,#16450);
#12352=LINE('',#67658,#16451);
#12353=LINE('',#67660,#16452);
#12354=LINE('',#67662,#16453);
#12355=LINE('',#67666,#16454);
#12356=LINE('',#67667,#16455);
#12357=LINE('',#67674,#16456);
#12358=LINE('',#67677,#16457);
#12359=LINE('',#67680,#16458);
#12360=LINE('',#67681,#16459);
#12361=LINE('',#67683,#16460);
#12362=LINE('',#67684,#16461);
#12363=LINE('',#67686,#16462);
#12364=LINE('',#67689,#16463);
#12365=LINE('',#67692,#16464);
#12366=LINE('',#67695,#16465);
#12367=LINE('',#67696,#16466);
#12368=LINE('',#67700,#16467);
#12369=LINE('',#67702,#16468);
#12370=LINE('',#67704,#16469);
#12371=LINE('',#67707,#16470);
#12372=LINE('',#67708,#16471);
#12373=LINE('',#67711,#16472);
#12374=LINE('',#67713,#16473);
#12375=LINE('',#67715,#16474);
#12376=LINE('',#67716,#16475);
#12377=LINE('',#67717,#16476);
#12378=LINE('',#67720,#16477);
#12379=LINE('',#67722,#16478);
#12380=LINE('',#67723,#16479);
#12381=LINE('',#67726,#16480);
#12382=LINE('',#67727,#16481);
#12383=LINE('',#67728,#16482);
#12384=LINE('',#67730,#16483);
#12385=LINE('',#67734,#16484);
#12386=LINE('',#67736,#16485);
#12387=LINE('',#67738,#16486);
#12388=LINE('',#67739,#16487);
#12389=LINE('',#67742,#16488);
#12390=LINE('',#67744,#16489);
#12391=LINE('',#67745,#16490);
#12392=LINE('',#67747,#16491);
#12393=LINE('',#67748,#16492);
#12394=LINE('',#67750,#16493);
#12395=LINE('',#67752,#16494);
#12396=LINE('',#67755,#16495);
#12397=LINE('',#67758,#16496);
#12398=LINE('',#67760,#16497);
#12399=LINE('',#67761,#16498);
#12400=LINE('',#67763,#16499);
#12401=LINE('',#67764,#16500);
#12402=LINE('',#67766,#16501);
#12403=LINE('',#67767,#16502);
#12404=LINE('',#67770,#16503);
#12405=LINE('',#67773,#16504);
#12406=LINE('',#67778,#16505);
#12407=LINE('',#67779,#16506);
#12408=LINE('',#67782,#16507);
#12409=LINE('',#67783,#16508);
#12410=LINE('',#67785,#16509);
#12411=LINE('',#67786,#16510);
#12412=LINE('',#67788,#16511);
#12413=LINE('',#67789,#16512);
#12414=LINE('',#67792,#16513);
#12415=LINE('',#67793,#16514);
#12416=LINE('',#67794,#16515);
#12417=LINE('',#67797,#16516);
#12418=LINE('',#67798,#16517);
#12419=LINE('',#67800,#16518);
#12420=LINE('',#67801,#16519);
#12421=LINE('',#67802,#16520);
#12422=LINE('',#67803,#16521);
#12423=LINE('',#67804,#16522);
#12424=LINE('',#67805,#16523);
#12425=LINE('',#67806,#16524);
#12426=LINE('',#67815,#16525);
#12427=LINE('',#67823,#16526);
#12428=LINE('',#67824,#16527);
#12429=LINE('',#67826,#16528);
#12430=LINE('',#67829,#16529);
#12431=LINE('',#67830,#16530);
#12432=LINE('',#67832,#16531);
#12433=LINE('',#67835,#16532);
#12434=LINE('',#67839,#16533);
#12435=LINE('',#67843,#16534);
#12436=LINE('',#67847,#16535);
#12437=LINE('',#67851,#16536);
#12438=LINE('',#67852,#16537);
#12439=LINE('',#67854,#16538);
#12440=LINE('',#67857,#16539);
#12441=LINE('',#67858,#16540);
#12442=LINE('',#67860,#16541);
#12443=LINE('',#67869,#16542);
#12444=LINE('',#67871,#16543);
#12445=LINE('',#67873,#16544);
#12446=LINE('',#67874,#16545);
#12447=LINE('',#67877,#16546);
#12448=LINE('',#67879,#16547);
#12449=LINE('',#67880,#16548);
#12450=LINE('',#67883,#16549);
#12451=LINE('',#67885,#16550);
#12452=LINE('',#67886,#16551);
#12453=LINE('',#67888,#16552);
#12454=LINE('',#67889,#16553);
#12455=LINE('',#67894,#16554);
#12456=LINE('',#67897,#16555);
#12457=LINE('',#67898,#16556);
#12458=LINE('',#67907,#16557);
#12459=LINE('',#67909,#16558);
#12460=LINE('',#67911,#16559);
#12461=LINE('',#67913,#16560);
#12462=LINE('',#67915,#16561);
#12463=LINE('',#67916,#16562);
#12464=LINE('',#67920,#16563);
#12465=LINE('',#67922,#16564);
#12466=LINE('',#67924,#16565);
#12467=LINE('',#67926,#16566);
#12468=LINE('',#67928,#16567);
#12469=LINE('',#67929,#16568);
#12470=LINE('',#67932,#16569);
#12471=LINE('',#67934,#16570);
#12472=LINE('',#67935,#16571);
#12473=LINE('',#67943,#16572);
#12474=LINE('',#67947,#16573);
#12475=LINE('',#67948,#16574);
#12476=LINE('',#67952,#16575);
#12477=LINE('',#67955,#16576);
#12478=LINE('',#67959,#16577);
#12479=LINE('',#67960,#16578);
#12480=LINE('',#67964,#16579);
#12481=LINE('',#67966,#16580);
#12482=LINE('',#67974,#16581);
#12483=LINE('',#67976,#16582);
#12484=LINE('',#67981,#16583);
#12485=LINE('',#67983,#16584);
#12486=LINE('',#67985,#16585);
#12487=LINE('',#67987,#16586);
#12488=LINE('',#67989,#16587);
#12489=LINE('',#67991,#16588);
#12490=LINE('',#67993,#16589);
#12491=LINE('',#67994,#16590);
#12492=LINE('',#67998,#16591);
#12493=LINE('',#68000,#16592);
#12494=LINE('',#68002,#16593);
#12495=LINE('',#68004,#16594);
#12496=LINE('',#68006,#16595);
#12497=LINE('',#68008,#16596);
#12498=LINE('',#68010,#16597);
#12499=LINE('',#68011,#16598);
#12500=LINE('',#68015,#16599);
#12501=LINE('',#68017,#16600);
#12502=LINE('',#68019,#16601);
#12503=LINE('',#68021,#16602);
#12504=LINE('',#68023,#16603);
#12505=LINE('',#68025,#16604);
#12506=LINE('',#68027,#16605);
#12507=LINE('',#68028,#16606);
#12508=LINE('',#68032,#16607);
#12509=LINE('',#68034,#16608);
#12510=LINE('',#68036,#16609);
#12511=LINE('',#68038,#16610);
#12512=LINE('',#68040,#16611);
#12513=LINE('',#68041,#16612);
#12514=LINE('',#68045,#16613);
#12515=LINE('',#68047,#16614);
#12516=LINE('',#68049,#16615);
#12517=LINE('',#68051,#16616);
#12518=LINE('',#68053,#16617);
#12519=LINE('',#68055,#16618);
#12520=LINE('',#68057,#16619);
#12521=LINE('',#68058,#16620);
#12522=LINE('',#68062,#16621);
#12523=LINE('',#68064,#16622);
#12524=LINE('',#68066,#16623);
#12525=LINE('',#68068,#16624);
#12526=LINE('',#68070,#16625);
#12527=LINE('',#68072,#16626);
#12528=LINE('',#68074,#16627);
#12529=LINE('',#68075,#16628);
#12530=LINE('',#68079,#16629);
#12531=LINE('',#68081,#16630);
#12532=LINE('',#68083,#16631);
#12533=LINE('',#68085,#16632);
#12534=LINE('',#68087,#16633);
#12535=LINE('',#68088,#16634);
#12536=LINE('',#68091,#16635);
#12537=LINE('',#68093,#16636);
#12538=LINE('',#68094,#16637);
#12539=LINE('',#68097,#16638);
#12540=LINE('',#68099,#16639);
#12541=LINE('',#68100,#16640);
#12542=LINE('',#68103,#16641);
#12543=LINE('',#68105,#16642);
#12544=LINE('',#68106,#16643);
#12545=LINE('',#68109,#16644);
#12546=LINE('',#68111,#16645);
#12547=LINE('',#68112,#16646);
#12548=LINE('',#68115,#16647);
#12549=LINE('',#68117,#16648);
#12550=LINE('',#68118,#16649);
#12551=LINE('',#68121,#16650);
#12552=LINE('',#68123,#16651);
#12553=LINE('',#68124,#16652);
#12554=LINE('',#68128,#16653);
#12555=LINE('',#68130,#16654);
#12556=LINE('',#68134,#16655);
#12557=LINE('',#68136,#16656);
#12558=LINE('',#68137,#16657);
#12559=LINE('',#68140,#16658);
#12560=LINE('',#68142,#16659);
#12561=LINE('',#68143,#16660);
#12562=LINE('',#68147,#16661);
#12563=LINE('',#68149,#16662);
#12564=LINE('',#68153,#16663);
#12565=LINE('',#68155,#16664);
#12566=LINE('',#68156,#16665);
#12567=LINE('',#68159,#16666);
#12568=LINE('',#68161,#16667);
#12569=LINE('',#68162,#16668);
#12570=LINE('',#68166,#16669);
#12571=LINE('',#68168,#16670);
#12572=LINE('',#68172,#16671);
#12573=LINE('',#68174,#16672);
#12574=LINE('',#68175,#16673);
#12575=LINE('',#68178,#16674);
#12576=LINE('',#68180,#16675);
#12577=LINE('',#68181,#16676);
#12578=LINE('',#68185,#16677);
#12579=LINE('',#68188,#16678);
#12580=LINE('',#68191,#16679);
#12581=LINE('',#68194,#16680);
#12582=LINE('',#68197,#16681);
#12583=LINE('',#68201,#16682);
#12584=LINE('',#68205,#16683);
#12585=LINE('',#68209,#16684);
#12586=LINE('',#68213,#16685);
#12587=LINE('',#68217,#16686);
#12588=LINE('',#68220,#16687);
#12589=LINE('',#68223,#16688);
#12590=LINE('',#68226,#16689);
#12591=LINE('',#68227,#16690);
#12592=LINE('',#68230,#16691);
#12593=LINE('',#68234,#16692);
#12594=LINE('',#68237,#16693);
#12595=LINE('',#68240,#16694);
#12596=LINE('',#68243,#16695);
#12597=LINE('',#68246,#16696);
#12598=LINE('',#68250,#16697);
#12599=LINE('',#68253,#16698);
#12600=LINE('',#68256,#16699);
#12601=LINE('',#68260,#16700);
#12602=LINE('',#68264,#16701);
#12603=LINE('',#68268,#16702);
#12604=LINE('',#68272,#16703);
#12605=LINE('',#68276,#16704);
#12606=LINE('',#68279,#16705);
#12607=LINE('',#68282,#16706);
#12608=LINE('',#68285,#16707);
#12609=LINE('',#68288,#16708);
#12610=LINE('',#68291,#16709);
#12611=LINE('',#68294,#16710);
#12612=LINE('',#68296,#16711);
#12613=LINE('',#68298,#16712);
#12614=LINE('',#68300,#16713);
#12615=LINE('',#68302,#16714);
#12616=LINE('',#68304,#16715);
#12617=LINE('',#68306,#16716);
#12618=LINE('',#68308,#16717);
#12619=LINE('',#68310,#16718);
#12620=LINE('',#68312,#16719);
#12621=LINE('',#68315,#16720);
#12622=LINE('',#68317,#16721);
#12623=LINE('',#68319,#16722);
#12624=LINE('',#68323,#16723);
#12625=LINE('',#68325,#16724);
#12626=LINE('',#68329,#16725);
#12627=LINE('',#68332,#16726);
#12628=LINE('',#68335,#16727);
#12629=LINE('',#68337,#16728);
#12630=LINE('',#68341,#16729);
#12631=LINE('',#68343,#16730);
#12632=LINE('',#68347,#16731);
#12633=LINE('',#68349,#16732);
#12634=LINE('',#68355,#16733);
#12635=LINE('',#68357,#16734);
#12636=LINE('',#68359,#16735);
#12637=LINE('',#68360,#16736);
#12638=LINE('',#68363,#16737);
#12639=LINE('',#68365,#16738);
#12640=LINE('',#68366,#16739);
#12641=LINE('',#68369,#16740);
#12642=LINE('',#68373,#16741);
#12643=LINE('',#68375,#16742);
#12644=LINE('',#68377,#16743);
#12645=LINE('',#68379,#16744);
#12646=LINE('',#68381,#16745);
#12647=LINE('',#68383,#16746);
#12648=LINE('',#68385,#16747);
#12649=LINE('',#68389,#16748);
#12650=LINE('',#68391,#16749);
#12651=LINE('',#68393,#16750);
#12652=LINE('',#68397,#16751);
#12653=LINE('',#68399,#16752);
#12654=LINE('',#68401,#16753);
#12655=LINE('',#68405,#16754);
#12656=LINE('',#68407,#16755);
#12657=LINE('',#68409,#16756);
#12658=LINE('',#68413,#16757);
#12659=LINE('',#68415,#16758);
#12660=LINE('',#68417,#16759);
#12661=LINE('',#68420,#16760);
#12662=LINE('',#68423,#16761);
#12663=LINE('',#68425,#16762);
#12664=LINE('',#68426,#16763);
#12665=LINE('',#68429,#16764);
#12666=LINE('',#68431,#16765);
#12667=LINE('',#68432,#16766);
#12668=LINE('',#68435,#16767);
#12669=LINE('',#68437,#16768);
#12670=LINE('',#68438,#16769);
#12671=LINE('',#68441,#16770);
#12672=LINE('',#68443,#16771);
#12673=LINE('',#68444,#16772);
#12674=LINE('',#68447,#16773);
#12675=LINE('',#68449,#16774);
#12676=LINE('',#68450,#16775);
#12677=LINE('',#68453,#16776);
#12678=LINE('',#68455,#16777);
#12679=LINE('',#68456,#16778);
#12680=LINE('',#68459,#16779);
#12681=LINE('',#68461,#16780);
#12682=LINE('',#68462,#16781);
#12683=LINE('',#68465,#16782);
#12684=LINE('',#68467,#16783);
#12685=LINE('',#68468,#16784);
#12686=LINE('',#68472,#16785);
#12687=LINE('',#68474,#16786);
#12688=LINE('',#68476,#16787);
#12689=LINE('',#68477,#16788);
#12690=LINE('',#68481,#16789);
#12691=LINE('',#68487,#16790);
#12692=LINE('',#68488,#16791);
#12693=LINE('',#68489,#16792);
#12694=LINE('',#68492,#16793);
#12695=LINE('',#68497,#16794);
#12696=LINE('',#68498,#16795);
#12697=LINE('',#68501,#16796);
#12698=LINE('',#68503,#16797);
#12699=LINE('',#68505,#16798);
#12700=LINE('',#68507,#16799);
#12701=LINE('',#68508,#16800);
#12702=LINE('',#68511,#16801);
#12703=LINE('',#68512,#16802);
#12704=LINE('',#68515,#16803);
#12705=LINE('',#68517,#16804);
#12706=LINE('',#68519,#16805);
#12707=LINE('',#68521,#16806);
#12708=LINE('',#68523,#16807);
#12709=LINE('',#68525,#16808);
#12710=LINE('',#68527,#16809);
#12711=LINE('',#68529,#16810);
#12712=LINE('',#68531,#16811);
#12713=LINE('',#68534,#16812);
#12714=LINE('',#68537,#16813);
#12715=LINE('',#68539,#16814);
#12716=LINE('',#68540,#16815);
#12717=LINE('',#68543,#16816);
#12718=LINE('',#68545,#16817);
#12719=LINE('',#68546,#16818);
#12720=LINE('',#68549,#16819);
#12721=LINE('',#68550,#16820);
#12722=LINE('',#68553,#16821);
#12723=LINE('',#68555,#16822);
#12724=LINE('',#68556,#16823);
#12725=LINE('',#68560,#16824);
#12726=LINE('',#68566,#16825);
#12727=LINE('',#68567,#16826);
#12728=LINE('',#68568,#16827);
#12729=LINE('',#68571,#16828);
#12730=LINE('',#68576,#16829);
#12731=LINE('',#68577,#16830);
#12732=LINE('',#68580,#16831);
#12733=LINE('',#68582,#16832);
#12734=LINE('',#68583,#16833);
#12735=LINE('',#68586,#16834);
#12736=LINE('',#68588,#16835);
#12737=LINE('',#68590,#16836);
#12738=LINE('',#68591,#16837);
#12739=LINE('',#68594,#16838);
#12740=LINE('',#68595,#16839);
#12741=LINE('',#68598,#16840);
#12742=LINE('',#68599,#16841);
#12743=LINE('',#68602,#16842);
#12744=LINE('',#68603,#16843);
#12745=LINE('',#68606,#16844);
#12746=LINE('',#68608,#16845);
#12747=LINE('',#68609,#16846);
#12748=LINE('',#68611,#16847);
#12749=LINE('',#68614,#16848);
#12750=LINE('',#68615,#16849);
#12751=LINE('',#68618,#16850);
#12752=LINE('',#68620,#16851);
#12753=LINE('',#68621,#16852);
#12754=LINE('',#68623,#16853);
#12755=LINE('',#68626,#16854);
#12756=LINE('',#68627,#16855);
#12757=LINE('',#68630,#16856);
#12758=LINE('',#68632,#16857);
#12759=LINE('',#68633,#16858);
#12760=LINE('',#68635,#16859);
#12761=LINE('',#68638,#16860);
#12762=LINE('',#68639,#16861);
#12763=LINE('',#68642,#16862);
#12764=LINE('',#68644,#16863);
#12765=LINE('',#68645,#16864);
#12766=LINE('',#68647,#16865);
#12767=LINE('',#68650,#16866);
#12768=LINE('',#68651,#16867);
#12769=LINE('',#68654,#16868);
#12770=LINE('',#68656,#16869);
#12771=LINE('',#68657,#16870);
#12772=LINE('',#68659,#16871);
#12773=LINE('',#68662,#16872);
#12774=LINE('',#68663,#16873);
#12775=LINE('',#68666,#16874);
#12776=LINE('',#68667,#16875);
#12777=LINE('',#68670,#16876);
#12778=LINE('',#68671,#16877);
#12779=LINE('',#68674,#16878);
#12780=LINE('',#68675,#16879);
#12781=LINE('',#68678,#16880);
#12782=LINE('',#68679,#16881);
#12783=LINE('',#68682,#16882);
#12784=LINE('',#68683,#16883);
#12785=LINE('',#68687,#16884);
#12786=LINE('',#68689,#16885);
#12787=LINE('',#68693,#16886);
#12788=LINE('',#68695,#16887);
#12789=LINE('',#68699,#16888);
#12790=LINE('',#68701,#16889);
#12791=LINE('',#68705,#16890);
#12792=LINE('',#68707,#16891);
#12793=LINE('',#68711,#16892);
#12794=LINE('',#68713,#16893);
#12795=LINE('',#68718,#16894);
#12796=LINE('',#68720,#16895);
#12797=LINE('',#68722,#16896);
#12798=LINE('',#68724,#16897);
#12799=LINE('',#68726,#16898);
#12800=LINE('',#68728,#16899);
#12801=LINE('',#68729,#16900);
#12802=LINE('',#68734,#16901);
#12803=LINE('',#68735,#16902);
#12804=LINE('',#68737,#16903);
#12805=LINE('',#68740,#16904);
#12806=LINE('',#68742,#16905);
#12807=LINE('',#68744,#16906);
#12808=LINE('',#68746,#16907);
#12809=LINE('',#68748,#16908);
#12810=LINE('',#68750,#16909);
#12811=LINE('',#68751,#16910);
#12812=LINE('',#68759,#16911);
#12813=LINE('',#68763,#16912);
#12814=LINE('',#68766,#16913);
#12815=LINE('',#68774,#16914);
#12816=LINE('',#68780,#16915);
#12817=LINE('',#68782,#16916);
#12818=LINE('',#68785,#16917);
#12819=LINE('',#68787,#16918);
#12820=LINE('',#68789,#16919);
#12821=LINE('',#68791,#16920);
#12822=LINE('',#68793,#16921);
#12823=LINE('',#68813,#16922);
#12824=LINE('',#68857,#16923);
#12825=LINE('',#68859,#16924);
#12826=LINE('',#68861,#16925);
#12827=LINE('',#68893,#16926);
#12828=LINE('',#68924,#16927);
#12829=LINE('',#68987,#16928);
#12830=LINE('',#68989,#16929);
#12831=LINE('',#68991,#16930);
#12832=LINE('',#69017,#16931);
#12833=LINE('',#69037,#16932);
#12834=LINE('',#69057,#16933);
#12835=LINE('',#69083,#16934);
#12836=LINE('',#69085,#16935);
#12837=LINE('',#69087,#16936);
#12838=LINE('',#69119,#16937);
#12839=LINE('',#69121,#16938);
#12840=LINE('',#69123,#16939);
#12841=LINE('',#69125,#16940);
#12842=LINE('',#69145,#16941);
#12843=LINE('',#69146,#16942);
#12844=LINE('',#69155,#16943);
#12845=LINE('',#69157,#16944);
#12846=LINE('',#69159,#16945);
#12847=LINE('',#69161,#16946);
#12848=LINE('',#69199,#16947);
#12849=LINE('',#69201,#16948);
#12850=LINE('',#69203,#16949);
#12851=LINE('',#69247,#16950);
#12852=LINE('',#69249,#16951);
#12853=LINE('',#69251,#16952);
#12854=LINE('',#69253,#16953);
#12855=LINE('',#69255,#16954);
#12856=LINE('',#69257,#16955);
#12857=LINE('',#69259,#16956);
#12858=LINE('',#69261,#16957);
#12859=LINE('',#69263,#16958);
#12860=LINE('',#69265,#16959);
#12861=LINE('',#69266,#16960);
#12862=LINE('',#69281,#16961);
#12863=LINE('',#69319,#16962);
#12864=LINE('',#69351,#16963);
#12865=LINE('',#69401,#16964);
#12866=LINE('',#69435,#16965);
#12867=LINE('',#69439,#16966);
#12868=LINE('',#69443,#16967);
#12869=LINE('',#69446,#16968);
#12870=LINE('',#69451,#16969);
#12871=LINE('',#69455,#16970);
#12872=LINE('',#69459,#16971);
#12873=LINE('',#69462,#16972);
#12874=LINE('',#69467,#16973);
#12875=LINE('',#69471,#16974);
#12876=LINE('',#69475,#16975);
#12877=LINE('',#69478,#16976);
#12878=LINE('',#69483,#16977);
#12879=LINE('',#69487,#16978);
#12880=LINE('',#69491,#16979);
#12881=LINE('',#69494,#16980);
#12882=LINE('',#69499,#16981);
#12883=LINE('',#69503,#16982);
#12884=LINE('',#69507,#16983);
#12885=LINE('',#69510,#16984);
#12886=LINE('',#69515,#16985);
#12887=LINE('',#69519,#16986);
#12888=LINE('',#69523,#16987);
#12889=LINE('',#69526,#16988);
#12890=LINE('',#69528,#16989);
#12891=LINE('',#69531,#16990);
#12892=LINE('',#69536,#16991);
#12893=LINE('',#69538,#16992);
#12894=LINE('',#69542,#16993);
#12895=LINE('',#69544,#16994);
#12896=LINE('',#69545,#16995);
#12897=LINE('',#69548,#16996);
#12898=LINE('',#69549,#16997);
#12899=LINE('',#69561,#16998);
#12900=LINE('',#69578,#16999);
#12901=LINE('',#69595,#17000);
#12902=LINE('',#69612,#17001);
#12903=LINE('',#69629,#17002);
#12904=LINE('',#69637,#17003);
#12905=LINE('',#69638,#17004);
#12906=LINE('',#69650,#17005);
#12907=LINE('',#69667,#17006);
#12908=LINE('',#69684,#17007);
#12909=LINE('',#69701,#17008);
#12910=LINE('',#69718,#17009);
#12911=LINE('',#69726,#17010);
#12912=LINE('',#69727,#17011);
#12913=LINE('',#69730,#17012);
#12914=LINE('',#69731,#17013);
#12915=LINE('',#69734,#17014);
#12916=LINE('',#69735,#17015);
#12917=LINE('',#69747,#17016);
#12918=LINE('',#69764,#17017);
#12919=LINE('',#69781,#17018);
#12920=LINE('',#69798,#17019);
#12921=LINE('',#69815,#17020);
#12922=LINE('',#69832,#17021);
#12923=LINE('',#69849,#17022);
#12924=LINE('',#69857,#17023);
#12925=LINE('',#69858,#17024);
#12926=LINE('',#69870,#17025);
#12927=LINE('',#69887,#17026);
#12928=LINE('',#69904,#17027);
#12929=LINE('',#69912,#17028);
#12930=LINE('',#69913,#17029);
#12931=LINE('',#69916,#17030);
#12932=LINE('',#69917,#17031);
#12933=LINE('',#69920,#17032);
#12934=LINE('',#69921,#17033);
#12935=LINE('',#69923,#17034);
#12936=LINE('',#69936,#17035);
#12937=LINE('',#69943,#17036);
#12938=LINE('',#69955,#17037);
#12939=LINE('',#69972,#17038);
#12940=LINE('',#69989,#17039);
#12941=LINE('',#70006,#17040);
#12942=LINE('',#70014,#17041);
#12943=LINE('',#70015,#17042);
#12944=LINE('',#70018,#17043);
#12945=LINE('',#70019,#17044);
#12946=LINE('',#70022,#17045);
#12947=LINE('',#70023,#17046);
#12948=LINE('',#70035,#17047);
#12949=LINE('',#70052,#17048);
#12950=LINE('',#70069,#17049);
#12951=LINE('',#70086,#17050);
#12952=LINE('',#70094,#17051);
#12953=LINE('',#70095,#17052);
#12954=LINE('',#70107,#17053);
#12955=LINE('',#70124,#17054);
#12956=LINE('',#70141,#17055);
#12957=LINE('',#70149,#17056);
#12958=LINE('',#70150,#17057);
#12959=LINE('',#70162,#17058);
#12960=LINE('',#70179,#17059);
#12961=LINE('',#70196,#17060);
#12962=LINE('',#70204,#17061);
#12963=LINE('',#70205,#17062);
#12964=LINE('',#70217,#17063);
#12965=LINE('',#70234,#17064);
#12966=LINE('',#70251,#17065);
#12967=LINE('',#70268,#17066);
#12968=LINE('',#70276,#17067);
#12969=LINE('',#70277,#17068);
#12970=LINE('',#70280,#17069);
#12971=LINE('',#70281,#17070);
#12972=LINE('',#70284,#17071);
#12973=LINE('',#70285,#17072);
#12974=LINE('',#70297,#17073);
#12975=LINE('',#70314,#17074);
#12976=LINE('',#70331,#17075);
#12977=LINE('',#70348,#17076);
#12978=LINE('',#70365,#17077);
#12979=LINE('',#70382,#17078);
#12980=LINE('',#70399,#17079);
#12981=LINE('',#70416,#17080);
#12982=LINE('',#70449,#17081);
#12983=LINE('',#70456,#17082);
#12984=LINE('',#70459,#17083);
#12985=LINE('',#70460,#17084);
#12986=LINE('',#70463,#17085);
#12987=LINE('',#70464,#17086);
#12988=LINE('',#70476,#17087);
#12989=LINE('',#70493,#17088);
#12990=LINE('',#70510,#17089);
#12991=LINE('',#70518,#17090);
#12992=LINE('',#70519,#17091);
#12993=LINE('',#70522,#17092);
#12994=LINE('',#70523,#17093);
#12995=LINE('',#70526,#17094);
#12996=LINE('',#70527,#17095);
#12997=LINE('',#70529,#17096);
#12998=LINE('',#70542,#17097);
#12999=LINE('',#70549,#17098);
#13000=LINE('',#70561,#17099);
#13001=LINE('',#70578,#17100);
#13002=LINE('',#70595,#17101);
#13003=LINE('',#70612,#17102);
#13004=LINE('',#70629,#17103);
#13005=LINE('',#70646,#17104);
#13006=LINE('',#70663,#17105);
#13007=LINE('',#70671,#17106);
#13008=LINE('',#70672,#17107);
#13009=LINE('',#70675,#17108);
#13010=LINE('',#70676,#17109);
#13011=LINE('',#70679,#17110);
#13012=LINE('',#70680,#17111);
#13013=LINE('',#70692,#17112);
#13014=LINE('',#70709,#17113);
#13015=LINE('',#70726,#17114);
#13016=LINE('',#70743,#17115);
#13017=LINE('',#70760,#17116);
#13018=LINE('',#70777,#17117);
#13019=LINE('',#70785,#17118);
#13020=LINE('',#70786,#17119);
#13021=LINE('',#70789,#17120);
#13022=LINE('',#70790,#17121);
#13023=LINE('',#70793,#17122);
#13024=LINE('',#70794,#17123);
#13025=LINE('',#70796,#17124);
#13026=LINE('',#70801,#17125);
#13027=LINE('',#70803,#17126);
#13028=LINE('',#70805,#17127);
#13029=LINE('',#70806,#17128);
#13030=LINE('',#70809,#17129);
#13031=LINE('',#70811,#17130);
#13032=LINE('',#70812,#17131);
#13033=LINE('',#70814,#17132);
#13034=LINE('',#70815,#17133);
#13035=LINE('',#70818,#17134);
#13036=LINE('',#70820,#17135);
#13037=LINE('',#70821,#17136);
#13038=LINE('',#70824,#17137);
#13039=LINE('',#70825,#17138);
#13040=LINE('',#70828,#17139);
#13041=LINE('',#70829,#17140);
#13042=LINE('',#70832,#17141);
#13043=LINE('',#70833,#17142);
#13044=LINE('',#70836,#17143);
#13045=LINE('',#70837,#17144);
#13046=LINE('',#70840,#17145);
#13047=LINE('',#70841,#17146);
#13048=LINE('',#70844,#17147);
#13049=LINE('',#70845,#17148);
#13050=LINE('',#70848,#17149);
#13051=LINE('',#70849,#17150);
#13052=LINE('',#70852,#17151);
#13053=LINE('',#70853,#17152);
#13054=LINE('',#70856,#17153);
#13055=LINE('',#70857,#17154);
#13056=LINE('',#70859,#17155);
#13057=LINE('',#70879,#17156);
#13058=LINE('',#70886,#17157);
#13059=LINE('',#70904,#17158);
#13060=LINE('',#70927,#17159);
#13061=LINE('',#70950,#17160);
#13062=LINE('',#70958,#17161);
#13063=LINE('',#70960,#17162);
#13064=LINE('',#70961,#17163);
#13065=LINE('',#70979,#17164);
#13066=LINE('',#71002,#17165);
#13067=LINE('',#71025,#17166);
#13068=LINE('',#71048,#17167);
#13069=LINE('',#71055,#17168);
#13070=LINE('',#71056,#17169);
#13071=LINE('',#71068,#17170);
#13072=LINE('',#71075,#17171);
#13073=LINE('',#71087,#17172);
#13074=LINE('',#71104,#17173);
#13075=LINE('',#71121,#17174);
#13076=LINE('',#71138,#17175);
#13077=LINE('',#71155,#17176);
#13078=LINE('',#71163,#17177);
#13079=LINE('',#71164,#17178);
#13080=LINE('',#71176,#17179);
#13081=LINE('',#71193,#17180);
#13082=LINE('',#71210,#17181);
#13083=LINE('',#71227,#17182);
#13084=LINE('',#71244,#17183);
#13085=LINE('',#71261,#17184);
#13086=LINE('',#71278,#17185);
#13087=LINE('',#71295,#17186);
#13088=LINE('',#71303,#17187);
#13089=LINE('',#71304,#17188);
#13090=LINE('',#71316,#17189);
#13091=LINE('',#71333,#17190);
#13092=LINE('',#71350,#17191);
#13093=LINE('',#71367,#17192);
#13094=LINE('',#71384,#17193);
#13095=LINE('',#71392,#17194);
#13096=LINE('',#71393,#17195);
#13097=LINE('',#71405,#17196);
#13098=LINE('',#71422,#17197);
#13099=LINE('',#71439,#17198);
#13100=LINE('',#71456,#17199);
#13101=LINE('',#71473,#17200);
#13102=LINE('',#71490,#17201);
#13103=LINE('',#71498,#17202);
#13104=LINE('',#71499,#17203);
#13105=LINE('',#71538,#17204);
#13106=LINE('',#71542,#17205);
#13107=LINE('',#71546,#17206);
#13108=LINE('',#71549,#17207);
#13109=LINE('',#71552,#17208);
#13110=LINE('',#71555,#17209);
#13111=LINE('',#71558,#17210);
#13112=LINE('',#71562,#17211);
#13113=LINE('',#71564,#17212);
#13114=LINE('',#71577,#17213);
#13115=LINE('',#71579,#17214);
#13116=LINE('',#71582,#17215);
#13117=LINE('',#71585,#17216);
#13118=LINE('',#71587,#17217);
#13119=LINE('',#71589,#17218);
#13120=LINE('',#71590,#17219);
#13121=LINE('',#71593,#17220);
#13122=LINE('',#71595,#17221);
#13123=LINE('',#71597,#17222);
#13124=LINE('',#71598,#17223);
#13125=LINE('',#71601,#17224);
#13126=LINE('',#71603,#17225);
#13127=LINE('',#71605,#17226);
#13128=LINE('',#71606,#17227);
#13129=LINE('',#71611,#17228);
#13130=LINE('',#71615,#17229);
#13131=LINE('',#71619,#17230);
#13132=LINE('',#71622,#17231);
#13133=LINE('',#71627,#17232);
#13134=LINE('',#71631,#17233);
#13135=LINE('',#71635,#17234);
#13136=LINE('',#71638,#17235);
#13137=LINE('',#71643,#17236);
#13138=LINE('',#71647,#17237);
#13139=LINE('',#71651,#17238);
#13140=LINE('',#71654,#17239);
#13141=LINE('',#71659,#17240);
#13142=LINE('',#71663,#17241);
#13143=LINE('',#71667,#17242);
#13144=LINE('',#71670,#17243);
#13145=LINE('',#71675,#17244);
#13146=LINE('',#71679,#17245);
#13147=LINE('',#71683,#17246);
#13148=LINE('',#71686,#17247);
#13149=LINE('',#71691,#17248);
#13150=LINE('',#71695,#17249);
#13151=LINE('',#71699,#17250);
#13152=LINE('',#71702,#17251);
#13153=LINE('',#71703,#17252);
#13154=LINE('',#71706,#17253);
#13155=LINE('',#71708,#17254);
#13156=LINE('',#71710,#17255);
#13157=LINE('',#71711,#17256);
#13158=LINE('',#71714,#17257);
#13159=LINE('',#71716,#17258);
#13160=LINE('',#71718,#17259);
#13161=LINE('',#71719,#17260);
#13162=LINE('',#71724,#17261);
#13163=LINE('',#71729,#17262);
#13164=LINE('',#71733,#17263);
#13165=LINE('',#71738,#17264);
#13166=LINE('',#71743,#17265);
#13167=LINE('',#71747,#17266);
#13168=LINE('',#71752,#17267);
#13169=LINE('',#71757,#17268);
#13170=LINE('',#71761,#17269);
#13171=LINE('',#71766,#17270);
#13172=LINE('',#71771,#17271);
#13173=LINE('',#71775,#17272);
#13174=LINE('',#71780,#17273);
#13175=LINE('',#71785,#17274);
#13176=LINE('',#71789,#17275);
#13177=LINE('',#71793,#17276);
#13178=LINE('',#71796,#17277);
#13179=LINE('',#71798,#17278);
#13180=LINE('',#71799,#17279);
#13181=LINE('',#71802,#17280);
#13182=LINE('',#71803,#17281);
#13183=LINE('',#71806,#17282);
#13184=LINE('',#71807,#17283);
#13185=LINE('',#71809,#17284);
#13186=LINE('',#71814,#17285);
#13187=LINE('',#71817,#17286);
#13188=LINE('',#71819,#17287);
#13189=LINE('',#71820,#17288);
#13190=LINE('',#71823,#17289);
#13191=LINE('',#71824,#17290);
#13192=LINE('',#71827,#17291);
#13193=LINE('',#71828,#17292);
#13194=LINE('',#71830,#17293);
#13195=LINE('',#71835,#17294);
#13196=LINE('',#71838,#17295);
#13197=LINE('',#71840,#17296);
#13198=LINE('',#71841,#17297);
#13199=LINE('',#71844,#17298);
#13200=LINE('',#71845,#17299);
#13201=LINE('',#71848,#17300);
#13202=LINE('',#71849,#17301);
#13203=LINE('',#71851,#17302);
#13204=LINE('',#71856,#17303);
#13205=LINE('',#71859,#17304);
#13206=LINE('',#71861,#17305);
#13207=LINE('',#71862,#17306);
#13208=LINE('',#71865,#17307);
#13209=LINE('',#71866,#17308);
#13210=LINE('',#71869,#17309);
#13211=LINE('',#71870,#17310);
#13212=LINE('',#71872,#17311);
#13213=LINE('',#71877,#17312);
#13214=LINE('',#71880,#17313);
#13215=LINE('',#71882,#17314);
#13216=LINE('',#71883,#17315);
#13217=LINE('',#71886,#17316);
#13218=LINE('',#71887,#17317);
#13219=LINE('',#71890,#17318);
#13220=LINE('',#71891,#17319);
#13221=LINE('',#71893,#17320);
#13222=LINE('',#71898,#17321);
#13223=LINE('',#71901,#17322);
#13224=LINE('',#71903,#17323);
#13225=LINE('',#71904,#17324);
#13226=LINE('',#71907,#17325);
#13227=LINE('',#71908,#17326);
#13228=LINE('',#71911,#17327);
#13229=LINE('',#71912,#17328);
#13230=LINE('',#71914,#17329);
#13231=LINE('',#71918,#17330);
#13232=LINE('',#71919,#17331);
#13233=LINE('',#71923,#17332);
#13234=LINE('',#71928,#17333);
#13235=LINE('',#71932,#17334);
#13236=LINE('',#71933,#17335);
#13237=LINE('',#71935,#17336);
#13238=LINE('',#71940,#17337);
#13239=LINE('',#71941,#17338);
#13240=LINE('',#71945,#17339);
#13241=LINE('',#71950,#17340);
#13242=LINE('',#71955,#17341);
#13243=LINE('',#71961,#17342);
#13244=LINE('',#71962,#17343);
#13245=LINE('',#71963,#17344);
#13246=LINE('',#71967,#17345);
#13247=LINE('',#71972,#17346);
#13248=LINE('',#71975,#17347);
#13249=LINE('',#71977,#17348);
#13250=LINE('',#71980,#17349);
#13251=LINE('',#71981,#17350);
#13252=LINE('',#71986,#17351);
#13253=LINE('',#71990,#17352);
#13254=LINE('',#71995,#17353);
#13255=LINE('',#72002,#17354);
#13256=LINE('',#72003,#17355);
#13257=LINE('',#72005,#17356);
#13258=LINE('',#72007,#17357);
#13259=LINE('',#72009,#17358);
#13260=LINE('',#72011,#17359);
#13261=LINE('',#72013,#17360);
#13262=LINE('',#72015,#17361);
#13263=LINE('',#72018,#17362);
#13264=LINE('',#72019,#17363);
#13265=LINE('',#72021,#17364);
#13266=LINE('',#72023,#17365);
#13267=LINE('',#72025,#17366);
#13268=LINE('',#72027,#17367);
#13269=LINE('',#72029,#17368);
#13270=LINE('',#72031,#17369);
#13271=LINE('',#72034,#17370);
#13272=LINE('',#72035,#17371);
#13273=LINE('',#72037,#17372);
#13274=LINE('',#72039,#17373);
#13275=LINE('',#72041,#17374);
#13276=LINE('',#72043,#17375);
#13277=LINE('',#72045,#17376);
#13278=LINE('',#72047,#17377);
#13279=LINE('',#72050,#17378);
#13280=LINE('',#72051,#17379);
#13281=LINE('',#72053,#17380);
#13282=LINE('',#72055,#17381);
#13283=LINE('',#72057,#17382);
#13284=LINE('',#72059,#17383);
#13285=LINE('',#72061,#17384);
#13286=LINE('',#72063,#17385);
#13287=LINE('',#72066,#17386);
#13288=LINE('',#72067,#17387);
#13289=LINE('',#72069,#17388);
#13290=LINE('',#72071,#17389);
#13291=LINE('',#72073,#17390);
#13292=LINE('',#72075,#17391);
#13293=LINE('',#72077,#17392);
#13294=LINE('',#72079,#17393);
#13295=LINE('',#72082,#17394);
#13296=LINE('',#72083,#17395);
#13297=LINE('',#72085,#17396);
#13298=LINE('',#72087,#17397);
#13299=LINE('',#72089,#17398);
#13300=LINE('',#72091,#17399);
#13301=LINE('',#72093,#17400);
#13302=LINE('',#72095,#17401);
#13303=VECTOR('',#46339,1.);
#13304=VECTOR('',#46340,1.);
#13305=VECTOR('',#46341,1.);
#13306=VECTOR('',#46342,1.);
#13307=VECTOR('',#46345,1.);
#13308=VECTOR('',#46346,1.);
#13309=VECTOR('',#46347,1.);
#13310=VECTOR('',#46350,1.);
#13311=VECTOR('',#46351,1.);
#13312=VECTOR('',#46352,1.);
#13313=VECTOR('',#46355,1.);
#13314=VECTOR('',#46356,1.);
#13315=VECTOR('',#46363,1.);
#13316=VECTOR('',#46364,1.);
#13317=VECTOR('',#46365,1.);
#13318=VECTOR('',#46366,1.);
#13319=VECTOR('',#46369,1.);
#13320=VECTOR('',#46370,1.);
#13321=VECTOR('',#46371,1.);
#13322=VECTOR('',#46374,1.);
#13323=VECTOR('',#46375,1.);
#13324=VECTOR('',#46376,1.);
#13325=VECTOR('',#46379,1.);
#13326=VECTOR('',#46380,1.);
#13327=VECTOR('',#46385,1.);
#13328=VECTOR('',#46386,1.);
#13329=VECTOR('',#46387,1.);
#13330=VECTOR('',#46388,1.);
#13331=VECTOR('',#46391,1.);
#13332=VECTOR('',#46392,1.);
#13333=VECTOR('',#46393,1.);
#13334=VECTOR('',#46396,1.);
#13335=VECTOR('',#46397,1.);
#13336=VECTOR('',#46398,1.);
#13337=VECTOR('',#46401,1.);
#13338=VECTOR('',#46402,1.);
#13339=VECTOR('',#46409,1.);
#13340=VECTOR('',#46410,1.);
#13341=VECTOR('',#46411,1.);
#13342=VECTOR('',#46412,1.);
#13343=VECTOR('',#46415,1.);
#13344=VECTOR('',#46416,1.);
#13345=VECTOR('',#46417,1.);
#13346=VECTOR('',#46420,1.);
#13347=VECTOR('',#46421,1.);
#13348=VECTOR('',#46422,1.);
#13349=VECTOR('',#46425,1.);
#13350=VECTOR('',#46426,1.);
#13351=VECTOR('',#46433,1.);
#13352=VECTOR('',#46434,1.);
#13353=VECTOR('',#46435,1.);
#13354=VECTOR('',#46436,1.);
#13355=VECTOR('',#46439,1.);
#13356=VECTOR('',#46440,1.);
#13357=VECTOR('',#46441,1.);
#13358=VECTOR('',#46444,1.);
#13359=VECTOR('',#46445,1.);
#13360=VECTOR('',#46446,1.);
#13361=VECTOR('',#46449,1.);
#13362=VECTOR('',#46450,1.);
#13363=VECTOR('',#46457,1.);
#13364=VECTOR('',#46458,1.);
#13365=VECTOR('',#46459,1.);
#13366=VECTOR('',#46460,1.);
#13367=VECTOR('',#46463,1.);
#13368=VECTOR('',#46464,1.);
#13369=VECTOR('',#46465,1.);
#13370=VECTOR('',#46468,1.);
#13371=VECTOR('',#46469,1.);
#13372=VECTOR('',#46470,1.);
#13373=VECTOR('',#46473,1.);
#13374=VECTOR('',#46474,1.);
#13375=VECTOR('',#46481,1.);
#13376=VECTOR('',#46482,1.);
#13377=VECTOR('',#46483,1.);
#13378=VECTOR('',#46484,1.);
#13379=VECTOR('',#46487,1.);
#13380=VECTOR('',#46488,1.);
#13381=VECTOR('',#46489,1.);
#13382=VECTOR('',#46492,1.);
#13383=VECTOR('',#46493,1.);
#13384=VECTOR('',#46494,1.);
#13385=VECTOR('',#46497,1.);
#13386=VECTOR('',#46498,1.);
#13387=VECTOR('',#46505,1.);
#13388=VECTOR('',#46506,1.);
#13389=VECTOR('',#46507,1.);
#13390=VECTOR('',#46508,1.);
#13391=VECTOR('',#46511,1.);
#13392=VECTOR('',#46512,1.);
#13393=VECTOR('',#46513,1.);
#13394=VECTOR('',#46516,1.);
#13395=VECTOR('',#46517,1.);
#13396=VECTOR('',#46518,1.);
#13397=VECTOR('',#46521,1.);
#13398=VECTOR('',#46522,1.);
#13399=VECTOR('',#46529,1.);
#13400=VECTOR('',#46530,1.);
#13401=VECTOR('',#46531,1.);
#13402=VECTOR('',#46532,1.);
#13403=VECTOR('',#46535,1.);
#13404=VECTOR('',#46536,1.);
#13405=VECTOR('',#46537,1.);
#13406=VECTOR('',#46540,1.);
#13407=VECTOR('',#46541,1.);
#13408=VECTOR('',#46542,1.);
#13409=VECTOR('',#46545,1.);
#13410=VECTOR('',#46546,1.);
#13411=VECTOR('',#46561,0.5);
#13412=VECTOR('',#46570,0.5);
#13413=VECTOR('',#46579,0.5);
#13414=VECTOR('',#46588,0.5);
#13415=VECTOR('',#46597,0.5);
#13416=VECTOR('',#46606,1.75);
#13417=VECTOR('',#46613,1.);
#13418=VECTOR('',#46618,1.);
#13419=VECTOR('',#46623,3.);
#13420=VECTOR('',#46634,1.);
#13421=VECTOR('',#46635,1.);
#13422=VECTOR('',#46636,1.);
#13423=VECTOR('',#46639,1.);
#13424=VECTOR('',#46640,1.);
#13425=VECTOR('',#46641,1.);
#13426=VECTOR('',#46644,1.);
#13427=VECTOR('',#46645,1.);
#13428=VECTOR('',#46646,1.);
#13429=VECTOR('',#46647,1.);
#13430=VECTOR('',#46650,1.);
#13431=VECTOR('',#46651,1.);
#13432=VECTOR('',#46652,1.);
#13433=VECTOR('',#46655,1.);
#13434=VECTOR('',#46656,1.);
#13435=VECTOR('',#46657,1.);
#13436=VECTOR('',#46660,1.);
#13437=VECTOR('',#46661,1.);
#13438=VECTOR('',#46662,1.);
#13439=VECTOR('',#46665,1.);
#13440=VECTOR('',#46666,1.);
#13441=VECTOR('',#46667,1.);
#13442=VECTOR('',#46670,1.);
#13443=VECTOR('',#46671,1.);
#13444=VECTOR('',#46674,1.);
#13445=VECTOR('',#46675,1.);
#13446=VECTOR('',#46682,1.);
#13447=VECTOR('',#46683,1.);
#13448=VECTOR('',#46684,1.);
#13449=VECTOR('',#46685,1.);
#13450=VECTOR('',#46688,1.);
#13451=VECTOR('',#46689,1.);
#13452=VECTOR('',#46690,1.);
#13453=VECTOR('',#46693,1.);
#13454=VECTOR('',#46694,1.);
#13455=VECTOR('',#46695,1.);
#13456=VECTOR('',#46698,1.);
#13457=VECTOR('',#46699,1.);
#13458=VECTOR('',#46706,1.);
#13459=VECTOR('',#46707,1.);
#13460=VECTOR('',#46708,1.);
#13461=VECTOR('',#46709,1.);
#13462=VECTOR('',#46712,1.);
#13463=VECTOR('',#46713,1.);
#13464=VECTOR('',#46714,1.);
#13465=VECTOR('',#46717,1.);
#13466=VECTOR('',#46718,1.);
#13467=VECTOR('',#46719,1.);
#13468=VECTOR('',#46722,1.);
#13469=VECTOR('',#46723,1.);
#13470=VECTOR('',#46730,1.);
#13471=VECTOR('',#46731,1.);
#13472=VECTOR('',#46732,1.);
#13473=VECTOR('',#46733,1.);
#13474=VECTOR('',#46736,1.);
#13475=VECTOR('',#46737,1.);
#13476=VECTOR('',#46738,1.);
#13477=VECTOR('',#46741,1.);
#13478=VECTOR('',#46742,1.);
#13479=VECTOR('',#46743,1.);
#13480=VECTOR('',#46746,1.);
#13481=VECTOR('',#46747,1.);
#13482=VECTOR('',#46754,1.);
#13483=VECTOR('',#46755,1.);
#13484=VECTOR('',#46756,1.);
#13485=VECTOR('',#46757,1.);
#13486=VECTOR('',#46760,1.);
#13487=VECTOR('',#46761,1.);
#13488=VECTOR('',#46762,1.);
#13489=VECTOR('',#46765,1.);
#13490=VECTOR('',#46766,1.);
#13491=VECTOR('',#46767,1.);
#13492=VECTOR('',#46770,1.);
#13493=VECTOR('',#46771,1.);
#13494=VECTOR('',#46778,1.);
#13495=VECTOR('',#46779,1.);
#13496=VECTOR('',#46780,1.);
#13497=VECTOR('',#46781,1.);
#13498=VECTOR('',#46784,1.);
#13499=VECTOR('',#46785,1.);
#13500=VECTOR('',#46786,1.);
#13501=VECTOR('',#46789,1.);
#13502=VECTOR('',#46790,1.);
#13503=VECTOR('',#46791,1.);
#13504=VECTOR('',#46794,1.);
#13505=VECTOR('',#46795,1.);
#13506=VECTOR('',#46810,0.999999999999701);
#13507=VECTOR('',#46811,0.999999999999701);
#13508=VECTOR('',#46816,1.75);
#13509=VECTOR('',#46839,0.25);
#13510=VECTOR('',#46852,1.);
#13511=VECTOR('',#46855,1.);
#13512=VECTOR('',#46862,0.25);
#13513=VECTOR('',#46869,0.999999999999701);
#13514=VECTOR('',#46872,0.999999999999701);
#13515=VECTOR('',#46895,1.);
#13516=VECTOR('',#46896,1.);
#13517=VECTOR('',#46919,10.);
#13518=VECTOR('',#46946,1.);
#13519=VECTOR('',#46947,1.);
#13520=VECTOR('',#46970,0.999999999999701);
#13521=VECTOR('',#46973,0.999999999999701);
#13522=VECTOR('',#46980,1.);
#13523=VECTOR('',#46983,1.);
#13524=VECTOR('',#46986,1.);
#13525=VECTOR('',#46989,1.);
#13526=VECTOR('',#46992,1.);
#13527=VECTOR('',#46995,1.);
#13528=VECTOR('',#46998,1.);
#13529=VECTOR('',#47001,1.);
#13530=VECTOR('',#47006,1.);
#13531=VECTOR('',#47009,1.);
#13532=VECTOR('',#47014,1.);
#13533=VECTOR('',#47015,1.);
#13534=VECTOR('',#47018,1.);
#13535=VECTOR('',#47019,1.);
#13536=VECTOR('',#47022,1.);
#13537=VECTOR('',#47023,1.);
#13538=VECTOR('',#47026,1.);
#13539=VECTOR('',#47027,1.);
#13540=VECTOR('',#47028,1.);
#13541=VECTOR('',#47029,1.);
#13542=VECTOR('',#47030,1.);
#13543=VECTOR('',#47031,1.);
#13544=VECTOR('',#47032,1.);
#13545=VECTOR('',#47035,1.);
#13546=VECTOR('',#47038,1.);
#13547=VECTOR('',#47039,1.);
#13548=VECTOR('',#47042,1.);
#13549=VECTOR('',#47045,1.);
#13550=VECTOR('',#47046,1.);
#13551=VECTOR('',#47051,1.);
#13552=VECTOR('',#47066,1.);
#13553=VECTOR('',#47067,1.);
#13554=VECTOR('',#47068,1.);
#13555=VECTOR('',#47069,1.);
#13556=VECTOR('',#47074,1.);
#13557=VECTOR('',#47079,1.);
#13558=VECTOR('',#47088,1.);
#13559=VECTOR('',#47091,1.);
#13560=VECTOR('',#47094,1.);
#13561=VECTOR('',#47107,1.);
#13562=VECTOR('',#47114,1.);
#13563=VECTOR('',#47115,1.);
#13564=VECTOR('',#47118,1.);
#13565=VECTOR('',#47119,1.);
#13566=VECTOR('',#47120,1.);
#13567=VECTOR('',#47127,1.);
#13568=VECTOR('',#47128,1.);
#13569=VECTOR('',#47133,1.);
#13570=VECTOR('',#47136,1.);
#13571=VECTOR('',#47141,1.);
#13572=VECTOR('',#47144,0.25);
#13573=VECTOR('',#47151,0.25);
#13574=VECTOR('',#47186,1.);
#13575=VECTOR('',#47189,1.);
#13576=VECTOR('',#47194,1.);
#13577=VECTOR('',#47195,1.);
#13578=VECTOR('',#47196,1.);
#13579=VECTOR('',#47199,1.);
#13580=VECTOR('',#47200,1.);
#13581=VECTOR('',#47201,1.);
#13582=VECTOR('',#47204,1.);
#13583=VECTOR('',#47205,1.);
#13584=VECTOR('',#47208,1.);
#13585=VECTOR('',#47211,1.);
#13586=VECTOR('',#47212,1.);
#13587=VECTOR('',#47213,1.);
#13588=VECTOR('',#47218,1.);
#13589=VECTOR('',#47221,1.);
#13590=VECTOR('',#47222,1.);
#13591=VECTOR('',#47223,1.);
#13592=VECTOR('',#47226,1.);
#13593=VECTOR('',#47229,1.);
#13594=VECTOR('',#47232,1.);
#13595=VECTOR('',#47233,10.);
#13596=VECTOR('',#47234,1.);
#13597=VECTOR('',#47235,1.);
#13598=VECTOR('',#47236,1.);
#13599=VECTOR('',#47237,1.);
#13600=VECTOR('',#47238,1.);
#13601=VECTOR('',#47239,1.);
#13602=VECTOR('',#47240,1.);
#13603=VECTOR('',#47241,1.);
#13604=VECTOR('',#47242,1.);
#13605=VECTOR('',#47243,1.);
#13606=VECTOR('',#47244,1.);
#13607=VECTOR('',#47245,1.);
#13608=VECTOR('',#47246,1.);
#13609=VECTOR('',#47247,1.);
#13610=VECTOR('',#47248,1.);
#13611=VECTOR('',#47249,1.);
#13612=VECTOR('',#47250,1.);
#13613=VECTOR('',#47251,1.);
#13614=VECTOR('',#47252,1.);
#13615=VECTOR('',#47253,1.);
#13616=VECTOR('',#47254,1.);
#13617=VECTOR('',#47255,1.);
#13618=VECTOR('',#47256,1.);
#13619=VECTOR('',#47257,1.);
#13620=VECTOR('',#47258,10.);
#13621=VECTOR('',#47261,1.);
#13622=VECTOR('',#47264,10.);
#13623=VECTOR('',#47265,1.);
#13624=VECTOR('',#47268,1.);
#13625=VECTOR('',#47269,1.);
#13626=VECTOR('',#47270,1.);
#13627=VECTOR('',#47271,1.);
#13628=VECTOR('',#47274,1.);
#13629=VECTOR('',#47277,1.);
#13630=VECTOR('',#47280,1.);
#13631=VECTOR('',#47283,1.);
#13632=VECTOR('',#47286,1.);
#13633=VECTOR('',#47291,1.);
#13634=VECTOR('',#47292,1.);
#13635=VECTOR('',#47295,1.00000000000064);
#13636=VECTOR('',#47296,1.00000000000064);
#13637=VECTOR('',#47297,1.);
#13638=VECTOR('',#47298,1.00000000000064);
#13639=VECTOR('',#47299,1.);
#13640=VECTOR('',#47300,1.00000000000064);
#13641=VECTOR('',#47301,1.);
#13642=VECTOR('',#47304,10.);
#13643=VECTOR('',#47305,1.00000000000064);
#13644=VECTOR('',#47308,1.00000000000064);
#13645=VECTOR('',#47309,10.);
#13646=VECTOR('',#47312,1.);
#13647=VECTOR('',#47313,1.);
#13648=VECTOR('',#47314,10.);
#13649=VECTOR('',#47317,1.);
#13650=VECTOR('',#47318,1.);
#13651=VECTOR('',#47319,1.);
#13652=VECTOR('',#47322,1.);
#13653=VECTOR('',#47323,1.);
#13654=VECTOR('',#47324,1.);
#13655=VECTOR('',#47327,1.);
#13656=VECTOR('',#47328,1.);
#13657=VECTOR('',#47329,1.);
#13658=VECTOR('',#47332,1.);
#13659=VECTOR('',#47333,1.);
#13660=VECTOR('',#47334,1.);
#13661=VECTOR('',#47337,1.);
#13662=VECTOR('',#47338,1.);
#13663=VECTOR('',#47339,1.);
#13664=VECTOR('',#47342,1.);
#13665=VECTOR('',#47343,1.);
#13666=VECTOR('',#47344,1.);
#13667=VECTOR('',#47347,1.);
#13668=VECTOR('',#47348,1.);
#13669=VECTOR('',#47349,1.);
#13670=VECTOR('',#47352,1.);
#13671=VECTOR('',#47353,1.);
#13672=VECTOR('',#47354,1.);
#13673=VECTOR('',#47357,1.);
#13674=VECTOR('',#47358,1.);
#13675=VECTOR('',#47359,1.);
#13676=VECTOR('',#47362,1.);
#13677=VECTOR('',#47363,1.);
#13678=VECTOR('',#47364,1.);
#13679=VECTOR('',#47367,1.);
#13680=VECTOR('',#47368,1.);
#13681=VECTOR('',#47369,1.);
#13682=VECTOR('',#47372,1.);
#13683=VECTOR('',#47373,1.);
#13684=VECTOR('',#47374,1.);
#13685=VECTOR('',#47377,1.);
#13686=VECTOR('',#47378,1.);
#13687=VECTOR('',#47379,1.);
#13688=VECTOR('',#47382,1.);
#13689=VECTOR('',#47383,1.);
#13690=VECTOR('',#47384,1.);
#13691=VECTOR('',#47387,1.);
#13692=VECTOR('',#47388,1.);
#13693=VECTOR('',#47389,1.);
#13694=VECTOR('',#47392,1.);
#13695=VECTOR('',#47393,1.);
#13696=VECTOR('',#47394,1.);
#13697=VECTOR('',#47397,1.);
#13698=VECTOR('',#47398,1.);
#13699=VECTOR('',#47399,1.);
#13700=VECTOR('',#47402,1.);
#13701=VECTOR('',#47403,1.);
#13702=VECTOR('',#47404,1.);
#13703=VECTOR('',#47407,1.);
#13704=VECTOR('',#47408,1.);
#13705=VECTOR('',#47409,1.);
#13706=VECTOR('',#47412,1.);
#13707=VECTOR('',#47413,1.);
#13708=VECTOR('',#47414,1.);
#13709=VECTOR('',#47417,1.);
#13710=VECTOR('',#47418,1.);
#13711=VECTOR('',#47419,1.);
#13712=VECTOR('',#47422,10.);
#13713=VECTOR('',#47423,1.00000000000064);
#13714=VECTOR('',#47426,10.);
#13715=VECTOR('',#47427,1.);
#13716=VECTOR('',#47430,10.);
#13717=VECTOR('',#47431,1.);
#13718=VECTOR('',#47432,1.);
#13719=VECTOR('',#47433,1.);
#13720=VECTOR('',#47434,1.);
#13721=VECTOR('',#47435,1.);
#13722=VECTOR('',#47436,1.);
#13723=VECTOR('',#47437,1.);
#13724=VECTOR('',#47438,1.);
#13725=VECTOR('',#47439,1.);
#13726=VECTOR('',#47440,1.);
#13727=VECTOR('',#47441,1.);
#13728=VECTOR('',#47442,1.);
#13729=VECTOR('',#47443,1.);
#13730=VECTOR('',#47444,1.);
#13731=VECTOR('',#47445,1.);
#13732=VECTOR('',#47446,1.);
#13733=VECTOR('',#47447,1.);
#13734=VECTOR('',#47448,1.);
#13735=VECTOR('',#47449,1.);
#13736=VECTOR('',#47450,1.);
#13737=VECTOR('',#47451,1.);
#13738=VECTOR('',#47452,1.);
#13739=VECTOR('',#47453,1.);
#13740=VECTOR('',#47454,10.);
#13741=VECTOR('',#47455,10.);
#13742=VECTOR('',#47458,1.);
#13743=VECTOR('',#47461,1.);
#13744=VECTOR('',#47464,1.);
#13745=VECTOR('',#47467,1.);
#13746=VECTOR('',#47468,1.);
#13747=VECTOR('',#47469,1.);
#13748=VECTOR('',#47472,1.);
#13749=VECTOR('',#47477,1.);
#13750=VECTOR('',#47478,1.);
#13751=VECTOR('',#47479,1.);
#13752=VECTOR('',#47480,1.);
#13753=VECTOR('',#47481,1.);
#13754=VECTOR('',#47482,1.);
#13755=VECTOR('',#47483,1.);
#13756=VECTOR('',#47484,1.);
#13757=VECTOR('',#47485,1.);
#13758=VECTOR('',#47486,1.);
#13759=VECTOR('',#47487,1.);
#13760=VECTOR('',#47488,1.);
#13761=VECTOR('',#47489,1.);
#13762=VECTOR('',#47490,1.);
#13763=VECTOR('',#47491,1.);
#13764=VECTOR('',#47492,1.);
#13765=VECTOR('',#47493,1.);
#13766=VECTOR('',#47494,1.);
#13767=VECTOR('',#47495,1.);
#13768=VECTOR('',#47496,1.);
#13769=VECTOR('',#47497,1.);
#13770=VECTOR('',#47498,1.);
#13771=VECTOR('',#47499,1.);
#13772=VECTOR('',#47500,1.);
#13773=VECTOR('',#47501,1.);
#13774=VECTOR('',#47502,10.);
#13775=VECTOR('',#47505,1.00000000000064);
#13776=VECTOR('',#47506,10.);
#13777=VECTOR('',#47509,1.);
#13778=VECTOR('',#47510,1.);
#13779=VECTOR('',#47511,1.);
#13780=VECTOR('',#47512,1.);
#13781=VECTOR('',#47513,1.);
#13782=VECTOR('',#47514,1.);
#13783=VECTOR('',#47515,1.);
#13784=VECTOR('',#47516,1.);
#13785=VECTOR('',#47517,1.);
#13786=VECTOR('',#47518,1.);
#13787=VECTOR('',#47519,1.);
#13788=VECTOR('',#47520,1.);
#13789=VECTOR('',#47521,1.);
#13790=VECTOR('',#47522,1.);
#13791=VECTOR('',#47523,1.);
#13792=VECTOR('',#47524,1.);
#13793=VECTOR('',#47525,1.);
#13794=VECTOR('',#47526,1.);
#13795=VECTOR('',#47527,1.);
#13796=VECTOR('',#47528,1.);
#13797=VECTOR('',#47529,1.);
#13798=VECTOR('',#47530,1.);
#13799=VECTOR('',#47531,1.);
#13800=VECTOR('',#47532,1.);
#13801=VECTOR('',#47533,1.);
#13802=VECTOR('',#47534,10.);
#13803=VECTOR('',#47537,1.00000000000064);
#13804=VECTOR('',#47538,10.);
#13805=VECTOR('',#47541,1.);
#13806=VECTOR('',#47542,1.);
#13807=VECTOR('',#47543,1.);
#13808=VECTOR('',#47544,1.);
#13809=VECTOR('',#47545,1.);
#13810=VECTOR('',#47546,1.);
#13811=VECTOR('',#47547,1.);
#13812=VECTOR('',#47548,1.);
#13813=VECTOR('',#47549,1.);
#13814=VECTOR('',#47550,1.);
#13815=VECTOR('',#47551,1.);
#13816=VECTOR('',#47552,1.);
#13817=VECTOR('',#47553,1.);
#13818=VECTOR('',#47554,1.);
#13819=VECTOR('',#47555,1.);
#13820=VECTOR('',#47556,1.);
#13821=VECTOR('',#47557,1.);
#13822=VECTOR('',#47558,1.);
#13823=VECTOR('',#47559,1.);
#13824=VECTOR('',#47560,1.);
#13825=VECTOR('',#47561,1.);
#13826=VECTOR('',#47562,1.);
#13827=VECTOR('',#47563,1.);
#13828=VECTOR('',#47564,1.);
#13829=VECTOR('',#47565,1.);
#13830=VECTOR('',#47568,1.00000000000064);
#13831=VECTOR('',#47569,10.);
#13832=VECTOR('',#47574,1.);
#13833=VECTOR('',#47577,1.);
#13834=VECTOR('',#47578,1.);
#13835=VECTOR('',#47579,1.);
#13836=VECTOR('',#47582,1.);
#13837=VECTOR('',#47585,1.);
#13838=VECTOR('',#47588,1.);
#13839=VECTOR('',#47589,10.);
#13840=VECTOR('',#47592,1.);
#13841=VECTOR('',#47595,1.);
#13842=VECTOR('',#47598,1.);
#13843=VECTOR('',#47599,1.);
#13844=VECTOR('',#47600,1.);
#13845=VECTOR('',#47603,1.);
#13846=VECTOR('',#47608,1.);
#13847=VECTOR('',#47613,1.);
#13848=VECTOR('',#47616,1.);
#13849=VECTOR('',#47617,1.);
#13850=VECTOR('',#47618,1.);
#13851=VECTOR('',#47621,1.);
#13852=VECTOR('',#47624,1.);
#13853=VECTOR('',#47627,1.);
#13854=VECTOR('',#47628,1.);
#13855=VECTOR('',#47631,1.);
#13856=VECTOR('',#47636,1.);
#13857=VECTOR('',#47639,1.);
#13858=VECTOR('',#47640,1.);
#13859=VECTOR('',#47641,1.);
#13860=VECTOR('',#47644,1.);
#13861=VECTOR('',#47647,1.);
#13862=VECTOR('',#47650,1.);
#13863=VECTOR('',#47653,1.);
#13864=VECTOR('',#47656,1.);
#13865=VECTOR('',#47657,1.);
#13866=VECTOR('',#47658,1.);
#13867=VECTOR('',#47661,1.);
#13868=VECTOR('',#47666,1.);
#13869=VECTOR('',#47669,1.);
#13870=VECTOR('',#47670,1.);
#13871=VECTOR('',#47673,1.);
#13872=VECTOR('',#47676,1.);
#13873=VECTOR('',#47679,1.);
#13874=VECTOR('',#47680,1.);
#13875=VECTOR('',#47681,1.);
#13876=VECTOR('',#47684,1.);
#13877=VECTOR('',#47691,1.);
#13878=VECTOR('',#47694,1.);
#13879=VECTOR('',#47695,1.);
#13880=VECTOR('',#47696,1.);
#13881=VECTOR('',#47699,1.);
#13882=VECTOR('',#47702,1.);
#13883=VECTOR('',#47705,1.);
#13884=VECTOR('',#47706,1.);
#13885=VECTOR('',#47709,1.);
#13886=VECTOR('',#47714,1.);
#13887=VECTOR('',#47717,1.);
#13888=VECTOR('',#47718,1.);
#13889=VECTOR('',#47719,1.);
#13890=VECTOR('',#47722,1.);
#13891=VECTOR('',#47725,1.);
#13892=VECTOR('',#47728,1.);
#13893=VECTOR('',#47731,1.);
#13894=VECTOR('',#47734,1.);
#13895=VECTOR('',#47735,1.);
#13896=VECTOR('',#47736,1.);
#13897=VECTOR('',#47739,1.);
#13898=VECTOR('',#47744,1.);
#13899=VECTOR('',#47747,1.);
#13900=VECTOR('',#47748,1.);
#13901=VECTOR('',#47751,1.);
#13902=VECTOR('',#47754,1.);
#13903=VECTOR('',#47757,1.);
#13904=VECTOR('',#47758,1.);
#13905=VECTOR('',#47759,1.);
#13906=VECTOR('',#47762,1.);
#13907=VECTOR('',#47769,1.);
#13908=VECTOR('',#47772,1.);
#13909=VECTOR('',#47773,1.);
#13910=VECTOR('',#47774,1.);
#13911=VECTOR('',#47777,1.);
#13912=VECTOR('',#47780,1.);
#13913=VECTOR('',#47783,1.);
#13914=VECTOR('',#47784,1.);
#13915=VECTOR('',#47787,1.);
#13916=VECTOR('',#47792,1.);
#13917=VECTOR('',#47795,1.);
#13918=VECTOR('',#47796,1.);
#13919=VECTOR('',#47797,1.);
#13920=VECTOR('',#47800,1.);
#13921=VECTOR('',#47803,1.);
#13922=VECTOR('',#47806,1.);
#13923=VECTOR('',#47809,1.);
#13924=VECTOR('',#47812,1.);
#13925=VECTOR('',#47813,1.);
#13926=VECTOR('',#47814,1.);
#13927=VECTOR('',#47817,1.);
#13928=VECTOR('',#47822,1.);
#13929=VECTOR('',#47825,1.);
#13930=VECTOR('',#47826,1.);
#13931=VECTOR('',#47829,1.);
#13932=VECTOR('',#47832,1.);
#13933=VECTOR('',#47835,1.);
#13934=VECTOR('',#47836,1.);
#13935=VECTOR('',#47837,1.);
#13936=VECTOR('',#47840,1.);
#13937=VECTOR('',#47847,1.);
#13938=VECTOR('',#47850,1.);
#13939=VECTOR('',#47851,1.);
#13940=VECTOR('',#47852,1.);
#13941=VECTOR('',#47855,1.);
#13942=VECTOR('',#47858,1.);
#13943=VECTOR('',#47861,1.);
#13944=VECTOR('',#47862,1.);
#13945=VECTOR('',#47865,1.);
#13946=VECTOR('',#47870,1.);
#13947=VECTOR('',#47873,1.);
#13948=VECTOR('',#47874,1.);
#13949=VECTOR('',#47875,1.);
#13950=VECTOR('',#47878,1.);
#13951=VECTOR('',#47881,1.);
#13952=VECTOR('',#47884,1.);
#13953=VECTOR('',#47887,1.);
#13954=VECTOR('',#47890,1.);
#13955=VECTOR('',#47891,1.);
#13956=VECTOR('',#47892,1.);
#13957=VECTOR('',#47895,1.);
#13958=VECTOR('',#47900,1.);
#13959=VECTOR('',#47903,1.);
#13960=VECTOR('',#47904,1.);
#13961=VECTOR('',#47907,1.);
#13962=VECTOR('',#47910,1.);
#13963=VECTOR('',#47913,1.);
#13964=VECTOR('',#47914,1.);
#13965=VECTOR('',#47915,1.);
#13966=VECTOR('',#47918,1.);
#13967=VECTOR('',#47925,1.);
#13968=VECTOR('',#47928,1.);
#13969=VECTOR('',#47929,1.);
#13970=VECTOR('',#47930,1.);
#13971=VECTOR('',#47933,1.);
#13972=VECTOR('',#47936,1.);
#13973=VECTOR('',#47939,1.);
#13974=VECTOR('',#47940,1.);
#13975=VECTOR('',#47943,1.);
#13976=VECTOR('',#47948,1.);
#13977=VECTOR('',#47951,1.);
#13978=VECTOR('',#47952,1.);
#13979=VECTOR('',#47953,1.);
#13980=VECTOR('',#47956,1.);
#13981=VECTOR('',#47959,1.);
#13982=VECTOR('',#47962,1.);
#13983=VECTOR('',#47965,1.);
#13984=VECTOR('',#47968,1.);
#13985=VECTOR('',#47969,1.);
#13986=VECTOR('',#47970,1.);
#13987=VECTOR('',#47973,1.);
#13988=VECTOR('',#47978,1.);
#13989=VECTOR('',#47981,1.);
#13990=VECTOR('',#47982,1.);
#13991=VECTOR('',#47985,10.);
#13992=VECTOR('',#47986,1.00000000000064);
#13993=VECTOR('',#47989,1.);
#13994=VECTOR('',#47990,1.);
#13995=VECTOR('',#47993,10.);
#13996=VECTOR('',#47994,1.00000000000064);
#13997=VECTOR('',#47995,10.);
#13998=VECTOR('',#48000,10.);
#13999=VECTOR('',#48005,10.);
#14000=VECTOR('',#48006,10.);
#14001=VECTOR('',#48009,1.);
#14002=VECTOR('',#48012,1.);
#14003=VECTOR('',#48015,1.);
#14004=VECTOR('',#48018,1.);
#14005=VECTOR('',#48021,1.);
#14006=VECTOR('',#48024,1.);
#14007=VECTOR('',#48027,1.);
#14008=VECTOR('',#48030,1.);
#14009=VECTOR('',#48033,1.);
#14010=VECTOR('',#48036,1.);
#14011=VECTOR('',#48039,1.);
#14012=VECTOR('',#48042,1.);
#14013=VECTOR('',#48045,1.);
#14014=VECTOR('',#48048,1.);
#14015=VECTOR('',#48051,1.);
#14016=VECTOR('',#48054,1.);
#14017=VECTOR('',#48057,1.);
#14018=VECTOR('',#48060,1.);
#14019=VECTOR('',#48065,1.);
#14020=VECTOR('',#48066,1.);
#14021=VECTOR('',#48069,1.);
#14022=VECTOR('',#48070,1.);
#14023=VECTOR('',#48071,10.);
#14024=VECTOR('',#48074,1.);
#14025=VECTOR('',#48075,1.);
#14026=VECTOR('',#48076,1.);
#14027=VECTOR('',#48079,1.);
#14028=VECTOR('',#48080,1.);
#14029=VECTOR('',#48081,1.);
#14030=VECTOR('',#48084,1.);
#14031=VECTOR('',#48085,1.);
#14032=VECTOR('',#48086,1.);
#14033=VECTOR('',#48089,1.);
#14034=VECTOR('',#48090,1.);
#14035=VECTOR('',#48091,1.);
#14036=VECTOR('',#48094,1.);
#14037=VECTOR('',#48095,1.);
#14038=VECTOR('',#48096,1.);
#14039=VECTOR('',#48099,1.);
#14040=VECTOR('',#48100,1.);
#14041=VECTOR('',#48101,1.);
#14042=VECTOR('',#48104,1.);
#14043=VECTOR('',#48105,1.);
#14044=VECTOR('',#48106,1.);
#14045=VECTOR('',#48109,1.);
#14046=VECTOR('',#48110,1.);
#14047=VECTOR('',#48111,1.);
#14048=VECTOR('',#48114,1.);
#14049=VECTOR('',#48115,1.);
#14050=VECTOR('',#48116,1.);
#14051=VECTOR('',#48119,1.);
#14052=VECTOR('',#48120,1.);
#14053=VECTOR('',#48121,1.);
#14054=VECTOR('',#48124,1.);
#14055=VECTOR('',#48125,1.);
#14056=VECTOR('',#48126,1.);
#14057=VECTOR('',#48129,1.);
#14058=VECTOR('',#48130,1.);
#14059=VECTOR('',#48131,1.);
#14060=VECTOR('',#48134,1.);
#14061=VECTOR('',#48135,1.);
#14062=VECTOR('',#48136,1.);
#14063=VECTOR('',#48139,1.);
#14064=VECTOR('',#48140,1.);
#14065=VECTOR('',#48141,1.);
#14066=VECTOR('',#48144,1.);
#14067=VECTOR('',#48145,1.);
#14068=VECTOR('',#48146,1.);
#14069=VECTOR('',#48149,1.);
#14070=VECTOR('',#48150,1.);
#14071=VECTOR('',#48151,1.);
#14072=VECTOR('',#48154,1.);
#14073=VECTOR('',#48155,1.);
#14074=VECTOR('',#48156,1.);
#14075=VECTOR('',#48159,1.);
#14076=VECTOR('',#48160,1.);
#14077=VECTOR('',#48161,1.);
#14078=VECTOR('',#48164,1.);
#14079=VECTOR('',#48165,1.);
#14080=VECTOR('',#48166,1.);
#14081=VECTOR('',#48169,1.);
#14082=VECTOR('',#48170,1.);
#14083=VECTOR('',#48171,1.);
#14084=VECTOR('',#48174,1.);
#14085=VECTOR('',#48175,1.);
#14086=VECTOR('',#48176,1.);
#14087=VECTOR('',#48179,1.);
#14088=VECTOR('',#48180,1.);
#14089=VECTOR('',#48181,1.);
#14090=VECTOR('',#48184,1.);
#14091=VECTOR('',#48185,1.);
#14092=VECTOR('',#48186,1.);
#14093=VECTOR('',#48189,1.00000000000064);
#14094=VECTOR('',#48190,10.);
#14095=VECTOR('',#48193,1.);
#14096=VECTOR('',#48194,1.);
#14097=VECTOR('',#48197,1.);
#14098=VECTOR('',#48198,1.);
#14099=VECTOR('',#48199,10.);
#14100=VECTOR('',#48202,1.);
#14101=VECTOR('',#48203,1.);
#14102=VECTOR('',#48204,1.);
#14103=VECTOR('',#48207,1.);
#14104=VECTOR('',#48208,1.);
#14105=VECTOR('',#48209,1.);
#14106=VECTOR('',#48212,1.);
#14107=VECTOR('',#48213,1.);
#14108=VECTOR('',#48214,1.);
#14109=VECTOR('',#48217,1.);
#14110=VECTOR('',#48218,1.);
#14111=VECTOR('',#48219,1.);
#14112=VECTOR('',#48222,1.);
#14113=VECTOR('',#48223,1.);
#14114=VECTOR('',#48224,1.);
#14115=VECTOR('',#48227,1.);
#14116=VECTOR('',#48228,1.);
#14117=VECTOR('',#48229,1.);
#14118=VECTOR('',#48232,1.);
#14119=VECTOR('',#48233,1.);
#14120=VECTOR('',#48234,1.);
#14121=VECTOR('',#48237,1.);
#14122=VECTOR('',#48238,1.);
#14123=VECTOR('',#48239,1.);
#14124=VECTOR('',#48242,1.);
#14125=VECTOR('',#48243,1.);
#14126=VECTOR('',#48244,1.);
#14127=VECTOR('',#48247,1.);
#14128=VECTOR('',#48248,1.);
#14129=VECTOR('',#48249,1.);
#14130=VECTOR('',#48252,1.);
#14131=VECTOR('',#48253,1.);
#14132=VECTOR('',#48254,1.);
#14133=VECTOR('',#48257,1.);
#14134=VECTOR('',#48258,1.);
#14135=VECTOR('',#48259,1.);
#14136=VECTOR('',#48262,1.);
#14137=VECTOR('',#48263,1.);
#14138=VECTOR('',#48264,1.);
#14139=VECTOR('',#48267,1.);
#14140=VECTOR('',#48268,1.);
#14141=VECTOR('',#48269,1.);
#14142=VECTOR('',#48272,1.);
#14143=VECTOR('',#48273,1.);
#14144=VECTOR('',#48274,1.);
#14145=VECTOR('',#48277,1.);
#14146=VECTOR('',#48278,1.);
#14147=VECTOR('',#48279,1.);
#14148=VECTOR('',#48282,1.);
#14149=VECTOR('',#48283,1.);
#14150=VECTOR('',#48284,1.);
#14151=VECTOR('',#48287,1.);
#14152=VECTOR('',#48288,1.);
#14153=VECTOR('',#48289,1.);
#14154=VECTOR('',#48292,1.);
#14155=VECTOR('',#48293,1.);
#14156=VECTOR('',#48294,1.);
#14157=VECTOR('',#48297,1.);
#14158=VECTOR('',#48298,1.);
#14159=VECTOR('',#48299,1.);
#14160=VECTOR('',#48302,1.);
#14161=VECTOR('',#48303,1.);
#14162=VECTOR('',#48304,1.);
#14163=VECTOR('',#48307,1.);
#14164=VECTOR('',#48308,1.);
#14165=VECTOR('',#48309,1.);
#14166=VECTOR('',#48312,1.);
#14167=VECTOR('',#48313,1.);
#14168=VECTOR('',#48314,1.);
#14169=VECTOR('',#48317,1.00000000000064);
#14170=VECTOR('',#48318,10.);
#14171=VECTOR('',#48321,1.);
#14172=VECTOR('',#48322,1.);
#14173=VECTOR('',#48325,1.);
#14174=VECTOR('',#48326,1.);
#14175=VECTOR('',#48327,1.);
#14176=VECTOR('',#48330,1.);
#14177=VECTOR('',#48331,1.);
#14178=VECTOR('',#48332,1.);
#14179=VECTOR('',#48335,1.);
#14180=VECTOR('',#48336,1.);
#14181=VECTOR('',#48337,1.);
#14182=VECTOR('',#48340,1.);
#14183=VECTOR('',#48341,1.);
#14184=VECTOR('',#48342,1.);
#14185=VECTOR('',#48345,1.);
#14186=VECTOR('',#48346,1.);
#14187=VECTOR('',#48347,1.);
#14188=VECTOR('',#48350,1.);
#14189=VECTOR('',#48351,1.);
#14190=VECTOR('',#48352,1.);
#14191=VECTOR('',#48355,1.);
#14192=VECTOR('',#48356,1.);
#14193=VECTOR('',#48357,1.);
#14194=VECTOR('',#48360,1.);
#14195=VECTOR('',#48361,1.);
#14196=VECTOR('',#48362,1.);
#14197=VECTOR('',#48365,1.);
#14198=VECTOR('',#48366,1.);
#14199=VECTOR('',#48367,1.);
#14200=VECTOR('',#48370,1.);
#14201=VECTOR('',#48371,1.);
#14202=VECTOR('',#48372,1.);
#14203=VECTOR('',#48375,1.);
#14204=VECTOR('',#48376,1.);
#14205=VECTOR('',#48377,1.);
#14206=VECTOR('',#48380,1.);
#14207=VECTOR('',#48381,1.);
#14208=VECTOR('',#48382,1.);
#14209=VECTOR('',#48385,1.);
#14210=VECTOR('',#48386,1.);
#14211=VECTOR('',#48387,1.);
#14212=VECTOR('',#48390,1.);
#14213=VECTOR('',#48391,1.);
#14214=VECTOR('',#48392,1.);
#14215=VECTOR('',#48395,1.);
#14216=VECTOR('',#48396,1.);
#14217=VECTOR('',#48397,1.);
#14218=VECTOR('',#48400,1.);
#14219=VECTOR('',#48401,1.);
#14220=VECTOR('',#48402,1.);
#14221=VECTOR('',#48405,1.);
#14222=VECTOR('',#48406,1.);
#14223=VECTOR('',#48407,1.);
#14224=VECTOR('',#48410,1.);
#14225=VECTOR('',#48411,1.);
#14226=VECTOR('',#48412,1.);
#14227=VECTOR('',#48415,1.);
#14228=VECTOR('',#48416,1.);
#14229=VECTOR('',#48417,1.);
#14230=VECTOR('',#48420,1.);
#14231=VECTOR('',#48421,1.);
#14232=VECTOR('',#48422,1.);
#14233=VECTOR('',#48425,1.);
#14234=VECTOR('',#48426,1.);
#14235=VECTOR('',#48427,1.);
#14236=VECTOR('',#48430,1.);
#14237=VECTOR('',#48431,1.);
#14238=VECTOR('',#48432,1.);
#14239=VECTOR('',#48435,1.);
#14240=VECTOR('',#48436,1.);
#14241=VECTOR('',#48437,1.);
#14242=VECTOR('',#48440,10.);
#14243=VECTOR('',#48443,1.);
#14244=VECTOR('',#48446,1.);
#14245=VECTOR('',#48449,1.);
#14246=VECTOR('',#48452,1.);
#14247=VECTOR('',#48455,1.);
#14248=VECTOR('',#48458,1.);
#14249=VECTOR('',#48461,1.);
#14250=VECTOR('',#48466,1.);
#14251=VECTOR('',#48469,1.);
#14252=VECTOR('',#48472,1.);
#14253=VECTOR('',#48475,1.);
#14254=VECTOR('',#48478,1.);
#14255=VECTOR('',#48481,1.);
#14256=VECTOR('',#48484,1.);
#14257=VECTOR('',#48489,1.);
#14258=VECTOR('',#48492,1.);
#14259=VECTOR('',#48495,1.);
#14260=VECTOR('',#48498,1.);
#14261=VECTOR('',#48501,1.);
#14262=VECTOR('',#48504,1.);
#14263=VECTOR('',#48507,1.);
#14264=VECTOR('',#48512,1.);
#14265=VECTOR('',#48515,1.);
#14266=VECTOR('',#48518,1.);
#14267=VECTOR('',#48521,1.);
#14268=VECTOR('',#48524,1.);
#14269=VECTOR('',#48527,1.);
#14270=VECTOR('',#48530,1.);
#14271=VECTOR('',#48535,1.);
#14272=VECTOR('',#48538,1.);
#14273=VECTOR('',#48541,1.);
#14274=VECTOR('',#48544,1.);
#14275=VECTOR('',#48547,1.);
#14276=VECTOR('',#48550,1.);
#14277=VECTOR('',#48553,1.);
#14278=VECTOR('',#48558,1.);
#14279=VECTOR('',#48561,1.);
#14280=VECTOR('',#48564,1.);
#14281=VECTOR('',#48567,1.);
#14282=VECTOR('',#48570,1.);
#14283=VECTOR('',#48573,1.);
#14284=VECTOR('',#48576,1.);
#14285=VECTOR('',#48581,1.);
#14286=VECTOR('',#48584,1.);
#14287=VECTOR('',#48587,1.);
#14288=VECTOR('',#48590,1.);
#14289=VECTOR('',#48593,1.);
#14290=VECTOR('',#48596,1.);
#14291=VECTOR('',#48599,1.);
#14292=VECTOR('',#48604,1.);
#14293=VECTOR('',#48607,1.);
#14294=VECTOR('',#48610,1.);
#14295=VECTOR('',#48613,1.);
#14296=VECTOR('',#48616,1.);
#14297=VECTOR('',#48619,1.);
#14298=VECTOR('',#48622,1.);
#14299=VECTOR('',#48627,1.);
#14300=VECTOR('',#48630,1.);
#14301=VECTOR('',#48633,1.);
#14302=VECTOR('',#48636,1.);
#14303=VECTOR('',#48639,1.);
#14304=VECTOR('',#48642,1.);
#14305=VECTOR('',#48645,1.);
#14306=VECTOR('',#48650,1.);
#14307=VECTOR('',#48653,1.);
#14308=VECTOR('',#48656,1.);
#14309=VECTOR('',#48659,1.);
#14310=VECTOR('',#48662,1.);
#14311=VECTOR('',#48665,1.);
#14312=VECTOR('',#48668,1.);
#14313=VECTOR('',#48673,1.);
#14314=VECTOR('',#48674,1.);
#14315=VECTOR('',#48679,1.);
#14316=VECTOR('',#48682,1.);
#14317=VECTOR('',#48683,1.);
#14318=VECTOR('',#48684,1.);
#14319=VECTOR('',#48687,1.);
#14320=VECTOR('',#48690,1.);
#14321=VECTOR('',#48693,10.);
#14322=VECTOR('',#48694,1.);
#14323=VECTOR('',#48695,1.);
#14324=VECTOR('',#48696,1.);
#14325=VECTOR('',#48697,1.);
#14326=VECTOR('',#48698,1.);
#14327=VECTOR('',#48699,1.);
#14328=VECTOR('',#48700,1.);
#14329=VECTOR('',#48701,1.);
#14330=VECTOR('',#48702,1.);
#14331=VECTOR('',#48703,1.);
#14332=VECTOR('',#48704,1.);
#14333=VECTOR('',#48705,1.);
#14334=VECTOR('',#48706,1.);
#14335=VECTOR('',#48707,1.);
#14336=VECTOR('',#48708,1.);
#14337=VECTOR('',#48709,1.);
#14338=VECTOR('',#48710,1.);
#14339=VECTOR('',#48711,1.);
#14340=VECTOR('',#48712,1.);
#14341=VECTOR('',#48713,1.);
#14342=VECTOR('',#48714,1.);
#14343=VECTOR('',#48715,1.);
#14344=VECTOR('',#48716,1.);
#14345=VECTOR('',#48717,1.);
#14346=VECTOR('',#48718,1.);
#14347=VECTOR('',#48719,10.);
#14348=VECTOR('',#48722,10.);
#14349=VECTOR('',#48729,10.);
#14350=VECTOR('',#48732,10.);
#14351=VECTOR('',#48733,10.);
#14352=VECTOR('',#48734,10.);
#14353=VECTOR('',#48735,10.);
#14354=VECTOR('',#48736,10.);
#14355=VECTOR('',#48743,1.);
#14356=VECTOR('',#48744,1.);
#14357=VECTOR('',#48745,1.);
#14358=VECTOR('',#48746,1.);
#14359=VECTOR('',#48747,1.);
#14360=VECTOR('',#48748,1.);
#14361=VECTOR('',#48749,1.);
#14362=VECTOR('',#48750,1.);
#14363=VECTOR('',#48751,1.);
#14364=VECTOR('',#48752,1.);
#14365=VECTOR('',#48753,1.);
#14366=VECTOR('',#48754,1.);
#14367=VECTOR('',#48755,1.);
#14368=VECTOR('',#48756,1.);
#14369=VECTOR('',#48757,1.);
#14370=VECTOR('',#48758,1.);
#14371=VECTOR('',#48759,1.);
#14372=VECTOR('',#48760,1.);
#14373=VECTOR('',#48761,1.);
#14374=VECTOR('',#48762,1.);
#14375=VECTOR('',#48763,1.);
#14376=VECTOR('',#48764,1.);
#14377=VECTOR('',#48765,1.);
#14378=VECTOR('',#48766,1.);
#14379=VECTOR('',#48767,10.);
#14380=VECTOR('',#48768,10.);
#14381=VECTOR('',#48771,1.);
#14382=VECTOR('',#48774,1.);
#14383=VECTOR('',#48777,1.);
#14384=VECTOR('',#48780,1.);
#14385=VECTOR('',#48781,1.);
#14386=VECTOR('',#48782,1.);
#14387=VECTOR('',#48785,1.);
#14388=VECTOR('',#48792,1.);
#14389=VECTOR('',#48795,1.);
#14390=VECTOR('',#48796,1.);
#14391=VECTOR('',#48797,1.);
#14392=VECTOR('',#48800,1.);
#14393=VECTOR('',#48803,1.);
#14394=VECTOR('',#48806,1.);
#14395=VECTOR('',#48807,10.);
#14396=VECTOR('',#48810,1.);
#14397=VECTOR('',#48815,1.);
#14398=VECTOR('',#48818,1.);
#14399=VECTOR('',#48819,1.);
#14400=VECTOR('',#48820,1.);
#14401=VECTOR('',#48823,1.);
#14402=VECTOR('',#48826,1.);
#14403=VECTOR('',#48829,1.);
#14404=VECTOR('',#48832,1.);
#14405=VECTOR('',#48835,1.);
#14406=VECTOR('',#48836,1.);
#14407=VECTOR('',#48837,1.);
#14408=VECTOR('',#48840,1.);
#14409=VECTOR('',#48845,1.);
#14410=VECTOR('',#48848,1.);
#14411=VECTOR('',#48849,1.);
#14412=VECTOR('',#48852,1.);
#14413=VECTOR('',#48855,1.);
#14414=VECTOR('',#48858,1.);
#14415=VECTOR('',#48859,1.);
#14416=VECTOR('',#48860,1.);
#14417=VECTOR('',#48863,1.);
#14418=VECTOR('',#48870,1.);
#14419=VECTOR('',#48873,1.);
#14420=VECTOR('',#48874,1.);
#14421=VECTOR('',#48875,1.);
#14422=VECTOR('',#48878,1.);
#14423=VECTOR('',#48881,1.);
#14424=VECTOR('',#48884,1.);
#14425=VECTOR('',#48885,1.);
#14426=VECTOR('',#48888,1.);
#14427=VECTOR('',#48893,1.);
#14428=VECTOR('',#48896,1.);
#14429=VECTOR('',#48897,1.);
#14430=VECTOR('',#48898,1.);
#14431=VECTOR('',#48901,1.);
#14432=VECTOR('',#48904,1.);
#14433=VECTOR('',#48907,1.);
#14434=VECTOR('',#48910,1.);
#14435=VECTOR('',#48913,1.);
#14436=VECTOR('',#48914,1.);
#14437=VECTOR('',#48915,1.);
#14438=VECTOR('',#48918,1.);
#14439=VECTOR('',#48923,1.);
#14440=VECTOR('',#48926,1.);
#14441=VECTOR('',#48927,1.);
#14442=VECTOR('',#48930,1.);
#14443=VECTOR('',#48933,1.);
#14444=VECTOR('',#48936,1.);
#14445=VECTOR('',#48937,1.);
#14446=VECTOR('',#48938,1.);
#14447=VECTOR('',#48941,1.);
#14448=VECTOR('',#48948,1.);
#14449=VECTOR('',#48951,1.);
#14450=VECTOR('',#48952,1.);
#14451=VECTOR('',#48953,1.);
#14452=VECTOR('',#48956,1.);
#14453=VECTOR('',#48959,1.);
#14454=VECTOR('',#48962,1.);
#14455=VECTOR('',#48963,1.);
#14456=VECTOR('',#48966,1.);
#14457=VECTOR('',#48971,1.);
#14458=VECTOR('',#48974,1.);
#14459=VECTOR('',#48975,1.);
#14460=VECTOR('',#48976,1.);
#14461=VECTOR('',#48979,1.);
#14462=VECTOR('',#48982,1.);
#14463=VECTOR('',#48985,1.);
#14464=VECTOR('',#48988,1.);
#14465=VECTOR('',#48991,1.);
#14466=VECTOR('',#48992,1.);
#14467=VECTOR('',#48993,1.);
#14468=VECTOR('',#48996,1.);
#14469=VECTOR('',#49001,1.);
#14470=VECTOR('',#49004,1.);
#14471=VECTOR('',#49005,1.);
#14472=VECTOR('',#49008,1.);
#14473=VECTOR('',#49011,1.);
#14474=VECTOR('',#49014,1.);
#14475=VECTOR('',#49015,1.);
#14476=VECTOR('',#49016,1.);
#14477=VECTOR('',#49019,1.);
#14478=VECTOR('',#49026,1.);
#14479=VECTOR('',#49029,1.);
#14480=VECTOR('',#49030,1.);
#14481=VECTOR('',#49031,1.);
#14482=VECTOR('',#49034,1.);
#14483=VECTOR('',#49037,1.);
#14484=VECTOR('',#49040,1.);
#14485=VECTOR('',#49041,1.);
#14486=VECTOR('',#49044,1.);
#14487=VECTOR('',#49049,1.);
#14488=VECTOR('',#49052,1.);
#14489=VECTOR('',#49053,1.);
#14490=VECTOR('',#49054,1.);
#14491=VECTOR('',#49057,1.);
#14492=VECTOR('',#49060,1.);
#14493=VECTOR('',#49063,1.);
#14494=VECTOR('',#49066,1.);
#14495=VECTOR('',#49069,1.);
#14496=VECTOR('',#49070,1.);
#14497=VECTOR('',#49071,1.);
#14498=VECTOR('',#49074,1.);
#14499=VECTOR('',#49079,1.);
#14500=VECTOR('',#49082,1.);
#14501=VECTOR('',#49083,1.);
#14502=VECTOR('',#49086,1.);
#14503=VECTOR('',#49089,1.);
#14504=VECTOR('',#49092,1.);
#14505=VECTOR('',#49093,1.);
#14506=VECTOR('',#49094,1.);
#14507=VECTOR('',#49097,1.);
#14508=VECTOR('',#49104,1.);
#14509=VECTOR('',#49107,1.);
#14510=VECTOR('',#49108,1.);
#14511=VECTOR('',#49109,1.);
#14512=VECTOR('',#49112,1.);
#14513=VECTOR('',#49115,1.);
#14514=VECTOR('',#49118,1.);
#14515=VECTOR('',#49119,1.);
#14516=VECTOR('',#49122,1.);
#14517=VECTOR('',#49127,1.);
#14518=VECTOR('',#49130,1.);
#14519=VECTOR('',#49131,1.);
#14520=VECTOR('',#49132,1.);
#14521=VECTOR('',#49135,1.);
#14522=VECTOR('',#49138,1.);
#14523=VECTOR('',#49141,1.);
#14524=VECTOR('',#49144,1.);
#14525=VECTOR('',#49147,1.);
#14526=VECTOR('',#49148,1.);
#14527=VECTOR('',#49149,1.);
#14528=VECTOR('',#49152,1.);
#14529=VECTOR('',#49157,1.);
#14530=VECTOR('',#49160,1.);
#14531=VECTOR('',#49161,1.);
#14532=VECTOR('',#49164,1.);
#14533=VECTOR('',#49167,1.);
#14534=VECTOR('',#49170,1.);
#14535=VECTOR('',#49171,1.);
#14536=VECTOR('',#49172,1.);
#14537=VECTOR('',#49175,1.);
#14538=VECTOR('',#49182,1.);
#14539=VECTOR('',#49185,1.);
#14540=VECTOR('',#49186,1.);
#14541=VECTOR('',#49187,1.);
#14542=VECTOR('',#49190,1.);
#14543=VECTOR('',#49193,1.);
#14544=VECTOR('',#49196,1.);
#14545=VECTOR('',#49197,1.);
#14546=VECTOR('',#49200,1.);
#14547=VECTOR('',#49205,1.);
#14548=VECTOR('',#49208,1.);
#14549=VECTOR('',#49209,1.);
#14550=VECTOR('',#49210,1.);
#14551=VECTOR('',#49213,1.);
#14552=VECTOR('',#49216,1.);
#14553=VECTOR('',#49219,1.);
#14554=VECTOR('',#49222,1.);
#14555=VECTOR('',#49225,1.);
#14556=VECTOR('',#49226,1.);
#14557=VECTOR('',#49227,1.);
#14558=VECTOR('',#49230,1.);
#14559=VECTOR('',#49235,1.);
#14560=VECTOR('',#49238,1.);
#14561=VECTOR('',#49239,1.);
#14562=VECTOR('',#49242,1.00000000000064);
#14563=VECTOR('',#49243,10.);
#14564=VECTOR('',#49246,1.);
#14565=VECTOR('',#49247,1.);
#14566=VECTOR('',#49250,1.);
#14567=VECTOR('',#49253,1.);
#14568=VECTOR('',#49256,1.);
#14569=VECTOR('',#49257,1.);
#14570=VECTOR('',#49258,1.);
#14571=VECTOR('',#49261,1.);
#14572=VECTOR('',#49268,1.);
#14573=VECTOR('',#49271,1.);
#14574=VECTOR('',#49272,1.);
#14575=VECTOR('',#49273,1.);
#14576=VECTOR('',#49276,1.);
#14577=VECTOR('',#49279,1.);
#14578=VECTOR('',#49282,1.);
#14579=VECTOR('',#49283,1.);
#14580=VECTOR('',#49284,10.);
#14581=VECTOR('',#49287,1.);
#14582=VECTOR('',#49292,1.);
#14583=VECTOR('',#49295,1.);
#14584=VECTOR('',#49296,1.);
#14585=VECTOR('',#49297,1.);
#14586=VECTOR('',#49300,1.);
#14587=VECTOR('',#49303,1.);
#14588=VECTOR('',#49306,1.);
#14589=VECTOR('',#49309,1.);
#14590=VECTOR('',#49312,1.);
#14591=VECTOR('',#49313,1.);
#14592=VECTOR('',#49314,1.);
#14593=VECTOR('',#49317,1.);
#14594=VECTOR('',#49322,1.);
#14595=VECTOR('',#49325,1.);
#14596=VECTOR('',#49326,1.);
#14597=VECTOR('',#49327,1.);
#14598=VECTOR('',#49330,1.);
#14599=VECTOR('',#49333,1.);
#14600=VECTOR('',#49336,1.);
#14601=VECTOR('',#49337,1.);
#14602=VECTOR('',#49338,1.);
#14603=VECTOR('',#49341,1.);
#14604=VECTOR('',#49348,1.);
#14605=VECTOR('',#49351,1.);
#14606=VECTOR('',#49352,1.);
#14607=VECTOR('',#49353,1.);
#14608=VECTOR('',#49356,1.);
#14609=VECTOR('',#49359,1.);
#14610=VECTOR('',#49362,1.);
#14611=VECTOR('',#49363,1.);
#14612=VECTOR('',#49364,1.);
#14613=VECTOR('',#49367,1.);
#14614=VECTOR('',#49372,1.);
#14615=VECTOR('',#49375,1.);
#14616=VECTOR('',#49376,1.);
#14617=VECTOR('',#49377,1.);
#14618=VECTOR('',#49380,1.);
#14619=VECTOR('',#49383,1.);
#14620=VECTOR('',#49386,1.);
#14621=VECTOR('',#49389,1.);
#14622=VECTOR('',#49392,1.);
#14623=VECTOR('',#49393,1.);
#14624=VECTOR('',#49394,1.);
#14625=VECTOR('',#49397,1.);
#14626=VECTOR('',#49402,1.);
#14627=VECTOR('',#49405,1.);
#14628=VECTOR('',#49406,1.);
#14629=VECTOR('',#49407,1.);
#14630=VECTOR('',#49410,1.);
#14631=VECTOR('',#49413,1.);
#14632=VECTOR('',#49416,1.);
#14633=VECTOR('',#49417,1.);
#14634=VECTOR('',#49418,1.);
#14635=VECTOR('',#49421,1.);
#14636=VECTOR('',#49428,1.);
#14637=VECTOR('',#49431,1.);
#14638=VECTOR('',#49432,1.);
#14639=VECTOR('',#49433,1.);
#14640=VECTOR('',#49436,1.);
#14641=VECTOR('',#49439,1.);
#14642=VECTOR('',#49442,1.);
#14643=VECTOR('',#49443,1.);
#14644=VECTOR('',#49444,1.);
#14645=VECTOR('',#49447,1.);
#14646=VECTOR('',#49452,1.);
#14647=VECTOR('',#49455,1.);
#14648=VECTOR('',#49456,1.);
#14649=VECTOR('',#49457,1.);
#14650=VECTOR('',#49460,1.);
#14651=VECTOR('',#49463,1.);
#14652=VECTOR('',#49466,1.);
#14653=VECTOR('',#49469,1.);
#14654=VECTOR('',#49472,1.);
#14655=VECTOR('',#49473,1.);
#14656=VECTOR('',#49474,1.);
#14657=VECTOR('',#49477,1.);
#14658=VECTOR('',#49482,1.);
#14659=VECTOR('',#49485,1.);
#14660=VECTOR('',#49486,1.);
#14661=VECTOR('',#49487,1.);
#14662=VECTOR('',#49490,1.);
#14663=VECTOR('',#49493,1.);
#14664=VECTOR('',#49496,1.);
#14665=VECTOR('',#49497,1.);
#14666=VECTOR('',#49498,1.);
#14667=VECTOR('',#49501,1.);
#14668=VECTOR('',#49508,1.);
#14669=VECTOR('',#49511,1.);
#14670=VECTOR('',#49512,1.);
#14671=VECTOR('',#49513,1.);
#14672=VECTOR('',#49516,1.);
#14673=VECTOR('',#49519,1.);
#14674=VECTOR('',#49522,1.);
#14675=VECTOR('',#49523,1.);
#14676=VECTOR('',#49524,1.);
#14677=VECTOR('',#49527,1.);
#14678=VECTOR('',#49532,1.);
#14679=VECTOR('',#49535,1.);
#14680=VECTOR('',#49536,1.);
#14681=VECTOR('',#49537,1.);
#14682=VECTOR('',#49540,1.);
#14683=VECTOR('',#49543,1.);
#14684=VECTOR('',#49546,1.);
#14685=VECTOR('',#49549,1.);
#14686=VECTOR('',#49552,1.);
#14687=VECTOR('',#49553,1.);
#14688=VECTOR('',#49554,1.);
#14689=VECTOR('',#49557,1.);
#14690=VECTOR('',#49562,1.);
#14691=VECTOR('',#49565,1.);
#14692=VECTOR('',#49566,1.);
#14693=VECTOR('',#49567,1.);
#14694=VECTOR('',#49570,1.);
#14695=VECTOR('',#49573,1.);
#14696=VECTOR('',#49576,1.);
#14697=VECTOR('',#49577,1.);
#14698=VECTOR('',#49578,1.);
#14699=VECTOR('',#49581,1.);
#14700=VECTOR('',#49588,1.);
#14701=VECTOR('',#49591,1.);
#14702=VECTOR('',#49592,1.);
#14703=VECTOR('',#49593,1.);
#14704=VECTOR('',#49596,1.);
#14705=VECTOR('',#49599,1.);
#14706=VECTOR('',#49602,1.);
#14707=VECTOR('',#49603,1.);
#14708=VECTOR('',#49604,1.);
#14709=VECTOR('',#49607,1.);
#14710=VECTOR('',#49612,1.);
#14711=VECTOR('',#49615,1.);
#14712=VECTOR('',#49616,1.);
#14713=VECTOR('',#49617,1.);
#14714=VECTOR('',#49620,1.);
#14715=VECTOR('',#49623,1.);
#14716=VECTOR('',#49626,1.);
#14717=VECTOR('',#49629,1.);
#14718=VECTOR('',#49632,1.);
#14719=VECTOR('',#49633,1.);
#14720=VECTOR('',#49634,1.);
#14721=VECTOR('',#49637,1.);
#14722=VECTOR('',#49642,1.);
#14723=VECTOR('',#49645,1.);
#14724=VECTOR('',#49646,1.);
#14725=VECTOR('',#49647,1.);
#14726=VECTOR('',#49650,1.);
#14727=VECTOR('',#49653,1.);
#14728=VECTOR('',#49656,1.);
#14729=VECTOR('',#49657,1.);
#14730=VECTOR('',#49658,1.);
#14731=VECTOR('',#49661,1.);
#14732=VECTOR('',#49668,1.);
#14733=VECTOR('',#49671,1.);
#14734=VECTOR('',#49672,1.);
#14735=VECTOR('',#49673,1.);
#14736=VECTOR('',#49676,1.);
#14737=VECTOR('',#49679,1.);
#14738=VECTOR('',#49682,1.);
#14739=VECTOR('',#49683,1.);
#14740=VECTOR('',#49684,1.);
#14741=VECTOR('',#49687,1.);
#14742=VECTOR('',#49692,1.);
#14743=VECTOR('',#49695,1.);
#14744=VECTOR('',#49696,1.);
#14745=VECTOR('',#49697,1.);
#14746=VECTOR('',#49700,1.);
#14747=VECTOR('',#49703,1.);
#14748=VECTOR('',#49706,1.);
#14749=VECTOR('',#49709,1.);
#14750=VECTOR('',#49712,1.);
#14751=VECTOR('',#49713,1.);
#14752=VECTOR('',#49714,1.);
#14753=VECTOR('',#49717,1.);
#14754=VECTOR('',#49722,1.);
#14755=VECTOR('',#49725,1.);
#14756=VECTOR('',#49726,1.);
#14757=VECTOR('',#49727,1.);
#14758=VECTOR('',#49730,1.00000000000064);
#14759=VECTOR('',#49731,10.);
#14760=VECTOR('',#49734,1.);
#14761=VECTOR('',#49737,1.);
#14762=VECTOR('',#49740,1.);
#14763=VECTOR('',#49743,1.);
#14764=VECTOR('',#49744,1.);
#14765=VECTOR('',#49745,1.);
#14766=VECTOR('',#49748,1.);
#14767=VECTOR('',#49753,1.);
#14768=VECTOR('',#49758,1.);
#14769=VECTOR('',#49761,1.);
#14770=VECTOR('',#49762,1.);
#14771=VECTOR('',#49763,1.);
#14772=VECTOR('',#49766,1.);
#14773=VECTOR('',#49769,1.);
#14774=VECTOR('',#49772,1.);
#14775=VECTOR('',#49775,1.);
#14776=VECTOR('',#49778,1.);
#14777=VECTOR('',#49779,1.);
#14778=VECTOR('',#49780,1.);
#14779=VECTOR('',#49783,1.);
#14780=VECTOR('',#49788,1.);
#14781=VECTOR('',#49791,1.);
#14782=VECTOR('',#49792,1.);
#14783=VECTOR('',#49795,1.);
#14784=VECTOR('',#49798,1.);
#14785=VECTOR('',#49801,1.);
#14786=VECTOR('',#49802,1.);
#14787=VECTOR('',#49803,1.);
#14788=VECTOR('',#49806,1.);
#14789=VECTOR('',#49813,1.);
#14790=VECTOR('',#49816,1.);
#14791=VECTOR('',#49817,1.);
#14792=VECTOR('',#49818,1.);
#14793=VECTOR('',#49821,1.);
#14794=VECTOR('',#49824,1.);
#14795=VECTOR('',#49827,1.);
#14796=VECTOR('',#49828,1.);
#14797=VECTOR('',#49831,1.);
#14798=VECTOR('',#49836,1.);
#14799=VECTOR('',#49839,1.);
#14800=VECTOR('',#49840,1.);
#14801=VECTOR('',#49841,1.);
#14802=VECTOR('',#49844,1.);
#14803=VECTOR('',#49847,1.);
#14804=VECTOR('',#49850,1.);
#14805=VECTOR('',#49853,1.);
#14806=VECTOR('',#49856,1.);
#14807=VECTOR('',#49857,1.);
#14808=VECTOR('',#49858,1.);
#14809=VECTOR('',#49861,1.);
#14810=VECTOR('',#49866,1.);
#14811=VECTOR('',#49869,1.);
#14812=VECTOR('',#49870,1.);
#14813=VECTOR('',#49873,1.);
#14814=VECTOR('',#49876,1.);
#14815=VECTOR('',#49879,1.);
#14816=VECTOR('',#49880,1.);
#14817=VECTOR('',#49881,1.);
#14818=VECTOR('',#49884,1.);
#14819=VECTOR('',#49891,1.);
#14820=VECTOR('',#49894,1.);
#14821=VECTOR('',#49895,1.);
#14822=VECTOR('',#49896,1.);
#14823=VECTOR('',#49899,1.);
#14824=VECTOR('',#49902,1.);
#14825=VECTOR('',#49905,1.);
#14826=VECTOR('',#49906,1.);
#14827=VECTOR('',#49909,1.);
#14828=VECTOR('',#49914,1.);
#14829=VECTOR('',#49917,1.);
#14830=VECTOR('',#49918,1.);
#14831=VECTOR('',#49919,1.);
#14832=VECTOR('',#49922,1.);
#14833=VECTOR('',#49925,1.);
#14834=VECTOR('',#49928,1.);
#14835=VECTOR('',#49931,1.);
#14836=VECTOR('',#49934,1.);
#14837=VECTOR('',#49935,1.);
#14838=VECTOR('',#49936,1.);
#14839=VECTOR('',#49939,1.);
#14840=VECTOR('',#49944,1.);
#14841=VECTOR('',#49947,1.);
#14842=VECTOR('',#49948,1.);
#14843=VECTOR('',#49951,1.);
#14844=VECTOR('',#49954,1.);
#14845=VECTOR('',#49957,1.);
#14846=VECTOR('',#49958,1.);
#14847=VECTOR('',#49959,1.);
#14848=VECTOR('',#49962,1.);
#14849=VECTOR('',#49969,1.);
#14850=VECTOR('',#49972,1.);
#14851=VECTOR('',#49973,1.);
#14852=VECTOR('',#49974,1.);
#14853=VECTOR('',#49977,1.);
#14854=VECTOR('',#49980,1.);
#14855=VECTOR('',#49983,1.);
#14856=VECTOR('',#49984,1.);
#14857=VECTOR('',#49987,1.);
#14858=VECTOR('',#49992,1.);
#14859=VECTOR('',#49995,1.);
#14860=VECTOR('',#49996,1.);
#14861=VECTOR('',#49997,1.);
#14862=VECTOR('',#50000,1.);
#14863=VECTOR('',#50003,1.);
#14864=VECTOR('',#50006,1.);
#14865=VECTOR('',#50009,1.);
#14866=VECTOR('',#50012,1.);
#14867=VECTOR('',#50013,1.);
#14868=VECTOR('',#50014,1.);
#14869=VECTOR('',#50017,1.);
#14870=VECTOR('',#50022,1.);
#14871=VECTOR('',#50025,1.);
#14872=VECTOR('',#50026,1.);
#14873=VECTOR('',#50029,1.);
#14874=VECTOR('',#50032,1.);
#14875=VECTOR('',#50035,1.);
#14876=VECTOR('',#50036,1.);
#14877=VECTOR('',#50037,1.);
#14878=VECTOR('',#50040,1.);
#14879=VECTOR('',#50047,1.);
#14880=VECTOR('',#50050,1.);
#14881=VECTOR('',#50051,1.);
#14882=VECTOR('',#50052,1.);
#14883=VECTOR('',#50055,1.);
#14884=VECTOR('',#50058,1.);
#14885=VECTOR('',#50061,1.);
#14886=VECTOR('',#50062,1.);
#14887=VECTOR('',#50065,1.);
#14888=VECTOR('',#50070,1.);
#14889=VECTOR('',#50073,1.);
#14890=VECTOR('',#50074,1.);
#14891=VECTOR('',#50075,1.);
#14892=VECTOR('',#50078,1.);
#14893=VECTOR('',#50081,1.);
#14894=VECTOR('',#50084,1.);
#14895=VECTOR('',#50087,1.);
#14896=VECTOR('',#50090,1.);
#14897=VECTOR('',#50091,1.);
#14898=VECTOR('',#50092,1.);
#14899=VECTOR('',#50095,1.);
#14900=VECTOR('',#50100,1.);
#14901=VECTOR('',#50103,1.);
#14902=VECTOR('',#50104,1.);
#14903=VECTOR('',#50107,1.);
#14904=VECTOR('',#50110,1.);
#14905=VECTOR('',#50113,1.);
#14906=VECTOR('',#50114,1.);
#14907=VECTOR('',#50115,1.);
#14908=VECTOR('',#50118,1.);
#14909=VECTOR('',#50125,1.);
#14910=VECTOR('',#50128,1.);
#14911=VECTOR('',#50129,1.);
#14912=VECTOR('',#50130,1.);
#14913=VECTOR('',#50133,1.);
#14914=VECTOR('',#50136,1.);
#14915=VECTOR('',#50139,1.);
#14916=VECTOR('',#50140,1.);
#14917=VECTOR('',#50143,1.);
#14918=VECTOR('',#50148,1.);
#14919=VECTOR('',#50151,1.);
#14920=VECTOR('',#50152,1.);
#14921=VECTOR('',#50153,1.);
#14922=VECTOR('',#50156,1.);
#14923=VECTOR('',#50159,1.);
#14924=VECTOR('',#50162,1.);
#14925=VECTOR('',#50165,1.);
#14926=VECTOR('',#50168,1.);
#14927=VECTOR('',#50169,1.);
#14928=VECTOR('',#50170,1.);
#14929=VECTOR('',#50173,1.);
#14930=VECTOR('',#50178,1.);
#14931=VECTOR('',#50181,1.);
#14932=VECTOR('',#50182,1.);
#14933=VECTOR('',#50185,1.);
#14934=VECTOR('',#50188,1.);
#14935=VECTOR('',#50191,1.);
#14936=VECTOR('',#50194,1.);
#14937=VECTOR('',#50197,1.);
#14938=VECTOR('',#50200,1.);
#14939=VECTOR('',#50203,1.);
#14940=VECTOR('',#50206,1.);
#14941=VECTOR('',#50211,10.);
#14942=VECTOR('',#50212,10.);
#14943=VECTOR('',#50219,1.);
#14944=VECTOR('',#50222,1.);
#14945=VECTOR('',#50225,1.);
#14946=VECTOR('',#50228,1.);
#14947=VECTOR('',#50231,1.);
#14948=VECTOR('',#50234,1.);
#14949=VECTOR('',#50237,1.);
#14950=VECTOR('',#50240,1.);
#14951=VECTOR('',#50243,1.);
#14952=VECTOR('',#50246,1.);
#14953=VECTOR('',#50249,1.);
#14954=VECTOR('',#50252,1.);
#14955=VECTOR('',#50261,10.);
#14956=VECTOR('',#50266,10.);
#14957=VECTOR('',#50271,0.25);
#14958=VECTOR('',#50278,10.);
#14959=VECTOR('',#50281,1.);
#14960=VECTOR('',#50284,1.);
#14961=VECTOR('',#50287,1.);
#14962=VECTOR('',#50290,1.);
#14963=VECTOR('',#50293,1.);
#14964=VECTOR('',#50296,1.);
#14965=VECTOR('',#50299,1.);
#14966=VECTOR('',#50302,1.);
#14967=VECTOR('',#50305,1.);
#14968=VECTOR('',#50308,1.);
#14969=VECTOR('',#50311,1.);
#14970=VECTOR('',#50314,1.);
#14971=VECTOR('',#50317,1.);
#14972=VECTOR('',#50320,1.);
#14973=VECTOR('',#50323,1.);
#14974=VECTOR('',#50326,1.);
#14975=VECTOR('',#50329,1.);
#14976=VECTOR('',#50332,1.);
#14977=VECTOR('',#50337,1.);
#14978=VECTOR('',#50340,1.);
#14979=VECTOR('',#50343,1.);
#14980=VECTOR('',#50346,1.);
#14981=VECTOR('',#50349,1.);
#14982=VECTOR('',#50352,1.);
#14983=VECTOR('',#50355,1.);
#14984=VECTOR('',#50360,1.);
#14985=VECTOR('',#50363,1.);
#14986=VECTOR('',#50366,1.);
#14987=VECTOR('',#50369,1.);
#14988=VECTOR('',#50372,1.);
#14989=VECTOR('',#50375,1.);
#14990=VECTOR('',#50378,1.);
#14991=VECTOR('',#50383,1.);
#14992=VECTOR('',#50386,1.);
#14993=VECTOR('',#50389,1.);
#14994=VECTOR('',#50392,1.);
#14995=VECTOR('',#50395,1.);
#14996=VECTOR('',#50398,1.);
#14997=VECTOR('',#50401,1.);
#14998=VECTOR('',#50406,1.);
#14999=VECTOR('',#50409,1.);
#15000=VECTOR('',#50412,1.);
#15001=VECTOR('',#50415,1.);
#15002=VECTOR('',#50418,1.);
#15003=VECTOR('',#50421,1.);
#15004=VECTOR('',#50424,1.);
#15005=VECTOR('',#50429,1.);
#15006=VECTOR('',#50432,1.);
#15007=VECTOR('',#50435,1.);
#15008=VECTOR('',#50438,1.);
#15009=VECTOR('',#50441,1.);
#15010=VECTOR('',#50444,1.);
#15011=VECTOR('',#50447,1.);
#15012=VECTOR('',#50452,1.);
#15013=VECTOR('',#50455,1.);
#15014=VECTOR('',#50458,1.);
#15015=VECTOR('',#50461,1.);
#15016=VECTOR('',#50464,1.);
#15017=VECTOR('',#50467,1.);
#15018=VECTOR('',#50470,1.);
#15019=VECTOR('',#50475,1.);
#15020=VECTOR('',#50478,1.);
#15021=VECTOR('',#50481,1.);
#15022=VECTOR('',#50484,1.);
#15023=VECTOR('',#50487,1.);
#15024=VECTOR('',#50490,1.);
#15025=VECTOR('',#50493,1.);
#15026=VECTOR('',#50498,1.);
#15027=VECTOR('',#50501,1.);
#15028=VECTOR('',#50504,1.);
#15029=VECTOR('',#50507,1.);
#15030=VECTOR('',#50510,1.);
#15031=VECTOR('',#50513,1.);
#15032=VECTOR('',#50516,1.);
#15033=VECTOR('',#50521,1.);
#15034=VECTOR('',#50524,1.);
#15035=VECTOR('',#50527,1.);
#15036=VECTOR('',#50530,1.);
#15037=VECTOR('',#50533,1.);
#15038=VECTOR('',#50536,1.);
#15039=VECTOR('',#50539,1.);
#15040=VECTOR('',#50544,1.);
#15041=VECTOR('',#50547,1.);
#15042=VECTOR('',#50550,1.);
#15043=VECTOR('',#50553,1.);
#15044=VECTOR('',#50556,1.);
#15045=VECTOR('',#50559,1.);
#15046=VECTOR('',#50562,1.);
#15047=VECTOR('',#50567,1.);
#15048=VECTOR('',#50570,1.);
#15049=VECTOR('',#50573,1.);
#15050=VECTOR('',#50576,1.);
#15051=VECTOR('',#50579,1.);
#15052=VECTOR('',#50582,1.);
#15053=VECTOR('',#50585,1.);
#15054=VECTOR('',#50590,1.);
#15055=VECTOR('',#50591,1.);
#15056=VECTOR('',#50592,1.);
#15057=VECTOR('',#50593,1.);
#15058=VECTOR('',#50594,1.);
#15059=VECTOR('',#50595,1.);
#15060=VECTOR('',#50596,1.);
#15061=VECTOR('',#50597,1.);
#15062=VECTOR('',#50598,1.);
#15063=VECTOR('',#50599,1.);
#15064=VECTOR('',#50600,1.);
#15065=VECTOR('',#50601,1.);
#15066=VECTOR('',#50604,1.);
#15067=VECTOR('',#50607,1.);
#15068=VECTOR('',#50610,1.);
#15069=VECTOR('',#50613,1.);
#15070=VECTOR('',#50616,1.);
#15071=VECTOR('',#50619,1.);
#15072=VECTOR('',#50622,1.);
#15073=VECTOR('',#50625,1.);
#15074=VECTOR('',#50630,1.);
#15075=VECTOR('',#50633,1.);
#15076=VECTOR('',#50636,1.);
#15077=VECTOR('',#50639,1.);
#15078=VECTOR('',#50642,1.);
#15079=VECTOR('',#50645,1.);
#15080=VECTOR('',#50648,1.);
#15081=VECTOR('',#50651,1.);
#15082=VECTOR('',#50656,1.);
#15083=VECTOR('',#50659,1.);
#15084=VECTOR('',#50662,1.);
#15085=VECTOR('',#50665,1.);
#15086=VECTOR('',#50668,1.);
#15087=VECTOR('',#50671,1.);
#15088=VECTOR('',#50674,1.);
#15089=VECTOR('',#50677,1.);
#15090=VECTOR('',#50682,1.);
#15091=VECTOR('',#50685,1.);
#15092=VECTOR('',#50688,1.);
#15093=VECTOR('',#50691,1.);
#15094=VECTOR('',#50694,1.);
#15095=VECTOR('',#50697,1.);
#15096=VECTOR('',#50700,1.);
#15097=VECTOR('',#50703,1.);
#15098=VECTOR('',#50708,1.);
#15099=VECTOR('',#50711,1.);
#15100=VECTOR('',#50714,1.);
#15101=VECTOR('',#50717,1.);
#15102=VECTOR('',#50720,1.);
#15103=VECTOR('',#50723,1.);
#15104=VECTOR('',#50726,1.);
#15105=VECTOR('',#50729,1.);
#15106=VECTOR('',#50734,1.);
#15107=VECTOR('',#50737,1.);
#15108=VECTOR('',#50740,1.);
#15109=VECTOR('',#50743,1.);
#15110=VECTOR('',#50746,1.);
#15111=VECTOR('',#50749,1.);
#15112=VECTOR('',#50752,1.);
#15113=VECTOR('',#50755,1.);
#15114=VECTOR('',#50760,1.);
#15115=VECTOR('',#50763,1.);
#15116=VECTOR('',#50766,1.);
#15117=VECTOR('',#50769,1.);
#15118=VECTOR('',#50772,1.);
#15119=VECTOR('',#50775,1.);
#15120=VECTOR('',#50778,1.);
#15121=VECTOR('',#50781,1.);
#15122=VECTOR('',#50786,1.);
#15123=VECTOR('',#50789,1.);
#15124=VECTOR('',#50792,1.);
#15125=VECTOR('',#50795,1.);
#15126=VECTOR('',#50798,1.);
#15127=VECTOR('',#50801,1.);
#15128=VECTOR('',#50804,1.);
#15129=VECTOR('',#50807,1.);
#15130=VECTOR('',#50812,1.);
#15131=VECTOR('',#50815,1.);
#15132=VECTOR('',#50818,1.);
#15133=VECTOR('',#50821,1.);
#15134=VECTOR('',#50824,1.);
#15135=VECTOR('',#50827,1.);
#15136=VECTOR('',#50830,1.);
#15137=VECTOR('',#50833,1.);
#15138=VECTOR('',#50838,1.);
#15139=VECTOR('',#50841,1.);
#15140=VECTOR('',#50844,1.);
#15141=VECTOR('',#50847,1.);
#15142=VECTOR('',#50850,1.);
#15143=VECTOR('',#50853,1.);
#15144=VECTOR('',#50856,1.);
#15145=VECTOR('',#50859,1.);
#15146=VECTOR('',#50864,1.);
#15147=VECTOR('',#50867,1.);
#15148=VECTOR('',#50870,1.);
#15149=VECTOR('',#50873,1.);
#15150=VECTOR('',#50876,1.);
#15151=VECTOR('',#50879,1.);
#15152=VECTOR('',#50882,1.);
#15153=VECTOR('',#50885,1.);
#15154=VECTOR('',#50890,1.);
#15155=VECTOR('',#50893,1.);
#15156=VECTOR('',#50896,1.);
#15157=VECTOR('',#50899,1.);
#15158=VECTOR('',#50902,1.);
#15159=VECTOR('',#50905,1.);
#15160=VECTOR('',#50908,1.);
#15161=VECTOR('',#50911,1.);
#15162=VECTOR('',#50916,1.);
#15163=VECTOR('',#50919,1.);
#15164=VECTOR('',#50922,1.);
#15165=VECTOR('',#50925,1.);
#15166=VECTOR('',#50928,1.);
#15167=VECTOR('',#50931,1.);
#15168=VECTOR('',#50934,1.);
#15169=VECTOR('',#50939,1.);
#15170=VECTOR('',#50942,1.);
#15171=VECTOR('',#50945,1.);
#15172=VECTOR('',#50948,1.);
#15173=VECTOR('',#50951,1.);
#15174=VECTOR('',#50954,1.);
#15175=VECTOR('',#50957,1.);
#15176=VECTOR('',#50962,1.);
#15177=VECTOR('',#50965,1.);
#15178=VECTOR('',#50968,1.);
#15179=VECTOR('',#50971,1.);
#15180=VECTOR('',#50974,1.);
#15181=VECTOR('',#50977,1.);
#15182=VECTOR('',#50980,1.);
#15183=VECTOR('',#50985,1.);
#15184=VECTOR('',#50988,1.);
#15185=VECTOR('',#50991,1.);
#15186=VECTOR('',#50994,1.);
#15187=VECTOR('',#50997,1.);
#15188=VECTOR('',#51000,1.);
#15189=VECTOR('',#51003,1.);
#15190=VECTOR('',#51008,1.);
#15191=VECTOR('',#51011,1.);
#15192=VECTOR('',#51014,1.);
#15193=VECTOR('',#51017,1.);
#15194=VECTOR('',#51020,1.);
#15195=VECTOR('',#51023,1.);
#15196=VECTOR('',#51026,1.);
#15197=VECTOR('',#51031,1.);
#15198=VECTOR('',#51034,1.);
#15199=VECTOR('',#51037,1.);
#15200=VECTOR('',#51040,1.);
#15201=VECTOR('',#51043,1.);
#15202=VECTOR('',#51046,1.);
#15203=VECTOR('',#51049,1.);
#15204=VECTOR('',#51054,1.);
#15205=VECTOR('',#51057,1.);
#15206=VECTOR('',#51060,1.);
#15207=VECTOR('',#51063,1.);
#15208=VECTOR('',#51066,1.);
#15209=VECTOR('',#51069,1.);
#15210=VECTOR('',#51072,1.);
#15211=VECTOR('',#51077,1.);
#15212=VECTOR('',#51080,1.);
#15213=VECTOR('',#51083,1.);
#15214=VECTOR('',#51086,1.);
#15215=VECTOR('',#51089,1.);
#15216=VECTOR('',#51092,1.);
#15217=VECTOR('',#51095,1.);
#15218=VECTOR('',#51100,1.);
#15219=VECTOR('',#51103,1.);
#15220=VECTOR('',#51106,1.);
#15221=VECTOR('',#51109,1.);
#15222=VECTOR('',#51112,1.);
#15223=VECTOR('',#51115,1.);
#15224=VECTOR('',#51118,1.);
#15225=VECTOR('',#51123,1.);
#15226=VECTOR('',#51126,1.);
#15227=VECTOR('',#51129,1.);
#15228=VECTOR('',#51132,1.);
#15229=VECTOR('',#51135,1.);
#15230=VECTOR('',#51138,1.);
#15231=VECTOR('',#51141,1.);
#15232=VECTOR('',#51146,1.);
#15233=VECTOR('',#51149,1.);
#15234=VECTOR('',#51152,1.);
#15235=VECTOR('',#51155,1.);
#15236=VECTOR('',#51158,1.);
#15237=VECTOR('',#51161,1.);
#15238=VECTOR('',#51164,1.);
#15239=VECTOR('',#51177,1.);
#15240=VECTOR('',#51178,1.);
#15241=VECTOR('',#51179,1.);
#15242=VECTOR('',#51180,1.);
#15243=VECTOR('',#51183,10.);
#15244=VECTOR('',#51184,1.);
#15245=VECTOR('',#51185,1.);
#15246=VECTOR('',#51186,1.);
#15247=VECTOR('',#51187,1.);
#15248=VECTOR('',#51188,1.);
#15249=VECTOR('',#51189,1.);
#15250=VECTOR('',#51190,1.);
#15251=VECTOR('',#51191,10.);
#15252=VECTOR('',#51194,10.);
#15253=VECTOR('',#51195,1.);
#15254=VECTOR('',#51198,10.);
#15255=VECTOR('',#51199,1.);
#15256=VECTOR('',#51200,1.);
#15257=VECTOR('',#51201,1.);
#15258=VECTOR('',#51204,1.);
#15259=VECTOR('',#51207,10.);
#15260=VECTOR('',#51208,1.);
#15261=VECTOR('',#51209,1.);
#15262=VECTOR('',#51212,1.);
#15263=VECTOR('',#51213,10.);
#15264=VECTOR('',#51214,1.);
#15265=VECTOR('',#51217,1.);
#15266=VECTOR('',#51218,1.);
#15267=VECTOR('',#51219,1.);
#15268=VECTOR('',#51222,1.);
#15269=VECTOR('',#51223,1.);
#15270=VECTOR('',#51224,1.);
#15271=VECTOR('',#51227,1.);
#15272=VECTOR('',#51228,1.);
#15273=VECTOR('',#51229,1.);
#15274=VECTOR('',#51232,1.);
#15275=VECTOR('',#51233,1.);
#15276=VECTOR('',#51234,1.);
#15277=VECTOR('',#51237,1.);
#15278=VECTOR('',#51238,1.);
#15279=VECTOR('',#51239,1.);
#15280=VECTOR('',#51242,10.);
#15281=VECTOR('',#51243,1.);
#15282=VECTOR('',#51246,1.);
#15283=VECTOR('',#51247,1.);
#15284=VECTOR('',#51250,1.);
#15285=VECTOR('',#51251,10.);
#15286=VECTOR('',#51252,1.);
#15287=VECTOR('',#51255,1.);
#15288=VECTOR('',#51256,1.);
#15289=VECTOR('',#51257,1.);
#15290=VECTOR('',#51260,1.);
#15291=VECTOR('',#51263,10.);
#15292=VECTOR('',#51264,1.);
#15293=VECTOR('',#51265,1.);
#15294=VECTOR('',#51270,1.);
#15295=VECTOR('',#51273,1.);
#15296=VECTOR('',#51274,1.);
#15297=VECTOR('',#51275,1.);
#15298=VECTOR('',#51278,1.);
#15299=VECTOR('',#51281,1.);
#15300=VECTOR('',#51284,1.);
#15301=VECTOR('',#51287,1.);
#15302=VECTOR('',#51290,1.);
#15303=VECTOR('',#51291,1.);
#15304=VECTOR('',#51292,1.);
#15305=VECTOR('',#51295,1.);
#15306=VECTOR('',#51300,1.);
#15307=VECTOR('',#51301,10.);
#15308=VECTOR('',#51302,1.);
#15309=VECTOR('',#51305,1.);
#15310=VECTOR('',#51308,1.);
#15311=VECTOR('',#51311,1.);
#15312=VECTOR('',#51312,1.);
#15313=VECTOR('',#51313,1.);
#15314=VECTOR('',#51316,1.);
#15315=VECTOR('',#51321,1.);
#15316=VECTOR('',#51324,1.);
#15317=VECTOR('',#51329,1.);
#15318=VECTOR('',#51332,1.);
#15319=VECTOR('',#51333,1.);
#15320=VECTOR('',#51334,1.);
#15321=VECTOR('',#51337,1.);
#15322=VECTOR('',#51340,1.);
#15323=VECTOR('',#51343,1.);
#15324=VECTOR('',#51344,1.);
#15325=VECTOR('',#51345,1.);
#15326=VECTOR('',#51350,1.);
#15327=VECTOR('',#51353,1.);
#15328=VECTOR('',#51354,1.);
#15329=VECTOR('',#51355,1.);
#15330=VECTOR('',#51358,1.);
#15331=VECTOR('',#51361,1.);
#15332=VECTOR('',#51364,1.);
#15333=VECTOR('',#51367,1.);
#15334=VECTOR('',#51370,1.);
#15335=VECTOR('',#51371,1.);
#15336=VECTOR('',#51372,1.);
#15337=VECTOR('',#51375,1.);
#15338=VECTOR('',#51380,1.);
#15339=VECTOR('',#51381,1.);
#15340=VECTOR('',#51382,1.);
#15341=VECTOR('',#51385,1.);
#15342=VECTOR('',#51388,10.);
#15343=VECTOR('',#51389,1.);
#15344=VECTOR('',#51392,1.);
#15345=VECTOR('',#51393,1.);
#15346=VECTOR('',#51398,1.);
#15347=VECTOR('',#51401,1.);
#15348=VECTOR('',#51402,1.);
#15349=VECTOR('',#51403,1.);
#15350=VECTOR('',#51406,1.);
#15351=VECTOR('',#51409,1.);
#15352=VECTOR('',#51412,1.);
#15353=VECTOR('',#51415,1.);
#15354=VECTOR('',#51418,1.);
#15355=VECTOR('',#51419,1.);
#15356=VECTOR('',#51420,1.);
#15357=VECTOR('',#51423,1.);
#15358=VECTOR('',#51428,1.);
#15359=VECTOR('',#51429,10.);
#15360=VECTOR('',#51430,1.);
#15361=VECTOR('',#51433,1.);
#15362=VECTOR('',#51436,1.);
#15363=VECTOR('',#51439,1.);
#15364=VECTOR('',#51440,1.);
#15365=VECTOR('',#51441,1.);
#15366=VECTOR('',#51442,10.);
#15367=VECTOR('',#51443,1.);
#15368=VECTOR('',#51444,10.);
#15369=VECTOR('',#51447,1.);
#15370=VECTOR('',#51450,1.);
#15371=VECTOR('',#51453,1.);
#15372=VECTOR('',#51456,1.);
#15373=VECTOR('',#51459,1.);
#15374=VECTOR('',#51462,1.);
#15375=VECTOR('',#51465,1.);
#15376=VECTOR('',#51468,1.);
#15377=VECTOR('',#51473,1.);
#15378=VECTOR('',#51476,1.);
#15379=VECTOR('',#51479,1.);
#15380=VECTOR('',#51482,1.);
#15381=VECTOR('',#51485,1.);
#15382=VECTOR('',#51488,1.);
#15383=VECTOR('',#51491,1.);
#15384=VECTOR('',#51494,1.);
#15385=VECTOR('',#51499,1.);
#15386=VECTOR('',#51502,1.);
#15387=VECTOR('',#51505,1.);
#15388=VECTOR('',#51508,1.);
#15389=VECTOR('',#51511,1.);
#15390=VECTOR('',#51514,1.);
#15391=VECTOR('',#51517,1.);
#15392=VECTOR('',#51520,1.);
#15393=VECTOR('',#51525,10.);
#15394=VECTOR('',#51526,1.);
#15395=VECTOR('',#51529,1.);
#15396=VECTOR('',#51530,10.);
#15397=VECTOR('',#51531,1.);
#15398=VECTOR('',#51534,1.);
#15399=VECTOR('',#51537,1.);
#15400=VECTOR('',#51540,1.);
#15401=VECTOR('',#51543,1.);
#15402=VECTOR('',#51546,1.);
#15403=VECTOR('',#51549,1.);
#15404=VECTOR('',#51552,1.);
#15405=VECTOR('',#51555,1.);
#15406=VECTOR('',#51560,1.);
#15407=VECTOR('',#51581,0.5);
#15408=VECTOR('',#51584,1.);
#15409=VECTOR('',#51587,1.);
#15410=VECTOR('',#51590,1.);
#15411=VECTOR('',#51593,1.);
#15412=VECTOR('',#51614,1.);
#15413=VECTOR('',#51615,1.);
#15414=VECTOR('',#51616,1.);
#15415=VECTOR('',#51619,1.);
#15416=VECTOR('',#51626,1.);
#15417=VECTOR('',#51629,1.);
#15418=VECTOR('',#51630,1.);
#15419=VECTOR('',#51633,1.);
#15420=VECTOR('',#51634,1.);
#15421=VECTOR('',#51639,1.);
#15422=VECTOR('',#51644,1.);
#15423=VECTOR('',#51647,1.);
#15424=VECTOR('',#51650,0.5);
#15425=VECTOR('',#51657,1.75);
#15426=VECTOR('',#51664,0.5);
#15427=VECTOR('',#51671,0.5);
#15428=VECTOR('',#51678,1.);
#15429=VECTOR('',#51679,1.);
#15430=VECTOR('',#51680,1.);
#15431=VECTOR('',#51683,1.);
#15432=VECTOR('',#51690,1.);
#15433=VECTOR('',#51693,1.);
#15434=VECTOR('',#51694,1.);
#15435=VECTOR('',#51695,1.);
#15436=VECTOR('',#51696,1.);
#15437=VECTOR('',#51697,1.);
#15438=VECTOR('',#51698,1.);
#15439=VECTOR('',#51699,1.);
#15440=VECTOR('',#51700,1.);
#15441=VECTOR('',#51701,1.);
#15442=VECTOR('',#51702,1.);
#15443=VECTOR('',#51705,1.);
#15444=VECTOR('',#51706,1.);
#15445=VECTOR('',#51707,1.);
#15446=VECTOR('',#51708,1.);
#15447=VECTOR('',#51709,1.);
#15448=VECTOR('',#51710,1.);
#15449=VECTOR('',#51711,1.);
#15450=VECTOR('',#51712,1.);
#15451=VECTOR('',#51713,1.);
#15452=VECTOR('',#51714,1.);
#15453=VECTOR('',#51719,1.);
#15454=VECTOR('',#51724,1.);
#15455=VECTOR('',#51727,1.);
#15456=VECTOR('',#51744,1.);
#15457=VECTOR('',#51745,1.);
#15458=VECTOR('',#51746,1.);
#15459=VECTOR('',#51747,1.);
#15460=VECTOR('',#51750,0.999999999999756);
#15461=VECTOR('',#51753,1.);
#15462=VECTOR('',#51756,1.);
#15463=VECTOR('',#51757,1.);
#15464=VECTOR('',#51760,0.999999999999756);
#15465=VECTOR('',#51763,0.999999999999756);
#15466=VECTOR('',#51766,1.);
#15467=VECTOR('',#51767,1.);
#15468=VECTOR('',#51768,1.);
#15469=VECTOR('',#51771,0.999999999999756);
#15470=VECTOR('',#51776,1.);
#15471=VECTOR('',#51777,1.);
#15472=VECTOR('',#51780,0.999999999999756);
#15473=VECTOR('',#51783,0.999999999999756);
#15474=VECTOR('',#51786,1.);
#15475=VECTOR('',#51787,1.);
#15476=VECTOR('',#51788,1.);
#15477=VECTOR('',#51791,0.999999999999756);
#15478=VECTOR('',#51794,0.999999999999756);
#15479=VECTOR('',#51797,1.);
#15480=VECTOR('',#51800,1.);
#15481=VECTOR('',#51805,0.999999999999756);
#15482=VECTOR('',#51808,0.999999999999756);
#15483=VECTOR('',#51811,1.);
#15484=VECTOR('',#51812,1.);
#15485=VECTOR('',#51813,1.);
#15486=VECTOR('',#51816,0.999999999999756);
#15487=VECTOR('',#51819,0.999999999999756);
#15488=VECTOR('',#51822,1.);
#15489=VECTOR('',#51823,1.);
#15490=VECTOR('',#51826,1.);
#15491=VECTOR('',#51831,0.999999999999756);
#15492=VECTOR('',#51834,1.);
#15493=VECTOR('',#51837,1.);
#15494=VECTOR('',#51838,1.);
#15495=VECTOR('',#51841,0.999999999999756);
#15496=VECTOR('',#51844,0.999999999999756);
#15497=VECTOR('',#51847,1.);
#15498=VECTOR('',#51848,1.);
#15499=VECTOR('',#51849,1.);
#15500=VECTOR('',#51852,0.999999999999756);
#15501=VECTOR('',#51857,1.);
#15502=VECTOR('',#51860,0.999999999999756);
#15503=VECTOR('',#51863,1.);
#15504=VECTOR('',#51866,1.);
#15505=VECTOR('',#51867,1.);
#15506=VECTOR('',#51870,0.999999999999756);
#15507=VECTOR('',#51873,0.999999999999756);
#15508=VECTOR('',#51876,1.);
#15509=VECTOR('',#51877,1.);
#15510=VECTOR('',#51878,1.);
#15511=VECTOR('',#51881,0.999999999999756);
#15512=VECTOR('',#51886,1.);
#15513=VECTOR('',#51887,1.);
#15514=VECTOR('',#51890,0.999999999999756);
#15515=VECTOR('',#51893,0.999999999999756);
#15516=VECTOR('',#51896,1.);
#15517=VECTOR('',#51897,1.);
#15518=VECTOR('',#51898,1.);
#15519=VECTOR('',#51901,0.999999999999756);
#15520=VECTOR('',#51904,0.999999999999756);
#15521=VECTOR('',#51907,1.);
#15522=VECTOR('',#51910,1.);
#15523=VECTOR('',#51915,0.999999999999756);
#15524=VECTOR('',#51918,0.999999999999756);
#15525=VECTOR('',#51921,1.);
#15526=VECTOR('',#51922,1.);
#15527=VECTOR('',#51923,1.);
#15528=VECTOR('',#51926,0.999999999999756);
#15529=VECTOR('',#51929,0.999999999999756);
#15530=VECTOR('',#51932,1.);
#15531=VECTOR('',#51933,1.);
#15532=VECTOR('',#51936,1.);
#15533=VECTOR('',#51941,0.999999999999756);
#15534=VECTOR('',#51944,1.);
#15535=VECTOR('',#51947,1.);
#15536=VECTOR('',#51948,1.);
#15537=VECTOR('',#51951,0.999999999999756);
#15538=VECTOR('',#51954,0.999999999999756);
#15539=VECTOR('',#51957,1.);
#15540=VECTOR('',#51958,1.);
#15541=VECTOR('',#51959,1.);
#15542=VECTOR('',#51962,0.999999999999756);
#15543=VECTOR('',#51967,1.);
#15544=VECTOR('',#51972,1.);
#15545=VECTOR('',#51975,1.);
#15546=VECTOR('',#51978,1.);
#15547=VECTOR('',#51981,1.);
#15548=VECTOR('',#51984,1.);
#15549=VECTOR('',#51985,1.);
#15550=VECTOR('',#51988,1.);
#15551=VECTOR('',#51991,1.);
#15552=VECTOR('',#51994,1.);
#15553=VECTOR('',#51997,1.);
#15554=VECTOR('',#52002,1.);
#15555=VECTOR('',#52005,1.);
#15556=VECTOR('',#52008,1.);
#15557=VECTOR('',#52011,1.);
#15558=VECTOR('',#52014,1.);
#15559=VECTOR('',#52017,1.);
#15560=VECTOR('',#52020,1.);
#15561=VECTOR('',#52023,1.);
#15562=VECTOR('',#52028,1.);
#15563=VECTOR('',#52029,1.);
#15564=VECTOR('',#52032,1.);
#15565=VECTOR('',#52035,1.);
#15566=VECTOR('',#52038,1.);
#15567=VECTOR('',#52041,1.);
#15568=VECTOR('',#52046,1.);
#15569=VECTOR('',#52049,1.);
#15570=VECTOR('',#52052,1.);
#15571=VECTOR('',#52055,1.);
#15572=VECTOR('',#52058,1.);
#15573=VECTOR('',#52061,1.);
#15574=VECTOR('',#52064,1.);
#15575=VECTOR('',#52067,1.);
#15576=VECTOR('',#52072,1.);
#15577=VECTOR('',#52073,1.);
#15578=VECTOR('',#52076,1.);
#15579=VECTOR('',#52079,1.);
#15580=VECTOR('',#52082,1.);
#15581=VECTOR('',#52085,1.);
#15582=VECTOR('',#52090,1.);
#15583=VECTOR('',#52093,1.);
#15584=VECTOR('',#52096,1.);
#15585=VECTOR('',#52099,1.);
#15586=VECTOR('',#52102,1.);
#15587=VECTOR('',#52105,1.);
#15588=VECTOR('',#52108,1.);
#15589=VECTOR('',#52111,1.);
#15590=VECTOR('',#52116,1.);
#15591=VECTOR('',#52117,1.);
#15592=VECTOR('',#52120,1.);
#15593=VECTOR('',#52123,1.);
#15594=VECTOR('',#52126,1.);
#15595=VECTOR('',#52129,1.);
#15596=VECTOR('',#52134,1.);
#15597=VECTOR('',#52137,1.);
#15598=VECTOR('',#52140,1.);
#15599=VECTOR('',#52143,1.);
#15600=VECTOR('',#52146,1.);
#15601=VECTOR('',#52149,1.);
#15602=VECTOR('',#52152,1.);
#15603=VECTOR('',#52155,1.);
#15604=VECTOR('',#52166,1.);
#15605=VECTOR('',#52167,1.);
#15606=VECTOR('',#52168,1.);
#15607=VECTOR('',#52169,1.);
#15608=VECTOR('',#52172,1.);
#15609=VECTOR('',#52175,1.);
#15610=VECTOR('',#52176,1.);
#15611=VECTOR('',#52177,1.);
#15612=VECTOR('',#52180,1.);
#15613=VECTOR('',#52189,1.);
#15614=VECTOR('',#52192,1.);
#15615=VECTOR('',#52197,1.);
#15616=VECTOR('',#52198,1.);
#15617=VECTOR('',#52201,1.);
#15618=VECTOR('',#52202,1.);
#15619=VECTOR('',#52203,1.);
#15620=VECTOR('',#52208,1.);
#15621=VECTOR('',#52211,1.);
#15622=VECTOR('',#52214,1.);
#15623=VECTOR('',#52215,1.);
#15624=VECTOR('',#52216,1.);
#15625=VECTOR('',#52217,1.);
#15626=VECTOR('',#52218,1.);
#15627=VECTOR('',#52221,1.);
#15628=VECTOR('',#52224,1.);
#15629=VECTOR('',#52227,1.);
#15630=VECTOR('',#52228,1.);
#15631=VECTOR('',#52229,1.);
#15632=VECTOR('',#52230,1.);
#15633=VECTOR('',#52231,1.);
#15634=VECTOR('',#52234,1.);
#15635=VECTOR('',#52237,1.);
#15636=VECTOR('',#52240,1.);
#15637=VECTOR('',#52243,1.);
#15638=VECTOR('',#52250,1.);
#15639=VECTOR('',#52251,1.);
#15640=VECTOR('',#52252,1.);
#15641=VECTOR('',#52255,1.);
#15642=VECTOR('',#52256,1.);
#15643=VECTOR('',#52259,1.);
#15644=VECTOR('',#52264,1.);
#15645=VECTOR('',#52267,1.);
#15646=VECTOR('',#52272,1.);
#15647=VECTOR('',#52273,1.);
#15648=VECTOR('',#52276,1.);
#15649=VECTOR('',#52279,1.);
#15650=VECTOR('',#52282,1.);
#15651=VECTOR('',#52285,1.);
#15652=VECTOR('',#52288,1.);
#15653=VECTOR('',#52291,1.);
#15654=VECTOR('',#52294,1.);
#15655=VECTOR('',#52297,1.);
#15656=VECTOR('',#52308,1.);
#15657=VECTOR('',#52309,1.00000000000064);
#15658=VECTOR('',#52310,1.);
#15659=VECTOR('',#52311,1.00000000000064);
#15660=VECTOR('',#52314,1.);
#15661=VECTOR('',#52315,1.);
#15662=VECTOR('',#52316,1.);
#15663=VECTOR('',#52319,1.);
#15664=VECTOR('',#52320,1.);
#15665=VECTOR('',#52321,1.);
#15666=VECTOR('',#52324,1.00000000000064);
#15667=VECTOR('',#52325,1.);
#15668=VECTOR('',#52326,1.00000000000064);
#15669=VECTOR('',#52327,1.00000000000064);
#15670=VECTOR('',#52328,1.);
#15671=VECTOR('',#52329,1.00000000000064);
#15672=VECTOR('',#52330,1.00000000000064);
#15673=VECTOR('',#52331,1.);
#15674=VECTOR('',#52332,1.00000000000064);
#15675=VECTOR('',#52333,1.00000000000064);
#15676=VECTOR('',#52334,1.);
#15677=VECTOR('',#52335,1.00000000000064);
#15678=VECTOR('',#52336,1.00000000000064);
#15679=VECTOR('',#52337,1.);
#15680=VECTOR('',#52338,1.00000000000064);
#15681=VECTOR('',#52339,1.);
#15682=VECTOR('',#52340,1.00000000000064);
#15683=VECTOR('',#52341,1.);
#15684=VECTOR('',#52342,1.00000000000064);
#15685=VECTOR('',#52343,1.00000000000064);
#15686=VECTOR('',#52344,1.);
#15687=VECTOR('',#52345,1.00000000000064);
#15688=VECTOR('',#52346,1.00000000000064);
#15689=VECTOR('',#52347,1.);
#15690=VECTOR('',#52348,1.00000000000064);
#15691=VECTOR('',#52349,1.00000000000064);
#15692=VECTOR('',#52350,1.);
#15693=VECTOR('',#52351,1.00000000000064);
#15694=VECTOR('',#52352,1.00000000000064);
#15695=VECTOR('',#52353,1.);
#15696=VECTOR('',#52354,1.);
#15697=VECTOR('',#52355,1.);
#15698=VECTOR('',#52356,1.);
#15699=VECTOR('',#52357,1.);
#15700=VECTOR('',#52358,1.);
#15701=VECTOR('',#52359,1.);
#15702=VECTOR('',#52360,1.);
#15703=VECTOR('',#52361,1.);
#15704=VECTOR('',#52362,1.);
#15705=VECTOR('',#52363,1.);
#15706=VECTOR('',#52364,1.);
#15707=VECTOR('',#52365,1.);
#15708=VECTOR('',#52366,1.);
#15709=VECTOR('',#52367,1.);
#15710=VECTOR('',#52368,1.);
#15711=VECTOR('',#52369,1.);
#15712=VECTOR('',#52370,1.);
#15713=VECTOR('',#52371,1.);
#15714=VECTOR('',#52372,1.);
#15715=VECTOR('',#52375,1.00000000000064);
#15716=VECTOR('',#52376,1.00000000000064);
#15717=VECTOR('',#52377,1.);
#15718=VECTOR('',#52378,1.00000000000064);
#15719=VECTOR('',#52379,1.00000000000064);
#15720=VECTOR('',#52380,1.);
#15721=VECTOR('',#52381,1.00000000000064);
#15722=VECTOR('',#52382,1.00000000000064);
#15723=VECTOR('',#52383,1.);
#15724=VECTOR('',#52384,1.00000000000064);
#15725=VECTOR('',#52385,1.00000000000064);
#15726=VECTOR('',#52386,1.);
#15727=VECTOR('',#52387,1.00000000000064);
#15728=VECTOR('',#52388,1.);
#15729=VECTOR('',#52389,1.00000000000064);
#15730=VECTOR('',#52390,1.);
#15731=VECTOR('',#52391,1.00000000000064);
#15732=VECTOR('',#52392,1.00000000000064);
#15733=VECTOR('',#52393,1.);
#15734=VECTOR('',#52394,1.00000000000064);
#15735=VECTOR('',#52395,1.00000000000064);
#15736=VECTOR('',#52396,1.);
#15737=VECTOR('',#52397,1.00000000000064);
#15738=VECTOR('',#52398,1.00000000000064);
#15739=VECTOR('',#52399,1.);
#15740=VECTOR('',#52400,1.00000000000064);
#15741=VECTOR('',#52401,1.00000000000064);
#15742=VECTOR('',#52402,1.);
#15743=VECTOR('',#52403,1.00000000000064);
#15744=VECTOR('',#52404,1.);
#15745=VECTOR('',#52405,1.);
#15746=VECTOR('',#52406,1.);
#15747=VECTOR('',#52407,1.);
#15748=VECTOR('',#52408,1.);
#15749=VECTOR('',#52409,1.);
#15750=VECTOR('',#52410,1.);
#15751=VECTOR('',#52411,1.);
#15752=VECTOR('',#52412,1.);
#15753=VECTOR('',#52413,1.);
#15754=VECTOR('',#52414,1.);
#15755=VECTOR('',#52415,1.);
#15756=VECTOR('',#52416,1.);
#15757=VECTOR('',#52417,1.);
#15758=VECTOR('',#52418,1.);
#15759=VECTOR('',#52419,1.);
#15760=VECTOR('',#52420,1.);
#15761=VECTOR('',#52421,1.);
#15762=VECTOR('',#52422,1.);
#15763=VECTOR('',#52423,1.);
#15764=VECTOR('',#52426,1.);
#15765=VECTOR('',#52429,1.);
#15766=VECTOR('',#52432,1.);
#15767=VECTOR('',#52435,1.);
#15768=VECTOR('',#52438,1.);
#15769=VECTOR('',#52441,1.);
#15770=VECTOR('',#52444,1.);
#15771=VECTOR('',#52447,1.);
#15772=VECTOR('',#52450,1.);
#15773=VECTOR('',#52453,1.);
#15774=VECTOR('',#52456,1.);
#15775=VECTOR('',#52459,1.);
#15776=VECTOR('',#52462,1.);
#15777=VECTOR('',#52465,1.);
#15778=VECTOR('',#52468,1.);
#15779=VECTOR('',#52471,1.);
#15780=VECTOR('',#52474,1.);
#15781=VECTOR('',#52477,1.);
#15782=VECTOR('',#52480,1.);
#15783=VECTOR('',#52483,1.);
#15784=VECTOR('',#52486,1.);
#15785=VECTOR('',#52489,1.);
#15786=VECTOR('',#52492,1.);
#15787=VECTOR('',#52495,1.);
#15788=VECTOR('',#52498,1.);
#15789=VECTOR('',#52501,1.);
#15790=VECTOR('',#52504,1.);
#15791=VECTOR('',#52507,1.);
#15792=VECTOR('',#52512,1.);
#15793=VECTOR('',#52513,1.);
#15794=VECTOR('',#52514,1.);
#15795=VECTOR('',#52517,1.);
#15796=VECTOR('',#52518,1.);
#15797=VECTOR('',#52521,1.);
#15798=VECTOR('',#52522,1.);
#15799=VECTOR('',#52525,1.);
#15800=VECTOR('',#52528,1.);
#15801=VECTOR('',#52529,1.);
#15802=VECTOR('',#52530,1.);
#15803=VECTOR('',#52533,1.);
#15804=VECTOR('',#52534,1.);
#15805=VECTOR('',#52537,1.);
#15806=VECTOR('',#52538,1.);
#15807=VECTOR('',#52541,1.);
#15808=VECTOR('',#52544,1.);
#15809=VECTOR('',#52545,1.);
#15810=VECTOR('',#52546,1.);
#15811=VECTOR('',#52549,1.);
#15812=VECTOR('',#52550,1.);
#15813=VECTOR('',#52553,1.);
#15814=VECTOR('',#52554,1.);
#15815=VECTOR('',#52557,1.);
#15816=VECTOR('',#52560,1.);
#15817=VECTOR('',#52561,1.);
#15818=VECTOR('',#52562,1.);
#15819=VECTOR('',#52565,1.);
#15820=VECTOR('',#52566,1.);
#15821=VECTOR('',#52569,1.);
#15822=VECTOR('',#52570,1.);
#15823=VECTOR('',#52573,1.);
#15824=VECTOR('',#52576,1.);
#15825=VECTOR('',#52577,1.);
#15826=VECTOR('',#52578,1.);
#15827=VECTOR('',#52581,1.);
#15828=VECTOR('',#52582,1.);
#15829=VECTOR('',#52585,1.);
#15830=VECTOR('',#52586,1.);
#15831=VECTOR('',#52589,1.);
#15832=VECTOR('',#52592,1.);
#15833=VECTOR('',#52593,1.);
#15834=VECTOR('',#52594,1.);
#15835=VECTOR('',#52597,1.);
#15836=VECTOR('',#52598,1.);
#15837=VECTOR('',#52601,1.);
#15838=VECTOR('',#52602,1.);
#15839=VECTOR('',#52605,1.);
#15840=VECTOR('',#52608,1.);
#15841=VECTOR('',#52609,1.);
#15842=VECTOR('',#52610,1.);
#15843=VECTOR('',#52613,1.);
#15844=VECTOR('',#52614,1.);
#15845=VECTOR('',#52617,1.);
#15846=VECTOR('',#52618,1.);
#15847=VECTOR('',#52621,1.);
#15848=VECTOR('',#52624,1.);
#15849=VECTOR('',#52625,1.);
#15850=VECTOR('',#52626,1.);
#15851=VECTOR('',#52629,1.);
#15852=VECTOR('',#52630,1.);
#15853=VECTOR('',#52633,1.);
#15854=VECTOR('',#52634,1.);
#15855=VECTOR('',#52637,1.);
#15856=VECTOR('',#52640,1.);
#15857=VECTOR('',#52641,1.);
#15858=VECTOR('',#52642,1.);
#15859=VECTOR('',#52645,1.);
#15860=VECTOR('',#52646,1.);
#15861=VECTOR('',#52649,1.);
#15862=VECTOR('',#52650,1.);
#15863=VECTOR('',#52653,1.);
#15864=VECTOR('',#52656,1.);
#15865=VECTOR('',#52657,1.);
#15866=VECTOR('',#52658,1.);
#15867=VECTOR('',#52661,1.);
#15868=VECTOR('',#52662,1.);
#15869=VECTOR('',#52665,1.);
#15870=VECTOR('',#52666,1.);
#15871=VECTOR('',#52669,1.);
#15872=VECTOR('',#52672,1.00000000000065);
#15873=VECTOR('',#52673,1.);
#15874=VECTOR('',#52674,1.00000000000065);
#15875=VECTOR('',#52677,1.);
#15876=VECTOR('',#52678,1.00000000000065);
#15877=VECTOR('',#52681,1.00000000000065);
#15878=VECTOR('',#52682,1.);
#15879=VECTOR('',#52685,1.);
#15880=VECTOR('',#52688,1.);
#15881=VECTOR('',#52689,1.00000000000065);
#15882=VECTOR('',#52690,1.00000000000065);
#15883=VECTOR('',#52693,1.00000000000065);
#15884=VECTOR('',#52694,1.);
#15885=VECTOR('',#52697,1.);
#15886=VECTOR('',#52698,1.00000000000065);
#15887=VECTOR('',#52701,1.);
#15888=VECTOR('',#52704,1.);
#15889=VECTOR('',#52705,1.00000000000065);
#15890=VECTOR('',#52706,1.00000000000065);
#15891=VECTOR('',#52709,1.00000000000065);
#15892=VECTOR('',#52710,1.);
#15893=VECTOR('',#52713,1.);
#15894=VECTOR('',#52714,1.00000000000065);
#15895=VECTOR('',#52717,1.);
#15896=VECTOR('',#52720,1.);
#15897=VECTOR('',#52721,1.00000000000065);
#15898=VECTOR('',#52722,1.00000000000065);
#15899=VECTOR('',#52725,1.00000000000065);
#15900=VECTOR('',#52726,1.);
#15901=VECTOR('',#52729,1.);
#15902=VECTOR('',#52730,1.00000000000065);
#15903=VECTOR('',#52733,1.);
#15904=VECTOR('',#52736,1.);
#15905=VECTOR('',#52737,1.00000000000065);
#15906=VECTOR('',#52738,1.00000000000065);
#15907=VECTOR('',#52741,1.);
#15908=VECTOR('',#52742,1.00000000000065);
#15909=VECTOR('',#52745,1.);
#15910=VECTOR('',#52746,1.00000000000065);
#15911=VECTOR('',#52749,1.);
#15912=VECTOR('',#52752,1.00000000000065);
#15913=VECTOR('',#52753,1.00000000000065);
#15914=VECTOR('',#52754,1.);
#15915=VECTOR('',#52757,1.);
#15916=VECTOR('',#52758,1.00000000000065);
#15917=VECTOR('',#52761,1.00000000000065);
#15918=VECTOR('',#52762,1.);
#15919=VECTOR('',#52765,1.);
#15920=VECTOR('',#52768,1.);
#15921=VECTOR('',#52769,1.00000000000065);
#15922=VECTOR('',#52770,1.00000000000065);
#15923=VECTOR('',#52773,1.00000000000065);
#15924=VECTOR('',#52774,1.);
#15925=VECTOR('',#52777,1.);
#15926=VECTOR('',#52778,1.00000000000065);
#15927=VECTOR('',#52781,1.);
#15928=VECTOR('',#52784,1.);
#15929=VECTOR('',#52785,1.00000000000065);
#15930=VECTOR('',#52786,1.00000000000065);
#15931=VECTOR('',#52789,1.00000000000065);
#15932=VECTOR('',#52790,1.);
#15933=VECTOR('',#52793,1.);
#15934=VECTOR('',#52794,1.00000000000065);
#15935=VECTOR('',#52797,1.);
#15936=VECTOR('',#52800,1.);
#15937=VECTOR('',#52801,1.00000000000065);
#15938=VECTOR('',#52802,1.00000000000065);
#15939=VECTOR('',#52805,1.00000000000065);
#15940=VECTOR('',#52806,1.);
#15941=VECTOR('',#52809,1.);
#15942=VECTOR('',#52810,1.00000000000065);
#15943=VECTOR('',#52813,1.);
#15944=VECTOR('',#52816,1.);
#15945=VECTOR('',#52817,1.00000000000065);
#15946=VECTOR('',#52818,1.00000000000065);
#15947=VECTOR('',#52821,1.00000000000065);
#15948=VECTOR('',#52822,1.);
#15949=VECTOR('',#52825,1.);
#15950=VECTOR('',#52826,1.00000000000065);
#15951=VECTOR('',#52829,1.);
#15952=VECTOR('',#52858,0.999999999999558);
#15953=VECTOR('',#52859,1.);
#15954=VECTOR('',#52860,0.999999999999558);
#15955=VECTOR('',#52861,1.);
#15956=VECTOR('',#52864,1.);
#15957=VECTOR('',#52865,1.);
#15958=VECTOR('',#52866,1.);
#15959=VECTOR('',#52867,1.);
#15960=VECTOR('',#52868,0.999999999999558);
#15961=VECTOR('',#52871,1.);
#15962=VECTOR('',#52872,1.);
#15963=VECTOR('',#52873,1.);
#15964=VECTOR('',#52874,1.);
#15965=VECTOR('',#52875,1.);
#15966=VECTOR('',#52876,1.);
#15967=VECTOR('',#52877,1.);
#15968=VECTOR('',#52878,1.);
#15969=VECTOR('',#52879,1.);
#15970=VECTOR('',#52882,1.);
#15971=VECTOR('',#52883,1.);
#15972=VECTOR('',#52886,1.);
#15973=VECTOR('',#52887,1.);
#15974=VECTOR('',#52888,1.);
#15975=VECTOR('',#52889,0.999999999999558);
#15976=VECTOR('',#52892,1.);
#15977=VECTOR('',#52893,1.);
#15978=VECTOR('',#52894,1.);
#15979=VECTOR('',#52897,1.);
#15980=VECTOR('',#52902,1.);
#15981=VECTOR('',#52907,1.);
#15982=VECTOR('',#52908,1.);
#15983=VECTOR('',#52911,1.);
#15984=VECTOR('',#52912,1.);
#15985=VECTOR('',#52913,1.);
#15986=VECTOR('',#52914,1.);
#15987=VECTOR('',#52917,1.);
#15988=VECTOR('',#52922,1.);
#15989=VECTOR('',#52923,1.);
#15990=VECTOR('',#52924,1.);
#15991=VECTOR('',#52925,1.);
#15992=VECTOR('',#52926,1.);
#15993=VECTOR('',#52927,1.);
#15994=VECTOR('',#52928,1.);
#15995=VECTOR('',#52929,1.);
#15996=VECTOR('',#52930,1.);
#15997=VECTOR('',#52931,1.);
#15998=VECTOR('',#52932,1.);
#15999=VECTOR('',#52933,1.);
#16000=VECTOR('',#52934,0.99999999999962);
#16001=VECTOR('',#52935,0.99999999999962);
#16002=VECTOR('',#52938,1.);
#16003=VECTOR('',#52941,1.);
#16004=VECTOR('',#52944,1.);
#16005=VECTOR('',#52945,1.);
#16006=VECTOR('',#52946,1.);
#16007=VECTOR('',#52949,1.);
#16008=VECTOR('',#52950,1.);
#16009=VECTOR('',#52953,1.);
#16010=VECTOR('',#52954,1.);
#16011=VECTOR('',#52955,1.);
#16012=VECTOR('',#52960,1.);
#16013=VECTOR('',#52965,1.);
#16014=VECTOR('',#52966,1.);
#16015=VECTOR('',#52967,1.);
#16016=VECTOR('',#52968,1.);
#16017=VECTOR('',#52969,1.);
#16018=VECTOR('',#52970,1.);
#16019=VECTOR('',#52973,1.);
#16020=VECTOR('',#52974,1.);
#16021=VECTOR('',#52977,1.);
#16022=VECTOR('',#52978,1.);
#16023=VECTOR('',#52981,1.);
#16024=VECTOR('',#52982,1.);
#16025=VECTOR('',#52985,1.);
#16026=VECTOR('',#52986,1.);
#16027=VECTOR('',#52987,1.);
#16028=VECTOR('',#52992,1.);
#16029=VECTOR('',#52993,1.);
#16030=VECTOR('',#52994,1.);
#16031=VECTOR('',#52995,1.);
#16032=VECTOR('',#52996,1.);
#16033=VECTOR('',#52997,1.);
#16034=VECTOR('',#52998,1.);
#16035=VECTOR('',#53001,1.);
#16036=VECTOR('',#53006,1.);
#16037=VECTOR('',#53009,1.);
#16038=VECTOR('',#53010,1.);
#16039=VECTOR('',#53025,1.);
#16040=VECTOR('',#53028,1.);
#16041=VECTOR('',#53031,1.);
#16042=VECTOR('',#53032,1.);
#16043=VECTOR('',#53033,1.);
#16044=VECTOR('',#53036,1.);
#16045=VECTOR('',#53041,1.);
#16046=VECTOR('',#53046,1.);
#16047=VECTOR('',#53047,1.);
#16048=VECTOR('',#53050,1.);
#16049=VECTOR('',#53053,1.);
#16050=VECTOR('',#53054,1.);
#16051=VECTOR('',#53055,1.);
#16052=VECTOR('',#53058,1.);
#16053=VECTOR('',#53059,0.99999999999962);
#16054=VECTOR('',#53062,0.99999999999962);
#16055=VECTOR('',#53065,1.);
#16056=VECTOR('',#53066,1.);
#16057=VECTOR('',#53071,1.);
#16058=VECTOR('',#53074,1.);
#16059=VECTOR('',#53075,1.);
#16060=VECTOR('',#53080,1.);
#16061=VECTOR('',#53083,1.);
#16062=VECTOR('',#53084,1.);
#16063=VECTOR('',#53085,1.);
#16064=VECTOR('',#53086,1.);
#16065=VECTOR('',#53087,1.);
#16066=VECTOR('',#53090,1.);
#16067=VECTOR('',#53095,1.);
#16068=VECTOR('',#53096,1.);
#16069=VECTOR('',#53113,1.);
#16070=VECTOR('',#53116,1.);
#16071=VECTOR('',#53119,1.);
#16072=VECTOR('',#53122,1.);
#16073=VECTOR('',#53127,1.);
#16074=VECTOR('',#53128,1.);
#16075=VECTOR('',#53129,1.);
#16076=VECTOR('',#53132,1.);
#16077=VECTOR('',#53139,1.);
#16078=VECTOR('',#53142,1.);
#16079=VECTOR('',#53143,1.);
#16080=VECTOR('',#53146,1.);
#16081=VECTOR('',#53147,1.);
#16082=VECTOR('',#53150,1.);
#16083=VECTOR('',#53157,1.);
#16084=VECTOR('',#53160,1.);
#16085=VECTOR('',#53165,1.);
#16086=VECTOR('',#53168,1.);
#16087=VECTOR('',#53171,1.);
#16088=VECTOR('',#53174,1.);
#16089=VECTOR('',#53177,1.);
#16090=VECTOR('',#53180,1.);
#16091=VECTOR('',#53183,1.);
#16092=VECTOR('',#53184,1.);
#16093=VECTOR('',#53187,1.);
#16094=VECTOR('',#53192,1.);
#16095=VECTOR('',#53193,1.);
#16096=VECTOR('',#53196,1.);
#16097=VECTOR('',#53201,1.);
#16098=VECTOR('',#53202,1.);
#16099=VECTOR('',#53205,1.);
#16100=VECTOR('',#53210,1.);
#16101=VECTOR('',#53213,1.);
#16102=VECTOR('',#53216,1.);
#16103=VECTOR('',#53219,1.);
#16104=VECTOR('',#53222,1.);
#16105=VECTOR('',#53227,1.);
#16106=VECTOR('',#53228,1.);
#16107=VECTOR('',#53229,1.);
#16108=VECTOR('',#53232,1.);
#16109=VECTOR('',#53239,1.);
#16110=VECTOR('',#53242,1.);
#16111=VECTOR('',#53243,1.);
#16112=VECTOR('',#53246,1.);
#16113=VECTOR('',#53247,1.);
#16114=VECTOR('',#53250,1.);
#16115=VECTOR('',#53257,1.);
#16116=VECTOR('',#53260,1.);
#16117=VECTOR('',#53269,1.);
#16118=VECTOR('',#53270,1.);
#16119=VECTOR('',#53271,1.);
#16120=VECTOR('',#53272,1.);
#16121=VECTOR('',#53275,1.);
#16122=VECTOR('',#53276,1.);
#16123=VECTOR('',#53277,1.);
#16124=VECTOR('',#53280,1.);
#16125=VECTOR('',#53281,1.);
#16126=VECTOR('',#53282,1.);
#16127=VECTOR('',#53285,1.);
#16128=VECTOR('',#53306,1.);
#16129=VECTOR('',#53309,1.);
#16130=VECTOR('',#53670,1.);
#16131=VECTOR('',#53673,1.);
#16132=VECTOR('',#53676,1.);
#16133=VECTOR('',#53679,1.);
#16134=VECTOR('',#53894,1.);
#16135=VECTOR('',#54157,1.);
#16136=VECTOR('',#54160,1.);
#16137=VECTOR('',#54283,1.);
#16138=VECTOR('',#54286,1.);
#16139=VECTOR('',#54419,1.);
#16140=VECTOR('',#54422,1.);
#16141=VECTOR('',#54505,0.25);
#16142=VECTOR('',#54508,0.25);
#16143=VECTOR('',#54511,0.25);
#16144=VECTOR('',#54514,0.25);
#16145=VECTOR('',#54517,0.25);
#16146=VECTOR('',#54520,1.);
#16147=VECTOR('',#54521,1.);
#16148=VECTOR('',#54524,1.);
#16149=VECTOR('',#54527,1.);
#16150=VECTOR('',#54532,0.49911);
#16151=VECTOR('',#54535,0.4);
#16152=VECTOR('',#54538,0.55);
#16153=VECTOR('',#54541,0.49911);
#16154=VECTOR('',#54544,0.55);
#16155=VECTOR('',#54547,0.49911);
#16156=VECTOR('',#54550,0.49911);
#16157=VECTOR('',#54553,0.49911);
#16158=VECTOR('',#54556,0.4);
#16159=VECTOR('',#54559,0.5);
#16160=VECTOR('',#54562,0.5);
#16161=VECTOR('',#54565,0.5);
#16162=VECTOR('',#54568,0.4);
#16163=VECTOR('',#54571,0.49911);
#16164=VECTOR('',#54574,0.49911);
#16165=VECTOR('',#54577,0.4);
#16166=VECTOR('',#54580,0.5);
#16167=VECTOR('',#54583,0.49911);
#16168=VECTOR('',#54586,0.49911);
#16169=VECTOR('',#54589,0.49911);
#16170=VECTOR('',#54592,0.49911);
#16171=VECTOR('',#54595,0.5);
#16172=VECTOR('',#54598,0.49911);
#16173=VECTOR('',#54601,0.49911);
#16174=VECTOR('',#54604,0.5);
#16175=VECTOR('',#54607,0.4);
#16176=VECTOR('',#54610,0.4);
#16177=VECTOR('',#54613,0.4);
#16178=VECTOR('',#54616,0.4);
#16179=VECTOR('',#54619,0.4);
#16180=VECTOR('',#54622,0.4);
#16181=VECTOR('',#54625,0.4);
#16182=VECTOR('',#54628,0.4);
#16183=VECTOR('',#54631,0.4);
#16184=VECTOR('',#54634,0.4);
#16185=VECTOR('',#54637,0.4);
#16186=VECTOR('',#54640,0.49911);
#16187=VECTOR('',#54643,0.4);
#16188=VECTOR('',#54646,0.5);
#16189=VECTOR('',#54649,0.4);
#16190=VECTOR('',#54652,0.5);
#16191=VECTOR('',#54655,0.25);
#16192=VECTOR('',#54658,1.6);
#16193=VECTOR('',#54661,0.25);
#16194=VECTOR('',#54664,0.25);
#16195=VECTOR('',#54667,0.5);
#16196=VECTOR('',#54670,0.25);
#16197=VECTOR('',#54673,0.5);
#16198=VECTOR('',#54676,0.49911);
#16199=VECTOR('',#54679,0.5);
#16200=VECTOR('',#54682,0.49911);
#16201=VECTOR('',#54685,0.5);
#16202=VECTOR('',#54688,0.49911);
#16203=VECTOR('',#54691,0.5);
#16204=VECTOR('',#54694,0.5);
#16205=VECTOR('',#54697,0.49911);
#16206=VECTOR('',#54700,0.49911);
#16207=VECTOR('',#54703,0.5);
#16208=VECTOR('',#54706,0.5);
#16209=VECTOR('',#54709,0.5);
#16210=VECTOR('',#54712,0.49911);
#16211=VECTOR('',#54715,0.5);
#16212=VECTOR('',#54718,0.5);
#16213=VECTOR('',#54721,0.25);
#16214=VECTOR('',#54724,0.5);
#16215=VECTOR('',#54727,0.25);
#16216=VECTOR('',#54730,0.49911);
#16217=VECTOR('',#54733,0.4);
#16218=VECTOR('',#54736,0.4);
#16219=VECTOR('',#54739,0.5);
#16220=VECTOR('',#54742,0.25);
#16221=VECTOR('',#54745,1.6);
#16222=VECTOR('',#54748,0.25);
#16223=VECTOR('',#54751,0.25);
#16224=VECTOR('',#54754,0.25);
#16225=VECTOR('',#54757,0.25);
#16226=VECTOR('',#54760,1.6);
#16227=VECTOR('',#54763,0.25);
#16228=VECTOR('',#54766,0.25);
#16229=VECTOR('',#54769,0.25);
#16230=VECTOR('',#54772,0.25);
#16231=VECTOR('',#54775,0.25);
#16232=VECTOR('',#54778,0.25);
#16233=VECTOR('',#54781,0.49911);
#16234=VECTOR('',#54784,0.5);
#16235=VECTOR('',#54787,0.49911);
#16236=VECTOR('',#54790,0.49911);
#16237=VECTOR('',#54793,0.25);
#16238=VECTOR('',#54796,1.6);
#16239=VECTOR('',#54799,1.);
#16240=VECTOR('',#54800,1.);
#16241=VECTOR('',#54803,1.);
#16242=VECTOR('',#54806,1.);
#16243=VECTOR('',#54811,1.);
#16244=VECTOR('',#54812,1.);
#16245=VECTOR('',#54815,1.);
#16246=VECTOR('',#54818,1.);
#16247=VECTOR('',#54823,0.4);
#16248=VECTOR('',#54826,0.5);
#16249=VECTOR('',#54829,0.4);
#16250=VECTOR('',#54832,0.4);
#16251=VECTOR('',#54835,0.4);
#16252=VECTOR('',#54838,0.5);
#16253=VECTOR('',#54841,0.25);
#16254=VECTOR('',#54844,0.49911);
#16255=VECTOR('',#54847,0.49911);
#16256=VECTOR('',#54850,0.49911);
#16257=VECTOR('',#54853,0.49911);
#16258=VECTOR('',#54856,0.4);
#16259=VECTOR('',#54859,0.49911);
#16260=VECTOR('',#54862,0.49911);
#16261=VECTOR('',#54865,0.4);
#16262=VECTOR('',#54868,0.49911);
#16263=VECTOR('',#54871,0.4);
#16264=VECTOR('',#54874,0.49911);
#16265=VECTOR('',#54877,0.4);
#16266=VECTOR('',#54880,0.4);
#16267=VECTOR('',#54883,0.4);
#16268=VECTOR('',#54886,0.5);
#16269=VECTOR('',#54889,0.49911);
#16270=VECTOR('',#54892,0.49911);
#16271=VECTOR('',#54895,0.49911);
#16272=VECTOR('',#54898,0.4);
#16273=VECTOR('',#54901,0.4);
#16274=VECTOR('',#54904,0.5);
#16275=VECTOR('',#54907,0.4);
#16276=VECTOR('',#54910,0.55);
#16277=VECTOR('',#54913,0.55);
#16278=VECTOR('',#54916,0.25);
#16279=VECTOR('',#54919,0.25);
#16280=VECTOR('',#54922,0.25);
#16281=VECTOR('',#54925,0.25);
#16282=VECTOR('',#54928,0.5);
#16283=VECTOR('',#54931,0.25);
#16284=VECTOR('',#54934,0.25);
#16285=VECTOR('',#54937,0.5);
#16286=VECTOR('',#54940,0.5);
#16287=VECTOR('',#54943,0.5);
#16288=VECTOR('',#54946,0.5);
#16289=VECTOR('',#54949,0.5);
#16290=VECTOR('',#54952,0.5);
#16291=VECTOR('',#54955,0.5);
#16292=VECTOR('',#54958,0.5);
#16293=VECTOR('',#54961,0.5);
#16294=VECTOR('',#54964,0.5);
#16295=VECTOR('',#54967,0.25);
#16296=VECTOR('',#54970,0.5);
#16297=VECTOR('',#54973,0.5);
#16298=VECTOR('',#54976,0.5);
#16299=VECTOR('',#54979,0.25);
#16300=VECTOR('',#55124,10.);
#16301=VECTOR('',#55125,10.);
#16302=VECTOR('',#55126,10.);
#16303=VECTOR('',#55127,10.);
#16304=VECTOR('',#55130,10.);
#16305=VECTOR('',#55131,10.);
#16306=VECTOR('',#55132,10.);
#16307=VECTOR('',#55135,10.);
#16308=VECTOR('',#55136,10.);
#16309=VECTOR('',#55137,10.);
#16310=VECTOR('',#55140,10.);
#16311=VECTOR('',#55141,10.);
#16312=VECTOR('',#55144,10.);
#16313=VECTOR('',#55145,10.);
#16314=VECTOR('',#55146,10.);
#16315=VECTOR('',#55149,10.);
#16316=VECTOR('',#55150,10.);
#16317=VECTOR('',#55153,10.);
#16318=VECTOR('',#55154,10.);
#16319=VECTOR('',#55155,10.);
#16320=VECTOR('',#55156,10.);
#16321=VECTOR('',#55161,10.);
#16322=VECTOR('',#55164,10.);
#16323=VECTOR('',#55169,10.);
#16324=VECTOR('',#55172,10.);
#16325=VECTOR('',#55173,10.);
#16326=VECTOR('',#55174,10.);
#16327=VECTOR('',#55177,10.);
#16328=VECTOR('',#55178,10.);
#16329=VECTOR('',#55183,10.);
#16330=VECTOR('',#55190,10.);
#16331=VECTOR('',#55193,10.);
#16332=VECTOR('',#55198,10.);
#16333=VECTOR('',#55201,10.);
#16334=VECTOR('',#55202,10.);
#16335=VECTOR('',#55203,10.);
#16336=VECTOR('',#55206,10.);
#16337=VECTOR('',#55207,10.);
#16338=VECTOR('',#55212,10.);
#16339=VECTOR('',#55217,10.);
#16340=VECTOR('',#55218,10.);
#16341=VECTOR('',#55221,10.);
#16342=VECTOR('',#55222,10.);
#16343=VECTOR('',#55225,10.);
#16344=VECTOR('',#55226,10.);
#16345=VECTOR('',#55227,10.);
#16346=VECTOR('',#55228,10.);
#16347=VECTOR('',#55233,10.);
#16348=VECTOR('',#55234,10.);
#16349=VECTOR('',#55237,10.);
#16350=VECTOR('',#55238,10.);
#16351=VECTOR('',#55241,10.);
#16352=VECTOR('',#55244,10.);
#16353=VECTOR('',#55245,10.);
#16354=VECTOR('',#55248,10.);
#16355=VECTOR('',#55251,10.);
#16356=VECTOR('',#55262,10.);
#16357=VECTOR('',#55265,10.);
#16358=VECTOR('',#55272,10.);
#16359=VECTOR('',#55279,10.);
#16360=VECTOR('',#55284,10.);
#16361=VECTOR('',#55285,10.);
#16362=VECTOR('',#55286,10.);
#16363=VECTOR('',#55287,10.);
#16364=VECTOR('',#55288,10.);
#16365=VECTOR('',#55291,10.);
#16366=VECTOR('',#55294,10.);
#16367=VECTOR('',#55297,10.);
#16368=VECTOR('',#55300,10.);
#16369=VECTOR('',#55305,10.);
#16370=VECTOR('',#55310,10.);
#16371=VECTOR('',#55317,10.);
#16372=VECTOR('',#55322,10.);
#16373=VECTOR('',#55327,10.);
#16374=VECTOR('',#55334,10.);
#16375=VECTOR('',#55339,10.);
#16376=VECTOR('',#55344,10.);
#16377=VECTOR('',#55351,10.);
#16378=VECTOR('',#55356,10.);
#16379=VECTOR('',#55361,10.);
#16380=VECTOR('',#55368,10.);
#16381=VECTOR('',#55373,10.);
#16382=VECTOR('',#55374,10.);
#16383=VECTOR('',#55375,10.);
#16384=VECTOR('',#55378,10.);
#16385=VECTOR('',#55385,10.);
#16386=VECTOR('',#55386,10.);
#16387=VECTOR('',#55387,10.);
#16388=VECTOR('',#55390,10.);
#16389=VECTOR('',#55399,10.);
#16390=VECTOR('',#55402,10.);
#16391=VECTOR('',#55407,10.);
#16392=VECTOR('',#55408,10.);
#16393=VECTOR('',#55411,10.);
#16394=VECTOR('',#55418,10.);
#16395=VECTOR('',#55419,10.);
#16396=VECTOR('',#55420,10.);
#16397=VECTOR('',#55423,10.);
#16398=VECTOR('',#55430,10.);
#16399=VECTOR('',#55435,10.);
#16400=VECTOR('',#55436,10.);
#16401=VECTOR('',#55439,10.);
#16402=VECTOR('',#55446,10.);
#16403=VECTOR('',#55447,10.);
#16404=VECTOR('',#55448,10.);
#16405=VECTOR('',#55451,10.);
#16406=VECTOR('',#55458,10.);
#16407=VECTOR('',#55461,10.);
#16408=VECTOR('',#55462,10.);
#16409=VECTOR('',#55465,10.);
#16410=VECTOR('',#55468,10.);
#16411=VECTOR('',#55469,10.);
#16412=VECTOR('',#55476,2.175);
#16413=VECTOR('',#55483,1.5);
#16414=VECTOR('',#55492,2.175);
#16415=VECTOR('',#55499,1.5);
#16416=VECTOR('',#55508,2.175);
#16417=VECTOR('',#55515,1.5);
#16418=VECTOR('',#55524,2.175);
#16419=VECTOR('',#55531,1.5);
#16420=VECTOR('',#55538,10.);
#16421=VECTOR('',#55541,10.);
#16422=VECTOR('',#55544,10.);
#16423=VECTOR('',#55545,10.);
#16424=VECTOR('',#55546,10.);
#16425=VECTOR('',#55549,10.);
#16426=VECTOR('',#55550,10.);
#16427=VECTOR('',#55551,10.);
#16428=VECTOR('',#55554,10.);
#16429=VECTOR('',#55555,10.);
#16430=VECTOR('',#55556,10.);
#16431=VECTOR('',#55557,10.);
#16432=VECTOR('',#55560,10.);
#16433=VECTOR('',#55563,10.);
#16434=VECTOR('',#55564,10.);
#16435=VECTOR('',#55565,10.);
#16436=VECTOR('',#55572,10.);
#16437=VECTOR('',#55575,10.);
#16438=VECTOR('',#55578,10.);
#16439=VECTOR('',#55579,10.);
#16440=VECTOR('',#55584,10.);
#16441=VECTOR('',#55587,10.);
#16442=VECTOR('',#55590,10.);
#16443=VECTOR('',#55591,10.);
#16444=VECTOR('',#55592,10.);
#16445=VECTOR('',#55595,10.);
#16446=VECTOR('',#55596,10.);
#16447=VECTOR('',#55597,10.);
#16448=VECTOR('',#55598,10.);
#16449=VECTOR('',#55601,10.);
#16450=VECTOR('',#55604,10.);
#16451=VECTOR('',#55605,10.);
#16452=VECTOR('',#55606,10.);
#16453=VECTOR('',#55607,10.);
#16454=VECTOR('',#55610,10.);
#16455=VECTOR('',#55611,10.);
#16456=VECTOR('',#55618,10.);
#16457=VECTOR('',#55621,10.);
#16458=VECTOR('',#55624,10.);
#16459=VECTOR('',#55625,10.);
#16460=VECTOR('',#55628,10.);
#16461=VECTOR('',#55629,10.);
#16462=VECTOR('',#55632,10.);
#16463=VECTOR('',#55635,10.);
#16464=VECTOR('',#55638,10.);
#16465=VECTOR('',#55641,10.);
#16466=VECTOR('',#55642,10.);
#16467=VECTOR('',#55647,10.);
#16468=VECTOR('',#55650,10.);
#16469=VECTOR('',#55653,10.);
#16470=VECTOR('',#55656,10.);
#16471=VECTOR('',#55657,10.);
#16472=VECTOR('',#55660,10.);
#16473=VECTOR('',#55661,10.);
#16474=VECTOR('',#55662,10.);
#16475=VECTOR('',#55663,10.);
#16476=VECTOR('',#55664,10.);
#16477=VECTOR('',#55667,10.);
#16478=VECTOR('',#55668,10.);
#16479=VECTOR('',#55669,10.);
#16480=VECTOR('',#55672,10.);
#16481=VECTOR('',#55673,10.);
#16482=VECTOR('',#55674,10.);
#16483=VECTOR('',#55677,10.);
#16484=VECTOR('',#55680,10.);
#16485=VECTOR('',#55681,10.);
#16486=VECTOR('',#55682,10.);
#16487=VECTOR('',#55683,10.);
#16488=VECTOR('',#55686,10.);
#16489=VECTOR('',#55687,10.);
#16490=VECTOR('',#55688,10.);
#16491=VECTOR('',#55691,10.);
#16492=VECTOR('',#55692,10.);
#16493=VECTOR('',#55695,10.);
#16494=VECTOR('',#55698,10.);
#16495=VECTOR('',#55703,10.);
#16496=VECTOR('',#55706,10.);
#16497=VECTOR('',#55707,10.);
#16498=VECTOR('',#55708,10.);
#16499=VECTOR('',#55711,10.);
#16500=VECTOR('',#55712,10.);
#16501=VECTOR('',#55715,10.);
#16502=VECTOR('',#55716,10.);
#16503=VECTOR('',#55719,10.);
#16504=VECTOR('',#55722,10.);
#16505=VECTOR('',#55727,10.);
#16506=VECTOR('',#55728,10.);
#16507=VECTOR('',#55731,10.);
#16508=VECTOR('',#55732,10.);
#16509=VECTOR('',#55735,10.);
#16510=VECTOR('',#55736,10.);
#16511=VECTOR('',#55739,10.);
#16512=VECTOR('',#55740,10.);
#16513=VECTOR('',#55743,10.);
#16514=VECTOR('',#55744,10.);
#16515=VECTOR('',#55745,10.);
#16516=VECTOR('',#55748,10.);
#16517=VECTOR('',#55749,10.);
#16518=VECTOR('',#55752,10.);
#16519=VECTOR('',#55753,10.);
#16520=VECTOR('',#55754,10.);
#16521=VECTOR('',#55755,10.);
#16522=VECTOR('',#55756,10.);
#16523=VECTOR('',#55757,10.);
#16524=VECTOR('',#55758,10.);
#16525=VECTOR('',#55775,10.);
#16526=VECTOR('',#55788,10.);
#16527=VECTOR('',#55789,10.);
#16528=VECTOR('',#55792,10.);
#16529=VECTOR('',#55795,10.);
#16530=VECTOR('',#55796,10.);
#16531=VECTOR('',#55799,10.);
#16532=VECTOR('',#55802,1.6);
#16533=VECTOR('',#55807,1.6);
#16534=VECTOR('',#55812,1.6);
#16535=VECTOR('',#55817,1.6);
#16536=VECTOR('',#55822,10.);
#16537=VECTOR('',#55823,10.);
#16538=VECTOR('',#55826,10.);
#16539=VECTOR('',#55829,10.);
#16540=VECTOR('',#55830,10.);
#16541=VECTOR('',#55833,10.);
#16542=VECTOR('',#55846,10.);
#16543=VECTOR('',#55847,10.);
#16544=VECTOR('',#55848,10.);
#16545=VECTOR('',#55849,10.);
#16546=VECTOR('',#55852,10.);
#16547=VECTOR('',#55853,10.);
#16548=VECTOR('',#55854,10.);
#16549=VECTOR('',#55857,10.);
#16550=VECTOR('',#55858,10.);
#16551=VECTOR('',#55859,10.);
#16552=VECTOR('',#55862,10.);
#16553=VECTOR('',#55863,10.);
#16554=VECTOR('',#55870,10.);
#16555=VECTOR('',#55873,10.);
#16556=VECTOR('',#55874,10.);
#16557=VECTOR('',#55887,10.);
#16558=VECTOR('',#55888,10.);
#16559=VECTOR('',#55889,10.);
#16560=VECTOR('',#55890,10.);
#16561=VECTOR('',#55891,10.);
#16562=VECTOR('',#55892,10.);
#16563=VECTOR('',#55895,10.);
#16564=VECTOR('',#55896,10.);
#16565=VECTOR('',#55897,10.);
#16566=VECTOR('',#55898,10.);
#16567=VECTOR('',#55899,10.);
#16568=VECTOR('',#55900,10.);
#16569=VECTOR('',#55903,10.);
#16570=VECTOR('',#55904,10.);
#16571=VECTOR('',#55905,10.);
#16572=VECTOR('',#55914,10.);
#16573=VECTOR('',#55919,10.);
#16574=VECTOR('',#55920,10.);
#16575=VECTOR('',#55925,10.);
#16576=VECTOR('',#55928,10.);
#16577=VECTOR('',#55933,10.);
#16578=VECTOR('',#55934,10.);
#16579=VECTOR('',#55939,10.);
#16580=VECTOR('',#55942,10.);
#16581=VECTOR('',#55953,10.);
#16582=VECTOR('',#55956,10.);
#16583=VECTOR('',#55961,10.);
#16584=VECTOR('',#55962,10.);
#16585=VECTOR('',#55963,10.);
#16586=VECTOR('',#55964,10.);
#16587=VECTOR('',#55965,10.);
#16588=VECTOR('',#55966,10.);
#16589=VECTOR('',#55967,10.);
#16590=VECTOR('',#55968,10.);
#16591=VECTOR('',#55971,10.);
#16592=VECTOR('',#55972,10.);
#16593=VECTOR('',#55973,10.);
#16594=VECTOR('',#55974,10.);
#16595=VECTOR('',#55975,10.);
#16596=VECTOR('',#55976,10.);
#16597=VECTOR('',#55977,10.);
#16598=VECTOR('',#55978,10.);
#16599=VECTOR('',#55981,10.);
#16600=VECTOR('',#55982,10.);
#16601=VECTOR('',#55983,10.);
#16602=VECTOR('',#55984,10.);
#16603=VECTOR('',#55985,10.);
#16604=VECTOR('',#55986,10.);
#16605=VECTOR('',#55987,10.);
#16606=VECTOR('',#55988,10.);
#16607=VECTOR('',#55991,10.);
#16608=VECTOR('',#55992,10.);
#16609=VECTOR('',#55993,10.);
#16610=VECTOR('',#55994,10.);
#16611=VECTOR('',#55995,10.);
#16612=VECTOR('',#55996,10.);
#16613=VECTOR('',#55999,10.);
#16614=VECTOR('',#56000,10.);
#16615=VECTOR('',#56001,10.);
#16616=VECTOR('',#56002,10.);
#16617=VECTOR('',#56003,10.);
#16618=VECTOR('',#56004,10.);
#16619=VECTOR('',#56005,10.);
#16620=VECTOR('',#56006,10.);
#16621=VECTOR('',#56009,10.);
#16622=VECTOR('',#56010,10.);
#16623=VECTOR('',#56011,10.);
#16624=VECTOR('',#56012,10.);
#16625=VECTOR('',#56013,10.);
#16626=VECTOR('',#56014,10.);
#16627=VECTOR('',#56015,10.);
#16628=VECTOR('',#56016,10.);
#16629=VECTOR('',#56019,10.);
#16630=VECTOR('',#56020,10.);
#16631=VECTOR('',#56021,10.);
#16632=VECTOR('',#56022,10.);
#16633=VECTOR('',#56023,10.);
#16634=VECTOR('',#56024,10.);
#16635=VECTOR('',#56027,10.);
#16636=VECTOR('',#56028,10.);
#16637=VECTOR('',#56029,10.);
#16638=VECTOR('',#56032,10.);
#16639=VECTOR('',#56033,10.);
#16640=VECTOR('',#56034,10.);
#16641=VECTOR('',#56037,10.);
#16642=VECTOR('',#56038,10.);
#16643=VECTOR('',#56039,10.);
#16644=VECTOR('',#56042,10.);
#16645=VECTOR('',#56043,10.);
#16646=VECTOR('',#56044,10.);
#16647=VECTOR('',#56047,10.);
#16648=VECTOR('',#56048,10.);
#16649=VECTOR('',#56049,10.);
#16650=VECTOR('',#56052,10.);
#16651=VECTOR('',#56053,10.);
#16652=VECTOR('',#56054,10.);
#16653=VECTOR('',#56059,10.);
#16654=VECTOR('',#56060,10.);
#16655=VECTOR('',#56065,10.);
#16656=VECTOR('',#56066,10.);
#16657=VECTOR('',#56067,10.);
#16658=VECTOR('',#56070,10.);
#16659=VECTOR('',#56071,10.);
#16660=VECTOR('',#56072,10.);
#16661=VECTOR('',#56077,10.);
#16662=VECTOR('',#56078,10.);
#16663=VECTOR('',#56083,10.);
#16664=VECTOR('',#56084,10.);
#16665=VECTOR('',#56085,10.);
#16666=VECTOR('',#56088,10.);
#16667=VECTOR('',#56089,10.);
#16668=VECTOR('',#56090,10.);
#16669=VECTOR('',#56095,10.);
#16670=VECTOR('',#56096,10.);
#16671=VECTOR('',#56101,10.);
#16672=VECTOR('',#56102,10.);
#16673=VECTOR('',#56103,10.);
#16674=VECTOR('',#56106,10.);
#16675=VECTOR('',#56107,10.);
#16676=VECTOR('',#56108,10.);
#16677=VECTOR('',#56113,10.);
#16678=VECTOR('',#56116,10.);
#16679=VECTOR('',#56119,10.);
#16680=VECTOR('',#56122,10.);
#16681=VECTOR('',#56125,10.);
#16682=VECTOR('',#56128,10.);
#16683=VECTOR('',#56131,10.);
#16684=VECTOR('',#56134,10.);
#16685=VECTOR('',#56137,10.);
#16686=VECTOR('',#56140,10.);
#16687=VECTOR('',#56143,10.);
#16688=VECTOR('',#56146,10.);
#16689=VECTOR('',#56149,10.);
#16690=VECTOR('',#56150,10.);
#16691=VECTOR('',#56153,10.);
#16692=VECTOR('',#56158,10.);
#16693=VECTOR('',#56161,10.);
#16694=VECTOR('',#56164,10.);
#16695=VECTOR('',#56167,10.);
#16696=VECTOR('',#56170,10.);
#16697=VECTOR('',#56175,10.);
#16698=VECTOR('',#56178,10.);
#16699=VECTOR('',#56181,10.);
#16700=VECTOR('',#56184,10.);
#16701=VECTOR('',#56187,10.);
#16702=VECTOR('',#56190,10.);
#16703=VECTOR('',#56193,10.);
#16704=VECTOR('',#56196,10.);
#16705=VECTOR('',#56199,10.);
#16706=VECTOR('',#56202,10.);
#16707=VECTOR('',#56205,10.);
#16708=VECTOR('',#56208,10.);
#16709=VECTOR('',#56211,10.);
#16710=VECTOR('',#56216,10.);
#16711=VECTOR('',#56219,10.);
#16712=VECTOR('',#56222,10.);
#16713=VECTOR('',#56225,10.);
#16714=VECTOR('',#56228,10.);
#16715=VECTOR('',#56231,10.);
#16716=VECTOR('',#56234,10.);
#16717=VECTOR('',#56237,10.);
#16718=VECTOR('',#56240,10.);
#16719=VECTOR('',#56243,10.);
#16720=VECTOR('',#56248,10.);
#16721=VECTOR('',#56251,10.);
#16722=VECTOR('',#56254,10.);
#16723=VECTOR('',#56261,10.);
#16724=VECTOR('',#56264,10.);
#16725=VECTOR('',#56271,10.);
#16726=VECTOR('',#56276,10.);
#16727=VECTOR('',#56281,10.);
#16728=VECTOR('',#56284,10.);
#16729=VECTOR('',#56291,10.);
#16730=VECTOR('',#56294,10.);
#16731=VECTOR('',#56301,10.);
#16732=VECTOR('',#56304,10.);
#16733=VECTOR('',#56311,10.);
#16734=VECTOR('',#56312,10.);
#16735=VECTOR('',#56313,10.);
#16736=VECTOR('',#56314,10.);
#16737=VECTOR('',#56317,10.);
#16738=VECTOR('',#56318,10.);
#16739=VECTOR('',#56319,10.);
#16740=VECTOR('',#56322,10.);
#16741=VECTOR('',#56325,10.);
#16742=VECTOR('',#56326,10.);
#16743=VECTOR('',#56327,10.);
#16744=VECTOR('',#56328,10.);
#16745=VECTOR('',#56329,10.);
#16746=VECTOR('',#56330,10.);
#16747=VECTOR('',#56331,10.);
#16748=VECTOR('',#56334,10.);
#16749=VECTOR('',#56335,10.);
#16750=VECTOR('',#56336,10.);
#16751=VECTOR('',#56339,10.);
#16752=VECTOR('',#56340,10.);
#16753=VECTOR('',#56341,10.);
#16754=VECTOR('',#56344,10.);
#16755=VECTOR('',#56345,10.);
#16756=VECTOR('',#56346,10.);
#16757=VECTOR('',#56349,10.);
#16758=VECTOR('',#56350,10.);
#16759=VECTOR('',#56351,10.);
#16760=VECTOR('',#56354,10.);
#16761=VECTOR('',#56357,10.);
#16762=VECTOR('',#56358,10.);
#16763=VECTOR('',#56359,10.);
#16764=VECTOR('',#56362,10.);
#16765=VECTOR('',#56363,10.);
#16766=VECTOR('',#56364,10.);
#16767=VECTOR('',#56367,10.);
#16768=VECTOR('',#56368,10.);
#16769=VECTOR('',#56369,10.);
#16770=VECTOR('',#56372,10.);
#16771=VECTOR('',#56373,10.);
#16772=VECTOR('',#56374,10.);
#16773=VECTOR('',#56377,10.);
#16774=VECTOR('',#56378,10.);
#16775=VECTOR('',#56379,10.);
#16776=VECTOR('',#56382,10.);
#16777=VECTOR('',#56383,10.);
#16778=VECTOR('',#56384,10.);
#16779=VECTOR('',#56387,10.);
#16780=VECTOR('',#56388,10.);
#16781=VECTOR('',#56389,10.);
#16782=VECTOR('',#56392,10.);
#16783=VECTOR('',#56393,10.);
#16784=VECTOR('',#56394,10.);
#16785=VECTOR('',#56397,10.);
#16786=VECTOR('',#56398,10.);
#16787=VECTOR('',#56399,10.);
#16788=VECTOR('',#56400,10.);
#16789=VECTOR('',#56403,10.);
#16790=VECTOR('',#56410,10.);
#16791=VECTOR('',#56411,10.);
#16792=VECTOR('',#56412,10.);
#16793=VECTOR('',#56415,10.);
#16794=VECTOR('',#56422,10.);
#16795=VECTOR('',#56423,10.);
#16796=VECTOR('',#56426,10.);
#16797=VECTOR('',#56427,10.);
#16798=VECTOR('',#56428,10.);
#16799=VECTOR('',#56429,10.);
#16800=VECTOR('',#56430,10.);
#16801=VECTOR('',#56433,10.);
#16802=VECTOR('',#56434,10.);
#16803=VECTOR('',#56437,10.);
#16804=VECTOR('',#56438,10.);
#16805=VECTOR('',#56439,10.);
#16806=VECTOR('',#56440,10.);
#16807=VECTOR('',#56441,10.);
#16808=VECTOR('',#56442,10.);
#16809=VECTOR('',#56443,10.);
#16810=VECTOR('',#56444,10.);
#16811=VECTOR('',#56445,10.);
#16812=VECTOR('',#56448,10.);
#16813=VECTOR('',#56451,10.);
#16814=VECTOR('',#56452,10.);
#16815=VECTOR('',#56453,10.);
#16816=VECTOR('',#56456,10.);
#16817=VECTOR('',#56457,10.);
#16818=VECTOR('',#56458,10.);
#16819=VECTOR('',#56461,10.);
#16820=VECTOR('',#56462,10.);
#16821=VECTOR('',#56465,10.);
#16822=VECTOR('',#56466,10.);
#16823=VECTOR('',#56467,10.);
#16824=VECTOR('',#56470,10.);
#16825=VECTOR('',#56477,10.);
#16826=VECTOR('',#56478,10.);
#16827=VECTOR('',#56479,10.);
#16828=VECTOR('',#56482,10.);
#16829=VECTOR('',#56489,10.);
#16830=VECTOR('',#56490,10.);
#16831=VECTOR('',#56493,10.);
#16832=VECTOR('',#56494,10.);
#16833=VECTOR('',#56495,10.);
#16834=VECTOR('',#56498,10.);
#16835=VECTOR('',#56499,10.);
#16836=VECTOR('',#56500,10.);
#16837=VECTOR('',#56501,10.);
#16838=VECTOR('',#56504,10.);
#16839=VECTOR('',#56505,10.);
#16840=VECTOR('',#56508,10.);
#16841=VECTOR('',#56509,10.);
#16842=VECTOR('',#56512,10.);
#16843=VECTOR('',#56513,10.);
#16844=VECTOR('',#56516,10.);
#16845=VECTOR('',#56517,10.);
#16846=VECTOR('',#56518,10.);
#16847=VECTOR('',#56521,10.);
#16848=VECTOR('',#56524,10.);
#16849=VECTOR('',#56525,10.);
#16850=VECTOR('',#56528,10.);
#16851=VECTOR('',#56529,10.);
#16852=VECTOR('',#56530,10.);
#16853=VECTOR('',#56533,10.);
#16854=VECTOR('',#56536,10.);
#16855=VECTOR('',#56537,10.);
#16856=VECTOR('',#56540,10.);
#16857=VECTOR('',#56541,10.);
#16858=VECTOR('',#56542,10.);
#16859=VECTOR('',#56545,10.);
#16860=VECTOR('',#56548,10.);
#16861=VECTOR('',#56549,10.);
#16862=VECTOR('',#56552,10.);
#16863=VECTOR('',#56553,10.);
#16864=VECTOR('',#56554,10.);
#16865=VECTOR('',#56557,10.);
#16866=VECTOR('',#56560,10.);
#16867=VECTOR('',#56561,10.);
#16868=VECTOR('',#56564,10.);
#16869=VECTOR('',#56565,10.);
#16870=VECTOR('',#56566,10.);
#16871=VECTOR('',#56569,10.);
#16872=VECTOR('',#56572,10.);
#16873=VECTOR('',#56573,10.);
#16874=VECTOR('',#56576,10.);
#16875=VECTOR('',#56577,10.);
#16876=VECTOR('',#56580,10.);
#16877=VECTOR('',#56581,10.);
#16878=VECTOR('',#56584,10.);
#16879=VECTOR('',#56585,10.);
#16880=VECTOR('',#56588,10.);
#16881=VECTOR('',#56589,10.);
#16882=VECTOR('',#56592,10.);
#16883=VECTOR('',#56593,10.);
#16884=VECTOR('',#56598,10.);
#16885=VECTOR('',#56601,10.);
#16886=VECTOR('',#56606,10.);
#16887=VECTOR('',#56609,10.);
#16888=VECTOR('',#56614,10.);
#16889=VECTOR('',#56617,10.);
#16890=VECTOR('',#56622,10.);
#16891=VECTOR('',#56625,10.);
#16892=VECTOR('',#56630,10.);
#16893=VECTOR('',#56633,10.);
#16894=VECTOR('',#56640,10.);
#16895=VECTOR('',#56641,10.);
#16896=VECTOR('',#56642,10.);
#16897=VECTOR('',#56643,10.);
#16898=VECTOR('',#56644,10.);
#16899=VECTOR('',#56645,10.);
#16900=VECTOR('',#56646,10.);
#16901=VECTOR('',#56653,10.);
#16902=VECTOR('',#56654,10.);
#16903=VECTOR('',#56657,10.);
#16904=VECTOR('',#56660,10.);
#16905=VECTOR('',#56661,10.);
#16906=VECTOR('',#56662,10.);
#16907=VECTOR('',#56663,10.);
#16908=VECTOR('',#56664,10.);
#16909=VECTOR('',#56665,10.);
#16910=VECTOR('',#56666,10.);
#16911=VECTOR('',#56675,10.);
#16912=VECTOR('',#56680,10.);
#16913=VECTOR('',#56683,10.);
#16914=VECTOR('',#56692,10.);
#16915=VECTOR('',#56699,10.);
#16916=VECTOR('',#56702,10.);
#16917=VECTOR('',#56703,10.);
#16918=VECTOR('',#56704,10.);
#16919=VECTOR('',#56705,10.);
#16920=VECTOR('',#56706,10.);
#16921=VECTOR('',#56707,10.);
#16922=VECTOR('',#56708,10.);
#16923=VECTOR('',#56709,10.);
#16924=VECTOR('',#56710,10.);
#16925=VECTOR('',#56711,10.);
#16926=VECTOR('',#56712,10.);
#16927=VECTOR('',#56713,10.);
#16928=VECTOR('',#56714,10.);
#16929=VECTOR('',#56715,10.);
#16930=VECTOR('',#56716,10.);
#16931=VECTOR('',#56717,10.);
#16932=VECTOR('',#56718,10.);
#16933=VECTOR('',#56719,10.);
#16934=VECTOR('',#56720,10.);
#16935=VECTOR('',#56721,10.);
#16936=VECTOR('',#56722,10.);
#16937=VECTOR('',#56723,10.);
#16938=VECTOR('',#56724,10.);
#16939=VECTOR('',#56725,10.);
#16940=VECTOR('',#56726,10.);
#16941=VECTOR('',#56727,10.);
#16942=VECTOR('',#56728,10.);
#16943=VECTOR('',#56729,10.);
#16944=VECTOR('',#56730,10.);
#16945=VECTOR('',#56731,10.);
#16946=VECTOR('',#56732,10.);
#16947=VECTOR('',#56733,10.);
#16948=VECTOR('',#56734,10.);
#16949=VECTOR('',#56735,10.);
#16950=VECTOR('',#56736,10.);
#16951=VECTOR('',#56737,10.);
#16952=VECTOR('',#56738,10.);
#16953=VECTOR('',#56739,10.);
#16954=VECTOR('',#56740,10.);
#16955=VECTOR('',#56741,10.);
#16956=VECTOR('',#56742,10.);
#16957=VECTOR('',#56743,10.);
#16958=VECTOR('',#56744,10.);
#16959=VECTOR('',#56745,10.);
#16960=VECTOR('',#56746,10.);
#16961=VECTOR('',#56747,10.);
#16962=VECTOR('',#56748,10.);
#16963=VECTOR('',#56749,10.);
#16964=VECTOR('',#56750,10.);
#16965=VECTOR('',#56753,10.);
#16966=VECTOR('',#56756,10.);
#16967=VECTOR('',#56759,10.);
#16968=VECTOR('',#56762,10.);
#16969=VECTOR('',#56765,10.);
#16970=VECTOR('',#56768,10.);
#16971=VECTOR('',#56771,10.);
#16972=VECTOR('',#56774,10.);
#16973=VECTOR('',#56777,10.);
#16974=VECTOR('',#56780,10.);
#16975=VECTOR('',#56783,10.);
#16976=VECTOR('',#56786,10.);
#16977=VECTOR('',#56789,10.);
#16978=VECTOR('',#56792,10.);
#16979=VECTOR('',#56795,10.);
#16980=VECTOR('',#56798,10.);
#16981=VECTOR('',#56801,10.);
#16982=VECTOR('',#56804,10.);
#16983=VECTOR('',#56807,10.);
#16984=VECTOR('',#56810,10.);
#16985=VECTOR('',#56813,10.);
#16986=VECTOR('',#56816,10.);
#16987=VECTOR('',#56819,10.);
#16988=VECTOR('',#56822,10.);
#16989=VECTOR('',#56825,10.);
#16990=VECTOR('',#56828,10.);
#16991=VECTOR('',#56835,10.);
#16992=VECTOR('',#56838,10.);
#16993=VECTOR('',#56843,10.);
#16994=VECTOR('',#56844,10.);
#16995=VECTOR('',#56845,10.);
#16996=VECTOR('',#56848,10.);
#16997=VECTOR('',#56849,10.);
#16998=VECTOR('',#56850,10.);
#16999=VECTOR('',#56851,10.);
#17000=VECTOR('',#56852,10.);
#17001=VECTOR('',#56853,10.);
#17002=VECTOR('',#56854,10.);
#17003=VECTOR('',#56857,10.);
#17004=VECTOR('',#56858,10.);
#17005=VECTOR('',#56859,10.);
#17006=VECTOR('',#56860,10.);
#17007=VECTOR('',#56861,10.);
#17008=VECTOR('',#56862,10.);
#17009=VECTOR('',#56863,10.);
#17010=VECTOR('',#56866,10.);
#17011=VECTOR('',#56867,10.);
#17012=VECTOR('',#56870,10.);
#17013=VECTOR('',#56871,10.);
#17014=VECTOR('',#56874,10.);
#17015=VECTOR('',#56875,10.);
#17016=VECTOR('',#56876,10.);
#17017=VECTOR('',#56877,10.);
#17018=VECTOR('',#56878,10.);
#17019=VECTOR('',#56879,10.);
#17020=VECTOR('',#56880,10.);
#17021=VECTOR('',#56881,10.);
#17022=VECTOR('',#56882,10.);
#17023=VECTOR('',#56885,10.);
#17024=VECTOR('',#56886,10.);
#17025=VECTOR('',#56887,10.);
#17026=VECTOR('',#56888,10.);
#17027=VECTOR('',#56889,10.);
#17028=VECTOR('',#56892,10.);
#17029=VECTOR('',#56893,10.);
#17030=VECTOR('',#56896,10.);
#17031=VECTOR('',#56897,10.);
#17032=VECTOR('',#56900,10.);
#17033=VECTOR('',#56901,10.);
#17034=VECTOR('',#56904,10.);
#17035=VECTOR('',#56907,10.);
#17036=VECTOR('',#56908,10.);
#17037=VECTOR('',#56909,10.);
#17038=VECTOR('',#56910,10.);
#17039=VECTOR('',#56911,10.);
#17040=VECTOR('',#56912,10.);
#17041=VECTOR('',#56915,10.);
#17042=VECTOR('',#56916,10.);
#17043=VECTOR('',#56919,10.);
#17044=VECTOR('',#56920,10.);
#17045=VECTOR('',#56923,10.);
#17046=VECTOR('',#56924,10.);
#17047=VECTOR('',#56925,10.);
#17048=VECTOR('',#56926,10.);
#17049=VECTOR('',#56927,10.);
#17050=VECTOR('',#56928,10.);
#17051=VECTOR('',#56931,10.);
#17052=VECTOR('',#56932,10.);
#17053=VECTOR('',#56933,10.);
#17054=VECTOR('',#56934,10.);
#17055=VECTOR('',#56935,10.);
#17056=VECTOR('',#56938,10.);
#17057=VECTOR('',#56939,10.);
#17058=VECTOR('',#56940,10.);
#17059=VECTOR('',#56941,10.);
#17060=VECTOR('',#56942,10.);
#17061=VECTOR('',#56945,10.);
#17062=VECTOR('',#56946,10.);
#17063=VECTOR('',#56947,10.);
#17064=VECTOR('',#56948,10.);
#17065=VECTOR('',#56949,10.);
#17066=VECTOR('',#56950,10.);
#17067=VECTOR('',#56953,10.);
#17068=VECTOR('',#56954,10.);
#17069=VECTOR('',#56957,10.);
#17070=VECTOR('',#56958,10.);
#17071=VECTOR('',#56961,10.);
#17072=VECTOR('',#56962,10.);
#17073=VECTOR('',#56963,10.);
#17074=VECTOR('',#56964,10.);
#17075=VECTOR('',#56965,10.);
#17076=VECTOR('',#56966,10.);
#17077=VECTOR('',#56967,10.);
#17078=VECTOR('',#56968,10.);
#17079=VECTOR('',#56969,10.);
#17080=VECTOR('',#56970,10.);
#17081=VECTOR('',#56973,10.);
#17082=VECTOR('',#56974,10.);
#17083=VECTOR('',#56977,10.);
#17084=VECTOR('',#56978,10.);
#17085=VECTOR('',#56981,10.);
#17086=VECTOR('',#56982,10.);
#17087=VECTOR('',#56983,10.);
#17088=VECTOR('',#56984,10.);
#17089=VECTOR('',#56985,10.);
#17090=VECTOR('',#56988,10.);
#17091=VECTOR('',#56989,10.);
#17092=VECTOR('',#56992,10.);
#17093=VECTOR('',#56993,10.);
#17094=VECTOR('',#56996,10.);
#17095=VECTOR('',#56997,10.);
#17096=VECTOR('',#57000,10.);
#17097=VECTOR('',#57003,10.);
#17098=VECTOR('',#57004,10.);
#17099=VECTOR('',#57005,10.);
#17100=VECTOR('',#57006,10.);
#17101=VECTOR('',#57007,10.);
#17102=VECTOR('',#57008,10.);
#17103=VECTOR('',#57009,10.);
#17104=VECTOR('',#57010,10.);
#17105=VECTOR('',#57011,10.);
#17106=VECTOR('',#57014,10.);
#17107=VECTOR('',#57015,10.);
#17108=VECTOR('',#57018,10.);
#17109=VECTOR('',#57019,10.);
#17110=VECTOR('',#57022,10.);
#17111=VECTOR('',#57023,10.);
#17112=VECTOR('',#57024,10.);
#17113=VECTOR('',#57025,10.);
#17114=VECTOR('',#57026,10.);
#17115=VECTOR('',#57027,10.);
#17116=VECTOR('',#57028,10.);
#17117=VECTOR('',#57029,10.);
#17118=VECTOR('',#57032,10.);
#17119=VECTOR('',#57033,10.);
#17120=VECTOR('',#57036,10.);
#17121=VECTOR('',#57037,10.);
#17122=VECTOR('',#57040,10.);
#17123=VECTOR('',#57041,10.);
#17124=VECTOR('',#57044,10.);
#17125=VECTOR('',#57049,10.);
#17126=VECTOR('',#57050,10.);
#17127=VECTOR('',#57051,10.);
#17128=VECTOR('',#57052,10.);
#17129=VECTOR('',#57055,10.);
#17130=VECTOR('',#57056,10.);
#17131=VECTOR('',#57057,10.);
#17132=VECTOR('',#57060,10.);
#17133=VECTOR('',#57061,10.);
#17134=VECTOR('',#57064,10.);
#17135=VECTOR('',#57065,10.);
#17136=VECTOR('',#57066,10.);
#17137=VECTOR('',#57069,10.);
#17138=VECTOR('',#57070,10.);
#17139=VECTOR('',#57073,10.);
#17140=VECTOR('',#57074,10.);
#17141=VECTOR('',#57077,10.);
#17142=VECTOR('',#57078,10.);
#17143=VECTOR('',#57081,10.);
#17144=VECTOR('',#57082,10.);
#17145=VECTOR('',#57085,10.);
#17146=VECTOR('',#57086,10.);
#17147=VECTOR('',#57089,10.);
#17148=VECTOR('',#57090,10.);
#17149=VECTOR('',#57093,10.);
#17150=VECTOR('',#57094,10.);
#17151=VECTOR('',#57097,10.);
#17152=VECTOR('',#57098,10.);
#17153=VECTOR('',#57101,10.);
#17154=VECTOR('',#57102,10.);
#17155=VECTOR('',#57105,10.);
#17156=VECTOR('',#57108,10.);
#17157=VECTOR('',#57109,10.);
#17158=VECTOR('',#57110,10.);
#17159=VECTOR('',#57111,10.);
#17160=VECTOR('',#57112,10.);
#17161=VECTOR('',#57115,10.);
#17162=VECTOR('',#57116,10.);
#17163=VECTOR('',#57117,10.);
#17164=VECTOR('',#57118,10.);
#17165=VECTOR('',#57119,10.);
#17166=VECTOR('',#57120,10.);
#17167=VECTOR('',#57121,10.);
#17168=VECTOR('',#57124,10.);
#17169=VECTOR('',#57125,10.);
#17170=VECTOR('',#57126,10.);
#17171=VECTOR('',#57127,10.);
#17172=VECTOR('',#57128,10.);
#17173=VECTOR('',#57129,10.);
#17174=VECTOR('',#57130,10.);
#17175=VECTOR('',#57131,10.);
#17176=VECTOR('',#57132,10.);
#17177=VECTOR('',#57135,10.);
#17178=VECTOR('',#57136,10.);
#17179=VECTOR('',#57137,10.);
#17180=VECTOR('',#57138,10.);
#17181=VECTOR('',#57139,10.);
#17182=VECTOR('',#57140,10.);
#17183=VECTOR('',#57141,10.);
#17184=VECTOR('',#57142,10.);
#17185=VECTOR('',#57143,10.);
#17186=VECTOR('',#57144,10.);
#17187=VECTOR('',#57147,10.);
#17188=VECTOR('',#57148,10.);
#17189=VECTOR('',#57149,10.);
#17190=VECTOR('',#57150,10.);
#17191=VECTOR('',#57151,10.);
#17192=VECTOR('',#57152,10.);
#17193=VECTOR('',#57153,10.);
#17194=VECTOR('',#57156,10.);
#17195=VECTOR('',#57157,10.);
#17196=VECTOR('',#57158,10.);
#17197=VECTOR('',#57159,10.);
#17198=VECTOR('',#57160,10.);
#17199=VECTOR('',#57161,10.);
#17200=VECTOR('',#57162,10.);
#17201=VECTOR('',#57163,10.);
#17202=VECTOR('',#57166,10.);
#17203=VECTOR('',#57167,10.);
#17204=VECTOR('',#57198,10.);
#17205=VECTOR('',#57201,10.);
#17206=VECTOR('',#57206,10.);
#17207=VECTOR('',#57209,10.);
#17208=VECTOR('',#57212,10.);
#17209=VECTOR('',#57215,10.);
#17210=VECTOR('',#57218,10.);
#17211=VECTOR('',#57221,10.);
#17212=VECTOR('',#57222,10.);
#17213=VECTOR('',#57235,10.);
#17214=VECTOR('',#57236,10.);
#17215=VECTOR('',#57239,10.);
#17216=VECTOR('',#57240,10.);
#17217=VECTOR('',#57241,10.);
#17218=VECTOR('',#57242,10.);
#17219=VECTOR('',#57243,10.);
#17220=VECTOR('',#57244,10.);
#17221=VECTOR('',#57245,10.);
#17222=VECTOR('',#57246,10.);
#17223=VECTOR('',#57247,10.);
#17224=VECTOR('',#57248,10.);
#17225=VECTOR('',#57249,10.);
#17226=VECTOR('',#57250,10.);
#17227=VECTOR('',#57251,10.);
#17228=VECTOR('',#57254,10.);
#17229=VECTOR('',#57257,10.);
#17230=VECTOR('',#57260,10.);
#17231=VECTOR('',#57263,10.);
#17232=VECTOR('',#57266,10.);
#17233=VECTOR('',#57269,10.);
#17234=VECTOR('',#57272,10.);
#17235=VECTOR('',#57275,10.);
#17236=VECTOR('',#57278,10.);
#17237=VECTOR('',#57281,10.);
#17238=VECTOR('',#57284,10.);
#17239=VECTOR('',#57287,10.);
#17240=VECTOR('',#57290,10.);
#17241=VECTOR('',#57293,10.);
#17242=VECTOR('',#57296,10.);
#17243=VECTOR('',#57299,10.);
#17244=VECTOR('',#57302,10.);
#17245=VECTOR('',#57305,10.);
#17246=VECTOR('',#57308,10.);
#17247=VECTOR('',#57311,10.);
#17248=VECTOR('',#57314,10.);
#17249=VECTOR('',#57317,10.);
#17250=VECTOR('',#57320,10.);
#17251=VECTOR('',#57323,10.);
#17252=VECTOR('',#57324,10.);
#17253=VECTOR('',#57325,10.);
#17254=VECTOR('',#57326,10.);
#17255=VECTOR('',#57327,10.);
#17256=VECTOR('',#57328,10.);
#17257=VECTOR('',#57329,10.);
#17258=VECTOR('',#57330,10.);
#17259=VECTOR('',#57331,10.);
#17260=VECTOR('',#57332,10.);
#17261=VECTOR('',#57337,10.);
#17262=VECTOR('',#57342,10.);
#17263=VECTOR('',#57349,10.);
#17264=VECTOR('',#57354,10.);
#17265=VECTOR('',#57359,10.);
#17266=VECTOR('',#57366,10.);
#17267=VECTOR('',#57371,10.);
#17268=VECTOR('',#57376,10.);
#17269=VECTOR('',#57383,10.);
#17270=VECTOR('',#57388,10.);
#17271=VECTOR('',#57393,10.);
#17272=VECTOR('',#57400,10.);
#17273=VECTOR('',#57405,10.);
#17274=VECTOR('',#57410,10.);
#17275=VECTOR('',#57417,10.);
#17276=VECTOR('',#57422,2.);
#17277=VECTOR('',#57425,10.);
#17278=VECTOR('',#57426,10.);
#17279=VECTOR('',#57427,10.);
#17280=VECTOR('',#57430,10.);
#17281=VECTOR('',#57431,10.);
#17282=VECTOR('',#57434,10.);
#17283=VECTOR('',#57435,10.);
#17284=VECTOR('',#57438,10.);
#17285=VECTOR('',#57445,2.);
#17286=VECTOR('',#57448,10.);
#17287=VECTOR('',#57449,10.);
#17288=VECTOR('',#57450,10.);
#17289=VECTOR('',#57453,10.);
#17290=VECTOR('',#57454,10.);
#17291=VECTOR('',#57457,10.);
#17292=VECTOR('',#57458,10.);
#17293=VECTOR('',#57461,10.);
#17294=VECTOR('',#57468,2.);
#17295=VECTOR('',#57471,10.);
#17296=VECTOR('',#57472,10.);
#17297=VECTOR('',#57473,10.);
#17298=VECTOR('',#57476,10.);
#17299=VECTOR('',#57477,10.);
#17300=VECTOR('',#57480,10.);
#17301=VECTOR('',#57481,10.);
#17302=VECTOR('',#57484,10.);
#17303=VECTOR('',#57491,2.);
#17304=VECTOR('',#57494,10.);
#17305=VECTOR('',#57495,10.);
#17306=VECTOR('',#57496,10.);
#17307=VECTOR('',#57499,10.);
#17308=VECTOR('',#57500,10.);
#17309=VECTOR('',#57503,10.);
#17310=VECTOR('',#57504,10.);
#17311=VECTOR('',#57507,10.);
#17312=VECTOR('',#57514,2.);
#17313=VECTOR('',#57517,10.);
#17314=VECTOR('',#57518,10.);
#17315=VECTOR('',#57519,10.);
#17316=VECTOR('',#57522,10.);
#17317=VECTOR('',#57523,10.);
#17318=VECTOR('',#57526,10.);
#17319=VECTOR('',#57527,10.);
#17320=VECTOR('',#57530,10.);
#17321=VECTOR('',#57537,2.);
#17322=VECTOR('',#57540,10.);
#17323=VECTOR('',#57541,10.);
#17324=VECTOR('',#57542,10.);
#17325=VECTOR('',#57545,10.);
#17326=VECTOR('',#57546,10.);
#17327=VECTOR('',#57549,10.);
#17328=VECTOR('',#57550,10.);
#17329=VECTOR('',#57553,10.);
#17330=VECTOR('',#57558,10.);
#17331=VECTOR('',#57559,10.);
#17332=VECTOR('',#57564,10.);
#17333=VECTOR('',#57569,1.6);
#17334=VECTOR('',#57574,10.);
#17335=VECTOR('',#57575,10.);
#17336=VECTOR('',#57578,10.);
#17337=VECTOR('',#57583,10.);
#17338=VECTOR('',#57584,10.);
#17339=VECTOR('',#57589,10.);
#17340=VECTOR('',#57594,1.6);
#17341=VECTOR('',#57603,10.);
#17342=VECTOR('',#57610,10.);
#17343=VECTOR('',#57611,10.);
#17344=VECTOR('',#57612,10.);
#17345=VECTOR('',#57617,10.);
#17346=VECTOR('',#57622,1.6);
#17347=VECTOR('',#57627,10.);
#17348=VECTOR('',#57630,10.);
#17349=VECTOR('',#57633,10.);
#17350=VECTOR('',#57634,10.);
#17351=VECTOR('',#57641,10.);
#17352=VECTOR('',#57646,10.);
#17353=VECTOR('',#57651,1.6);
#17354=VECTOR('',#57664,10.);
#17355=VECTOR('',#57665,10.);
#17356=VECTOR('',#57668,10.);
#17357=VECTOR('',#57671,10.);
#17358=VECTOR('',#57674,10.);
#17359=VECTOR('',#57677,10.);
#17360=VECTOR('',#57680,10.);
#17361=VECTOR('',#57683,10.);
#17362=VECTOR('',#57688,10.);
#17363=VECTOR('',#57689,10.);
#17364=VECTOR('',#57692,10.);
#17365=VECTOR('',#57695,10.);
#17366=VECTOR('',#57698,10.);
#17367=VECTOR('',#57701,10.);
#17368=VECTOR('',#57704,10.);
#17369=VECTOR('',#57707,10.);
#17370=VECTOR('',#57712,10.);
#17371=VECTOR('',#57713,10.);
#17372=VECTOR('',#57716,10.);
#17373=VECTOR('',#57719,10.);
#17374=VECTOR('',#57722,10.);
#17375=VECTOR('',#57725,10.);
#17376=VECTOR('',#57728,10.);
#17377=VECTOR('',#57731,10.);
#17378=VECTOR('',#57736,10.);
#17379=VECTOR('',#57737,10.);
#17380=VECTOR('',#57740,10.);
#17381=VECTOR('',#57743,10.);
#17382=VECTOR('',#57746,10.);
#17383=VECTOR('',#57749,10.);
#17384=VECTOR('',#57752,10.);
#17385=VECTOR('',#57755,10.);
#17386=VECTOR('',#57760,10.);
#17387=VECTOR('',#57761,10.);
#17388=VECTOR('',#57764,10.);
#17389=VECTOR('',#57767,10.);
#17390=VECTOR('',#57770,10.);
#17391=VECTOR('',#57773,10.);
#17392=VECTOR('',#57776,10.);
#17393=VECTOR('',#57779,10.);
#17394=VECTOR('',#57784,10.);
#17395=VECTOR('',#57785,10.);
#17396=VECTOR('',#57788,10.);
#17397=VECTOR('',#57791,10.);
#17398=VECTOR('',#57794,10.);
#17399=VECTOR('',#57797,10.);
#17400=VECTOR('',#57800,10.);
#17401=VECTOR('',#57803,10.);
#17402=VERTEX_POINT('',#57837);
#17403=VERTEX_POINT('',#57838);
#17404=VERTEX_POINT('',#57840);
#17405=VERTEX_POINT('',#57842);
#17406=VERTEX_POINT('',#57846);
#17407=VERTEX_POINT('',#57848);
#17408=VERTEX_POINT('',#57852);
#17409=VERTEX_POINT('',#57854);
#17410=VERTEX_POINT('',#57863);
#17411=VERTEX_POINT('',#57864);
#17412=VERTEX_POINT('',#57866);
#17413=VERTEX_POINT('',#57868);
#17414=VERTEX_POINT('',#57872);
#17415=VERTEX_POINT('',#57874);
#17416=VERTEX_POINT('',#57878);
#17417=VERTEX_POINT('',#57880);
#17418=VERTEX_POINT('',#57888);
#17419=VERTEX_POINT('',#57889);
#17420=VERTEX_POINT('',#57891);
#17421=VERTEX_POINT('',#57893);
#17422=VERTEX_POINT('',#57897);
#17423=VERTEX_POINT('',#57899);
#17424=VERTEX_POINT('',#57903);
#17425=VERTEX_POINT('',#57905);
#17426=VERTEX_POINT('',#57914);
#17427=VERTEX_POINT('',#57915);
#17428=VERTEX_POINT('',#57917);
#17429=VERTEX_POINT('',#57919);
#17430=VERTEX_POINT('',#57923);
#17431=VERTEX_POINT('',#57925);
#17432=VERTEX_POINT('',#57929);
#17433=VERTEX_POINT('',#57931);
#17434=VERTEX_POINT('',#57940);
#17435=VERTEX_POINT('',#57941);
#17436=VERTEX_POINT('',#57943);
#17437=VERTEX_POINT('',#57945);
#17438=VERTEX_POINT('',#57949);
#17439=VERTEX_POINT('',#57951);
#17440=VERTEX_POINT('',#57955);
#17441=VERTEX_POINT('',#57957);
#17442=VERTEX_POINT('',#57966);
#17443=VERTEX_POINT('',#57967);
#17444=VERTEX_POINT('',#57969);
#17445=VERTEX_POINT('',#57971);
#17446=VERTEX_POINT('',#57975);
#17447=VERTEX_POINT('',#57977);
#17448=VERTEX_POINT('',#57981);
#17449=VERTEX_POINT('',#57983);
#17450=VERTEX_POINT('',#57992);
#17451=VERTEX_POINT('',#57993);
#17452=VERTEX_POINT('',#57995);
#17453=VERTEX_POINT('',#57997);
#17454=VERTEX_POINT('',#58001);
#17455=VERTEX_POINT('',#58003);
#17456=VERTEX_POINT('',#58007);
#17457=VERTEX_POINT('',#58009);
#17458=VERTEX_POINT('',#58018);
#17459=VERTEX_POINT('',#58019);
#17460=VERTEX_POINT('',#58021);
#17461=VERTEX_POINT('',#58023);
#17462=VERTEX_POINT('',#58027);
#17463=VERTEX_POINT('',#58029);
#17464=VERTEX_POINT('',#58033);
#17465=VERTEX_POINT('',#58035);
#17466=VERTEX_POINT('',#58044);
#17467=VERTEX_POINT('',#58045);
#17468=VERTEX_POINT('',#58047);
#17469=VERTEX_POINT('',#58049);
#17470=VERTEX_POINT('',#58053);
#17471=VERTEX_POINT('',#58055);
#17472=VERTEX_POINT('',#58059);
#17473=VERTEX_POINT('',#58061);
#17474=VERTEX_POINT('',#58073);
#17475=VERTEX_POINT('',#58075);
#17476=VERTEX_POINT('',#58080);
#17477=VERTEX_POINT('',#58082);
#17478=VERTEX_POINT('',#58087);
#17479=VERTEX_POINT('',#58089);
#17480=VERTEX_POINT('',#58094);
#17481=VERTEX_POINT('',#58096);
#17482=VERTEX_POINT('',#58101);
#17483=VERTEX_POINT('',#58103);
#17484=VERTEX_POINT('',#58108);
#17485=VERTEX_POINT('',#58110);
#17486=VERTEX_POINT('',#58114);
#17487=VERTEX_POINT('',#58115);
#17488=VERTEX_POINT('',#58119);
#17489=VERTEX_POINT('',#58120);
#17490=VERTEX_POINT('',#58124);
#17491=VERTEX_POINT('',#58126);
#17492=VERTEX_POINT('',#58133);
#17493=VERTEX_POINT('',#58135);
#17494=VERTEX_POINT('',#58139);
#17495=VERTEX_POINT('',#58141);
#17496=VERTEX_POINT('',#58145);
#17497=VERTEX_POINT('',#58146);
#17498=VERTEX_POINT('',#58148);
#17499=VERTEX_POINT('',#58150);
#17500=VERTEX_POINT('',#58154);
#17501=VERTEX_POINT('',#58156);
#17502=VERTEX_POINT('',#58160);
#17503=VERTEX_POINT('',#58162);
#17504=VERTEX_POINT('',#58166);
#17505=VERTEX_POINT('',#58168);
#17506=VERTEX_POINT('',#58172);
#17507=VERTEX_POINT('',#58174);
#17508=VERTEX_POINT('',#58186);
#17509=VERTEX_POINT('',#58187);
#17510=VERTEX_POINT('',#58189);
#17511=VERTEX_POINT('',#58191);
#17512=VERTEX_POINT('',#58195);
#17513=VERTEX_POINT('',#58197);
#17514=VERTEX_POINT('',#58201);
#17515=VERTEX_POINT('',#58203);
#17516=VERTEX_POINT('',#58212);
#17517=VERTEX_POINT('',#58213);
#17518=VERTEX_POINT('',#58215);
#17519=VERTEX_POINT('',#58217);
#17520=VERTEX_POINT('',#58221);
#17521=VERTEX_POINT('',#58223);
#17522=VERTEX_POINT('',#58227);
#17523=VERTEX_POINT('',#58229);
#17524=VERTEX_POINT('',#58238);
#17525=VERTEX_POINT('',#58239);
#17526=VERTEX_POINT('',#58241);
#17527=VERTEX_POINT('',#58243);
#17528=VERTEX_POINT('',#58247);
#17529=VERTEX_POINT('',#58249);
#17530=VERTEX_POINT('',#58253);
#17531=VERTEX_POINT('',#58255);
#17532=VERTEX_POINT('',#58264);
#17533=VERTEX_POINT('',#58265);
#17534=VERTEX_POINT('',#58267);
#17535=VERTEX_POINT('',#58269);
#17536=VERTEX_POINT('',#58273);
#17537=VERTEX_POINT('',#58275);
#17538=VERTEX_POINT('',#58279);
#17539=VERTEX_POINT('',#58281);
#17540=VERTEX_POINT('',#58290);
#17541=VERTEX_POINT('',#58291);
#17542=VERTEX_POINT('',#58293);
#17543=VERTEX_POINT('',#58295);
#17544=VERTEX_POINT('',#58299);
#17545=VERTEX_POINT('',#58301);
#17546=VERTEX_POINT('',#58305);
#17547=VERTEX_POINT('',#58307);
#17548=VERTEX_POINT('',#58319);
#17549=VERTEX_POINT('',#58320);
#17550=VERTEX_POINT('',#58322);
#17551=VERTEX_POINT('',#58326);
#17552=VERTEX_POINT('',#58327);
#17553=VERTEX_POINT('',#58329);
#17554=VERTEX_POINT('',#58337);
#17555=VERTEX_POINT('',#58338);
#17556=VERTEX_POINT('',#58342);
#17557=VERTEX_POINT('',#58343);
#17558=VERTEX_POINT('',#58348);
#17559=VERTEX_POINT('',#58349);
#17560=VERTEX_POINT('',#58352);
#17561=VERTEX_POINT('',#58353);
#17562=VERTEX_POINT('',#58357);
#17563=VERTEX_POINT('',#58359);
#17564=VERTEX_POINT('',#58365);
#17565=VERTEX_POINT('',#58367);
#17566=VERTEX_POINT('',#58371);
#17567=VERTEX_POINT('',#58373);
#17568=VERTEX_POINT('',#58380);
#17569=VERTEX_POINT('',#58382);
#17570=VERTEX_POINT('',#58388);
#17571=VERTEX_POINT('',#58389);
#17572=VERTEX_POINT('',#58491);
#17573=VERTEX_POINT('',#58493);
#17574=VERTEX_POINT('',#58551);
#17575=VERTEX_POINT('',#58553);
#17576=VERTEX_POINT('',#58568);
#17577=VERTEX_POINT('',#58570);
#17578=VERTEX_POINT('',#58572);
#17579=VERTEX_POINT('',#58604);
#17580=VERTEX_POINT('',#58606);
#17581=VERTEX_POINT('',#58638);
#17582=VERTEX_POINT('',#58737);
#17583=VERTEX_POINT('',#58739);
#17584=VERTEX_POINT('',#58784);
#17585=VERTEX_POINT('',#58831);
#17586=VERTEX_POINT('',#58835);
#17587=VERTEX_POINT('',#58836);
#17588=VERTEX_POINT('',#59031);
#17589=VERTEX_POINT('',#59033);
#17590=VERTEX_POINT('',#59040);
#17591=VERTEX_POINT('',#59042);
#17592=VERTEX_POINT('',#59048);
#17593=VERTEX_POINT('',#59050);
#17594=VERTEX_POINT('',#59052);
#17595=VERTEX_POINT('',#59054);
#17596=VERTEX_POINT('',#59056);
#17597=VERTEX_POINT('',#59058);
#17598=VERTEX_POINT('',#59060);
#17599=VERTEX_POINT('',#59062);
#17600=VERTEX_POINT('',#59064);
#17601=VERTEX_POINT('',#59066);
#17602=VERTEX_POINT('',#59068);
#17603=VERTEX_POINT('',#59070);
#17604=VERTEX_POINT('',#59072);
#17605=VERTEX_POINT('',#59074);
#17606=VERTEX_POINT('',#59078);
#17607=VERTEX_POINT('',#59080);
#17608=VERTEX_POINT('',#59082);
#17609=VERTEX_POINT('',#59084);
#17610=VERTEX_POINT('',#59088);
#17611=VERTEX_POINT('',#59092);
#17612=VERTEX_POINT('',#59096);
#17613=VERTEX_POINT('',#59100);
#17614=VERTEX_POINT('',#59104);
#17615=VERTEX_POINT('',#59107);
#17616=VERTEX_POINT('',#59113);
#17617=VERTEX_POINT('',#59119);
#17618=VERTEX_POINT('',#59132);
#17619=VERTEX_POINT('',#59133);
#17620=VERTEX_POINT('',#59135);
#17621=VERTEX_POINT('',#59137);
#17622=VERTEX_POINT('',#59141);
#17623=VERTEX_POINT('',#59143);
#17624=VERTEX_POINT('',#59147);
#17625=VERTEX_POINT('',#59148);
#17626=VERTEX_POINT('',#59153);
#17627=VERTEX_POINT('',#59155);
#17628=VERTEX_POINT('',#59157);
#17629=VERTEX_POINT('',#59159);
#17630=VERTEX_POINT('',#59161);
#17631=VERTEX_POINT('',#59164);
#17632=VERTEX_POINT('',#59165);
#17633=VERTEX_POINT('',#59168);
#17634=VERTEX_POINT('',#59169);
#17635=VERTEX_POINT('',#59173);
#17636=VERTEX_POINT('',#59179);
#17637=VERTEX_POINT('',#59183);
#17638=VERTEX_POINT('',#59185);
#17639=VERTEX_POINT('',#59191);
#17640=VERTEX_POINT('',#59195);
#17641=VERTEX_POINT('',#59199);
#17642=VERTEX_POINT('',#59205);
#17643=VERTEX_POINT('',#59207);
#17644=VERTEX_POINT('',#59211);
#17645=VERTEX_POINT('',#59213);
#17646=VERTEX_POINT('',#59231);
#17647=VERTEX_POINT('',#59232);
#17648=VERTEX_POINT('',#59234);
#17649=VERTEX_POINT('',#59236);
#17650=VERTEX_POINT('',#59240);
#17651=VERTEX_POINT('',#59242);
#17652=VERTEX_POINT('',#59246);
#17653=VERTEX_POINT('',#59248);
#17654=VERTEX_POINT('',#59252);
#17655=VERTEX_POINT('',#59254);
#17656=VERTEX_POINT('',#59256);
#17657=VERTEX_POINT('',#59258);
#17658=VERTEX_POINT('',#59260);
#17659=VERTEX_POINT('',#59262);
#17660=VERTEX_POINT('',#59264);
#17661=VERTEX_POINT('',#59266);
#17662=VERTEX_POINT('',#59270);
#17663=VERTEX_POINT('',#59272);
#17664=VERTEX_POINT('',#59274);
#17665=VERTEX_POINT('',#59276);
#17666=VERTEX_POINT('',#59278);
#17667=VERTEX_POINT('',#59280);
#17668=VERTEX_POINT('',#59282);
#17669=VERTEX_POINT('',#59284);
#17670=VERTEX_POINT('',#59288);
#17671=VERTEX_POINT('',#59289);
#17672=VERTEX_POINT('',#59291);
#17673=VERTEX_POINT('',#59294);
#17674=VERTEX_POINT('',#59296);
#17675=VERTEX_POINT('',#59298);
#17676=VERTEX_POINT('',#59300);
#17677=VERTEX_POINT('',#59302);
#17678=VERTEX_POINT('',#59304);
#17679=VERTEX_POINT('',#59306);
#17680=VERTEX_POINT('',#59308);
#17681=VERTEX_POINT('',#59310);
#17682=VERTEX_POINT('',#59312);
#17683=VERTEX_POINT('',#59314);
#17684=VERTEX_POINT('',#59316);
#17685=VERTEX_POINT('',#59318);
#17686=VERTEX_POINT('',#59320);
#17687=VERTEX_POINT('',#59322);
#17688=VERTEX_POINT('',#59324);
#17689=VERTEX_POINT('',#59326);
#17690=VERTEX_POINT('',#59328);
#17691=VERTEX_POINT('',#59330);
#17692=VERTEX_POINT('',#59332);
#17693=VERTEX_POINT('',#59334);
#17694=VERTEX_POINT('',#59336);
#17695=VERTEX_POINT('',#59338);
#17696=VERTEX_POINT('',#59344);
#17697=VERTEX_POINT('',#59348);
#17698=VERTEX_POINT('',#59350);
#17699=VERTEX_POINT('',#59366);
#17700=VERTEX_POINT('',#59370);
#17701=VERTEX_POINT('',#59372);
#17702=VERTEX_POINT('',#59374);
#17703=VERTEX_POINT('',#59376);
#17704=VERTEX_POINT('',#59378);
#17705=VERTEX_POINT('',#59380);
#17706=VERTEX_POINT('',#59384);
#17707=VERTEX_POINT('',#59388);
#17708=VERTEX_POINT('',#59392);
#17709=VERTEX_POINT('',#59394);
#17710=VERTEX_POINT('',#59398);
#17711=VERTEX_POINT('',#59400);
#17712=VERTEX_POINT('',#59404);
#17713=VERTEX_POINT('',#59406);
#17714=VERTEX_POINT('',#59410);
#17715=VERTEX_POINT('',#59412);
#17716=VERTEX_POINT('',#59416);
#17717=VERTEX_POINT('',#59418);
#17718=VERTEX_POINT('',#59422);
#17719=VERTEX_POINT('',#59424);
#17720=VERTEX_POINT('',#59428);
#17721=VERTEX_POINT('',#59430);
#17722=VERTEX_POINT('',#59434);
#17723=VERTEX_POINT('',#59436);
#17724=VERTEX_POINT('',#59440);
#17725=VERTEX_POINT('',#59442);
#17726=VERTEX_POINT('',#59446);
#17727=VERTEX_POINT('',#59448);
#17728=VERTEX_POINT('',#59452);
#17729=VERTEX_POINT('',#59454);
#17730=VERTEX_POINT('',#59458);
#17731=VERTEX_POINT('',#59460);
#17732=VERTEX_POINT('',#59464);
#17733=VERTEX_POINT('',#59466);
#17734=VERTEX_POINT('',#59470);
#17735=VERTEX_POINT('',#59472);
#17736=VERTEX_POINT('',#59476);
#17737=VERTEX_POINT('',#59478);
#17738=VERTEX_POINT('',#59482);
#17739=VERTEX_POINT('',#59484);
#17740=VERTEX_POINT('',#59488);
#17741=VERTEX_POINT('',#59490);
#17742=VERTEX_POINT('',#59494);
#17743=VERTEX_POINT('',#59496);
#17744=VERTEX_POINT('',#59500);
#17745=VERTEX_POINT('',#59502);
#17746=VERTEX_POINT('',#59506);
#17747=VERTEX_POINT('',#59508);
#17748=VERTEX_POINT('',#59512);
#17749=VERTEX_POINT('',#59514);
#17750=VERTEX_POINT('',#59518);
#17751=VERTEX_POINT('',#59520);
#17752=VERTEX_POINT('',#59524);
#17753=VERTEX_POINT('',#59528);
#17754=VERTEX_POINT('',#59532);
#17755=VERTEX_POINT('',#59533);
#17756=VERTEX_POINT('',#59535);
#17757=VERTEX_POINT('',#59537);
#17758=VERTEX_POINT('',#59539);
#17759=VERTEX_POINT('',#59541);
#17760=VERTEX_POINT('',#59543);
#17761=VERTEX_POINT('',#59545);
#17762=VERTEX_POINT('',#59547);
#17763=VERTEX_POINT('',#59549);
#17764=VERTEX_POINT('',#59551);
#17765=VERTEX_POINT('',#59553);
#17766=VERTEX_POINT('',#59555);
#17767=VERTEX_POINT('',#59557);
#17768=VERTEX_POINT('',#59559);
#17769=VERTEX_POINT('',#59561);
#17770=VERTEX_POINT('',#59563);
#17771=VERTEX_POINT('',#59565);
#17772=VERTEX_POINT('',#59567);
#17773=VERTEX_POINT('',#59569);
#17774=VERTEX_POINT('',#59571);
#17775=VERTEX_POINT('',#59573);
#17776=VERTEX_POINT('',#59575);
#17777=VERTEX_POINT('',#59577);
#17778=VERTEX_POINT('',#59580);
#17779=VERTEX_POINT('',#59586);
#17780=VERTEX_POINT('',#59588);
#17781=VERTEX_POINT('',#59590);
#17782=VERTEX_POINT('',#59592);
#17783=VERTEX_POINT('',#59594);
#17784=VERTEX_POINT('',#59596);
#17785=VERTEX_POINT('',#59598);
#17786=VERTEX_POINT('',#59600);
#17787=VERTEX_POINT('',#59602);
#17788=VERTEX_POINT('',#59606);
#17789=VERTEX_POINT('',#59608);
#17790=VERTEX_POINT('',#59610);
#17791=VERTEX_POINT('',#59612);
#17792=VERTEX_POINT('',#59614);
#17793=VERTEX_POINT('',#59616);
#17794=VERTEX_POINT('',#59618);
#17795=VERTEX_POINT('',#59620);
#17796=VERTEX_POINT('',#59622);
#17797=VERTEX_POINT('',#59624);
#17798=VERTEX_POINT('',#59626);
#17799=VERTEX_POINT('',#59628);
#17800=VERTEX_POINT('',#59630);
#17801=VERTEX_POINT('',#59632);
#17802=VERTEX_POINT('',#59634);
#17803=VERTEX_POINT('',#59636);
#17804=VERTEX_POINT('',#59638);
#17805=VERTEX_POINT('',#59640);
#17806=VERTEX_POINT('',#59642);
#17807=VERTEX_POINT('',#59644);
#17808=VERTEX_POINT('',#59646);
#17809=VERTEX_POINT('',#59648);
#17810=VERTEX_POINT('',#59650);
#17811=VERTEX_POINT('',#59652);
#17812=VERTEX_POINT('',#59654);
#17813=VERTEX_POINT('',#59658);
#17814=VERTEX_POINT('',#59662);
#17815=VERTEX_POINT('',#59664);
#17816=VERTEX_POINT('',#59666);
#17817=VERTEX_POINT('',#59668);
#17818=VERTEX_POINT('',#59670);
#17819=VERTEX_POINT('',#59672);
#17820=VERTEX_POINT('',#59674);
#17821=VERTEX_POINT('',#59676);
#17822=VERTEX_POINT('',#59678);
#17823=VERTEX_POINT('',#59680);
#17824=VERTEX_POINT('',#59682);
#17825=VERTEX_POINT('',#59684);
#17826=VERTEX_POINT('',#59686);
#17827=VERTEX_POINT('',#59688);
#17828=VERTEX_POINT('',#59690);
#17829=VERTEX_POINT('',#59692);
#17830=VERTEX_POINT('',#59694);
#17831=VERTEX_POINT('',#59696);
#17832=VERTEX_POINT('',#59698);
#17833=VERTEX_POINT('',#59700);
#17834=VERTEX_POINT('',#59702);
#17835=VERTEX_POINT('',#59704);
#17836=VERTEX_POINT('',#59706);
#17837=VERTEX_POINT('',#59708);
#17838=VERTEX_POINT('',#59710);
#17839=VERTEX_POINT('',#59714);
#17840=VERTEX_POINT('',#59718);
#17841=VERTEX_POINT('',#59720);
#17842=VERTEX_POINT('',#59722);
#17843=VERTEX_POINT('',#59724);
#17844=VERTEX_POINT('',#59726);
#17845=VERTEX_POINT('',#59728);
#17846=VERTEX_POINT('',#59730);
#17847=VERTEX_POINT('',#59732);
#17848=VERTEX_POINT('',#59734);
#17849=VERTEX_POINT('',#59736);
#17850=VERTEX_POINT('',#59738);
#17851=VERTEX_POINT('',#59740);
#17852=VERTEX_POINT('',#59742);
#17853=VERTEX_POINT('',#59744);
#17854=VERTEX_POINT('',#59746);
#17855=VERTEX_POINT('',#59748);
#17856=VERTEX_POINT('',#59750);
#17857=VERTEX_POINT('',#59752);
#17858=VERTEX_POINT('',#59754);
#17859=VERTEX_POINT('',#59756);
#17860=VERTEX_POINT('',#59758);
#17861=VERTEX_POINT('',#59760);
#17862=VERTEX_POINT('',#59762);
#17863=VERTEX_POINT('',#59764);
#17864=VERTEX_POINT('',#59768);
#17865=VERTEX_POINT('',#59772);
#17866=VERTEX_POINT('',#59774);
#17867=VERTEX_POINT('',#59776);
#17868=VERTEX_POINT('',#59778);
#17869=VERTEX_POINT('',#59780);
#17870=VERTEX_POINT('',#59782);
#17871=VERTEX_POINT('',#59784);
#17872=VERTEX_POINT('',#59786);
#17873=VERTEX_POINT('',#59788);
#17874=VERTEX_POINT('',#59795);
#17875=VERTEX_POINT('',#59797);
#17876=VERTEX_POINT('',#59799);
#17877=VERTEX_POINT('',#59801);
#17878=VERTEX_POINT('',#59803);
#17879=VERTEX_POINT('',#59805);
#17880=VERTEX_POINT('',#59807);
#17881=VERTEX_POINT('',#59809);
#17882=VERTEX_POINT('',#59811);
#17883=VERTEX_POINT('',#59817);
#17884=VERTEX_POINT('',#59819);
#17885=VERTEX_POINT('',#59821);
#17886=VERTEX_POINT('',#59823);
#17887=VERTEX_POINT('',#59825);
#17888=VERTEX_POINT('',#59827);
#17889=VERTEX_POINT('',#59829);
#17890=VERTEX_POINT('',#59831);
#17891=VERTEX_POINT('',#59833);
#17892=VERTEX_POINT('',#59840);
#17893=VERTEX_POINT('',#59844);
#17894=VERTEX_POINT('',#59846);
#17895=VERTEX_POINT('',#59848);
#17896=VERTEX_POINT('',#59850);
#17897=VERTEX_POINT('',#59852);
#17898=VERTEX_POINT('',#59854);
#17899=VERTEX_POINT('',#59856);
#17900=VERTEX_POINT('',#59858);
#17901=VERTEX_POINT('',#59862);
#17902=VERTEX_POINT('',#59864);
#17903=VERTEX_POINT('',#59866);
#17904=VERTEX_POINT('',#59868);
#17905=VERTEX_POINT('',#59870);
#17906=VERTEX_POINT('',#59872);
#17907=VERTEX_POINT('',#59874);
#17908=VERTEX_POINT('',#59876);
#17909=VERTEX_POINT('',#59878);
#17910=VERTEX_POINT('',#59887);
#17911=VERTEX_POINT('',#59889);
#17912=VERTEX_POINT('',#59891);
#17913=VERTEX_POINT('',#59893);
#17914=VERTEX_POINT('',#59895);
#17915=VERTEX_POINT('',#59897);
#17916=VERTEX_POINT('',#59899);
#17917=VERTEX_POINT('',#59901);
#17918=VERTEX_POINT('',#59903);
#17919=VERTEX_POINT('',#59907);
#17920=VERTEX_POINT('',#59909);
#17921=VERTEX_POINT('',#59911);
#17922=VERTEX_POINT('',#59913);
#17923=VERTEX_POINT('',#59915);
#17924=VERTEX_POINT('',#59917);
#17925=VERTEX_POINT('',#59919);
#17926=VERTEX_POINT('',#59921);
#17927=VERTEX_POINT('',#59923);
#17928=VERTEX_POINT('',#59930);
#17929=VERTEX_POINT('',#59934);
#17930=VERTEX_POINT('',#59936);
#17931=VERTEX_POINT('',#59938);
#17932=VERTEX_POINT('',#59940);
#17933=VERTEX_POINT('',#59942);
#17934=VERTEX_POINT('',#59944);
#17935=VERTEX_POINT('',#59946);
#17936=VERTEX_POINT('',#59948);
#17937=VERTEX_POINT('',#59952);
#17938=VERTEX_POINT('',#59954);
#17939=VERTEX_POINT('',#59956);
#17940=VERTEX_POINT('',#59958);
#17941=VERTEX_POINT('',#59960);
#17942=VERTEX_POINT('',#59962);
#17943=VERTEX_POINT('',#59964);
#17944=VERTEX_POINT('',#59966);
#17945=VERTEX_POINT('',#59968);
#17946=VERTEX_POINT('',#59977);
#17947=VERTEX_POINT('',#59979);
#17948=VERTEX_POINT('',#59981);
#17949=VERTEX_POINT('',#59983);
#17950=VERTEX_POINT('',#59985);
#17951=VERTEX_POINT('',#59987);
#17952=VERTEX_POINT('',#59989);
#17953=VERTEX_POINT('',#59991);
#17954=VERTEX_POINT('',#59993);
#17955=VERTEX_POINT('',#59997);
#17956=VERTEX_POINT('',#59999);
#17957=VERTEX_POINT('',#60001);
#17958=VERTEX_POINT('',#60003);
#17959=VERTEX_POINT('',#60005);
#17960=VERTEX_POINT('',#60007);
#17961=VERTEX_POINT('',#60009);
#17962=VERTEX_POINT('',#60011);
#17963=VERTEX_POINT('',#60013);
#17964=VERTEX_POINT('',#60020);
#17965=VERTEX_POINT('',#60024);
#17966=VERTEX_POINT('',#60026);
#17967=VERTEX_POINT('',#60028);
#17968=VERTEX_POINT('',#60030);
#17969=VERTEX_POINT('',#60032);
#17970=VERTEX_POINT('',#60034);
#17971=VERTEX_POINT('',#60036);
#17972=VERTEX_POINT('',#60038);
#17973=VERTEX_POINT('',#60042);
#17974=VERTEX_POINT('',#60044);
#17975=VERTEX_POINT('',#60046);
#17976=VERTEX_POINT('',#60048);
#17977=VERTEX_POINT('',#60050);
#17978=VERTEX_POINT('',#60052);
#17979=VERTEX_POINT('',#60054);
#17980=VERTEX_POINT('',#60056);
#17981=VERTEX_POINT('',#60058);
#17982=VERTEX_POINT('',#60067);
#17983=VERTEX_POINT('',#60069);
#17984=VERTEX_POINT('',#60071);
#17985=VERTEX_POINT('',#60073);
#17986=VERTEX_POINT('',#60075);
#17987=VERTEX_POINT('',#60077);
#17988=VERTEX_POINT('',#60079);
#17989=VERTEX_POINT('',#60081);
#17990=VERTEX_POINT('',#60083);
#17991=VERTEX_POINT('',#60087);
#17992=VERTEX_POINT('',#60089);
#17993=VERTEX_POINT('',#60091);
#17994=VERTEX_POINT('',#60093);
#17995=VERTEX_POINT('',#60095);
#17996=VERTEX_POINT('',#60097);
#17997=VERTEX_POINT('',#60099);
#17998=VERTEX_POINT('',#60101);
#17999=VERTEX_POINT('',#60103);
#18000=VERTEX_POINT('',#60110);
#18001=VERTEX_POINT('',#60114);
#18002=VERTEX_POINT('',#60116);
#18003=VERTEX_POINT('',#60118);
#18004=VERTEX_POINT('',#60120);
#18005=VERTEX_POINT('',#60122);
#18006=VERTEX_POINT('',#60124);
#18007=VERTEX_POINT('',#60126);
#18008=VERTEX_POINT('',#60128);
#18009=VERTEX_POINT('',#60132);
#18010=VERTEX_POINT('',#60134);
#18011=VERTEX_POINT('',#60136);
#18012=VERTEX_POINT('',#60138);
#18013=VERTEX_POINT('',#60140);
#18014=VERTEX_POINT('',#60142);
#18015=VERTEX_POINT('',#60144);
#18016=VERTEX_POINT('',#60146);
#18017=VERTEX_POINT('',#60148);
#18018=VERTEX_POINT('',#60157);
#18019=VERTEX_POINT('',#60159);
#18020=VERTEX_POINT('',#60161);
#18021=VERTEX_POINT('',#60163);
#18022=VERTEX_POINT('',#60165);
#18023=VERTEX_POINT('',#60167);
#18024=VERTEX_POINT('',#60169);
#18025=VERTEX_POINT('',#60171);
#18026=VERTEX_POINT('',#60173);
#18027=VERTEX_POINT('',#60177);
#18028=VERTEX_POINT('',#60179);
#18029=VERTEX_POINT('',#60181);
#18030=VERTEX_POINT('',#60183);
#18031=VERTEX_POINT('',#60185);
#18032=VERTEX_POINT('',#60187);
#18033=VERTEX_POINT('',#60189);
#18034=VERTEX_POINT('',#60191);
#18035=VERTEX_POINT('',#60193);
#18036=VERTEX_POINT('',#60200);
#18037=VERTEX_POINT('',#60204);
#18038=VERTEX_POINT('',#60206);
#18039=VERTEX_POINT('',#60208);
#18040=VERTEX_POINT('',#60210);
#18041=VERTEX_POINT('',#60212);
#18042=VERTEX_POINT('',#60214);
#18043=VERTEX_POINT('',#60216);
#18044=VERTEX_POINT('',#60218);
#18045=VERTEX_POINT('',#60222);
#18046=VERTEX_POINT('',#60224);
#18047=VERTEX_POINT('',#60226);
#18048=VERTEX_POINT('',#60228);
#18049=VERTEX_POINT('',#60230);
#18050=VERTEX_POINT('',#60232);
#18051=VERTEX_POINT('',#60234);
#18052=VERTEX_POINT('',#60236);
#18053=VERTEX_POINT('',#60238);
#18054=VERTEX_POINT('',#60247);
#18055=VERTEX_POINT('',#60251);
#18056=VERTEX_POINT('',#60255);
#18057=VERTEX_POINT('',#60256);
#18058=VERTEX_POINT('',#60261);
#18059=VERTEX_POINT('',#60263);
#18060=VERTEX_POINT('',#60267);
#18061=VERTEX_POINT('',#60308);
#18062=VERTEX_POINT('',#60312);
#18063=VERTEX_POINT('',#60314);
#18064=VERTEX_POINT('',#60318);
#18065=VERTEX_POINT('',#60320);
#18066=VERTEX_POINT('',#60324);
#18067=VERTEX_POINT('',#60326);
#18068=VERTEX_POINT('',#60330);
#18069=VERTEX_POINT('',#60332);
#18070=VERTEX_POINT('',#60336);
#18071=VERTEX_POINT('',#60338);
#18072=VERTEX_POINT('',#60342);
#18073=VERTEX_POINT('',#60344);
#18074=VERTEX_POINT('',#60348);
#18075=VERTEX_POINT('',#60350);
#18076=VERTEX_POINT('',#60354);
#18077=VERTEX_POINT('',#60356);
#18078=VERTEX_POINT('',#60360);
#18079=VERTEX_POINT('',#60362);
#18080=VERTEX_POINT('',#60366);
#18081=VERTEX_POINT('',#60368);
#18082=VERTEX_POINT('',#60372);
#18083=VERTEX_POINT('',#60374);
#18084=VERTEX_POINT('',#60378);
#18085=VERTEX_POINT('',#60380);
#18086=VERTEX_POINT('',#60384);
#18087=VERTEX_POINT('',#60386);
#18088=VERTEX_POINT('',#60390);
#18089=VERTEX_POINT('',#60392);
#18090=VERTEX_POINT('',#60396);
#18091=VERTEX_POINT('',#60398);
#18092=VERTEX_POINT('',#60402);
#18093=VERTEX_POINT('',#60404);
#18094=VERTEX_POINT('',#60408);
#18095=VERTEX_POINT('',#60410);
#18096=VERTEX_POINT('',#60414);
#18097=VERTEX_POINT('',#60416);
#18098=VERTEX_POINT('',#60420);
#18099=VERTEX_POINT('',#60422);
#18100=VERTEX_POINT('',#60426);
#18101=VERTEX_POINT('',#60428);
#18102=VERTEX_POINT('',#60432);
#18103=VERTEX_POINT('',#60434);
#18104=VERTEX_POINT('',#60438);
#18105=VERTEX_POINT('',#60440);
#18106=VERTEX_POINT('',#60444);
#18107=VERTEX_POINT('',#60446);
#18108=VERTEX_POINT('',#60450);
#18109=VERTEX_POINT('',#60452);
#18110=VERTEX_POINT('',#60456);
#18111=VERTEX_POINT('',#60460);
#18112=VERTEX_POINT('',#60464);
#18113=VERTEX_POINT('',#60466);
#18114=VERTEX_POINT('',#60470);
#18115=VERTEX_POINT('',#60472);
#18116=VERTEX_POINT('',#60476);
#18117=VERTEX_POINT('',#60478);
#18118=VERTEX_POINT('',#60482);
#18119=VERTEX_POINT('',#60484);
#18120=VERTEX_POINT('',#60488);
#18121=VERTEX_POINT('',#60490);
#18122=VERTEX_POINT('',#60494);
#18123=VERTEX_POINT('',#60496);
#18124=VERTEX_POINT('',#60500);
#18125=VERTEX_POINT('',#60502);
#18126=VERTEX_POINT('',#60506);
#18127=VERTEX_POINT('',#60508);
#18128=VERTEX_POINT('',#60512);
#18129=VERTEX_POINT('',#60514);
#18130=VERTEX_POINT('',#60518);
#18131=VERTEX_POINT('',#60520);
#18132=VERTEX_POINT('',#60524);
#18133=VERTEX_POINT('',#60526);
#18134=VERTEX_POINT('',#60530);
#18135=VERTEX_POINT('',#60532);
#18136=VERTEX_POINT('',#60536);
#18137=VERTEX_POINT('',#60538);
#18138=VERTEX_POINT('',#60542);
#18139=VERTEX_POINT('',#60544);
#18140=VERTEX_POINT('',#60548);
#18141=VERTEX_POINT('',#60550);
#18142=VERTEX_POINT('',#60554);
#18143=VERTEX_POINT('',#60556);
#18144=VERTEX_POINT('',#60560);
#18145=VERTEX_POINT('',#60562);
#18146=VERTEX_POINT('',#60566);
#18147=VERTEX_POINT('',#60568);
#18148=VERTEX_POINT('',#60572);
#18149=VERTEX_POINT('',#60574);
#18150=VERTEX_POINT('',#60578);
#18151=VERTEX_POINT('',#60580);
#18152=VERTEX_POINT('',#60584);
#18153=VERTEX_POINT('',#60586);
#18154=VERTEX_POINT('',#60590);
#18155=VERTEX_POINT('',#60592);
#18156=VERTEX_POINT('',#60596);
#18157=VERTEX_POINT('',#60598);
#18158=VERTEX_POINT('',#60602);
#18159=VERTEX_POINT('',#60604);
#18160=VERTEX_POINT('',#60608);
#18161=VERTEX_POINT('',#60612);
#18162=VERTEX_POINT('',#60616);
#18163=VERTEX_POINT('',#60618);
#18164=VERTEX_POINT('',#60622);
#18165=VERTEX_POINT('',#60624);
#18166=VERTEX_POINT('',#60628);
#18167=VERTEX_POINT('',#60630);
#18168=VERTEX_POINT('',#60634);
#18169=VERTEX_POINT('',#60636);
#18170=VERTEX_POINT('',#60640);
#18171=VERTEX_POINT('',#60642);
#18172=VERTEX_POINT('',#60646);
#18173=VERTEX_POINT('',#60648);
#18174=VERTEX_POINT('',#60652);
#18175=VERTEX_POINT('',#60654);
#18176=VERTEX_POINT('',#60658);
#18177=VERTEX_POINT('',#60660);
#18178=VERTEX_POINT('',#60664);
#18179=VERTEX_POINT('',#60666);
#18180=VERTEX_POINT('',#60670);
#18181=VERTEX_POINT('',#60672);
#18182=VERTEX_POINT('',#60676);
#18183=VERTEX_POINT('',#60678);
#18184=VERTEX_POINT('',#60682);
#18185=VERTEX_POINT('',#60684);
#18186=VERTEX_POINT('',#60688);
#18187=VERTEX_POINT('',#60690);
#18188=VERTEX_POINT('',#60694);
#18189=VERTEX_POINT('',#60696);
#18190=VERTEX_POINT('',#60700);
#18191=VERTEX_POINT('',#60702);
#18192=VERTEX_POINT('',#60706);
#18193=VERTEX_POINT('',#60708);
#18194=VERTEX_POINT('',#60712);
#18195=VERTEX_POINT('',#60714);
#18196=VERTEX_POINT('',#60718);
#18197=VERTEX_POINT('',#60720);
#18198=VERTEX_POINT('',#60724);
#18199=VERTEX_POINT('',#60726);
#18200=VERTEX_POINT('',#60730);
#18201=VERTEX_POINT('',#60732);
#18202=VERTEX_POINT('',#60736);
#18203=VERTEX_POINT('',#60738);
#18204=VERTEX_POINT('',#60742);
#18205=VERTEX_POINT('',#60744);
#18206=VERTEX_POINT('',#60748);
#18207=VERTEX_POINT('',#60750);
#18208=VERTEX_POINT('',#60906);
#18209=VERTEX_POINT('',#60910);
#18210=VERTEX_POINT('',#60912);
#18211=VERTEX_POINT('',#60914);
#18212=VERTEX_POINT('',#60916);
#18213=VERTEX_POINT('',#60918);
#18214=VERTEX_POINT('',#60920);
#18215=VERTEX_POINT('',#60922);
#18216=VERTEX_POINT('',#60924);
#18217=VERTEX_POINT('',#60926);
#18218=VERTEX_POINT('',#60930);
#18219=VERTEX_POINT('',#60931);
#18220=VERTEX_POINT('',#60933);
#18221=VERTEX_POINT('',#60935);
#18222=VERTEX_POINT('',#60937);
#18223=VERTEX_POINT('',#60939);
#18224=VERTEX_POINT('',#60941);
#18225=VERTEX_POINT('',#60943);
#18226=VERTEX_POINT('',#60945);
#18227=VERTEX_POINT('',#60947);
#18228=VERTEX_POINT('',#60949);
#18229=VERTEX_POINT('',#60951);
#18230=VERTEX_POINT('',#60953);
#18231=VERTEX_POINT('',#60955);
#18232=VERTEX_POINT('',#60957);
#18233=VERTEX_POINT('',#60959);
#18234=VERTEX_POINT('',#60961);
#18235=VERTEX_POINT('',#60963);
#18236=VERTEX_POINT('',#60965);
#18237=VERTEX_POINT('',#60967);
#18238=VERTEX_POINT('',#60969);
#18239=VERTEX_POINT('',#60971);
#18240=VERTEX_POINT('',#60973);
#18241=VERTEX_POINT('',#60975);
#18242=VERTEX_POINT('',#60977);
#18243=VERTEX_POINT('',#60979);
#18244=VERTEX_POINT('',#60984);
#18245=VERTEX_POINT('',#60988);
#18246=VERTEX_POINT('',#60992);
#18247=VERTEX_POINT('',#60994);
#18248=VERTEX_POINT('',#60996);
#18249=VERTEX_POINT('',#60998);
#18250=VERTEX_POINT('',#61001);
#18251=VERTEX_POINT('',#61002);
#18252=VERTEX_POINT('',#61006);
#18253=VERTEX_POINT('',#61008);
#18254=VERTEX_POINT('',#61010);
#18255=VERTEX_POINT('',#61012);
#18256=VERTEX_POINT('',#61014);
#18257=VERTEX_POINT('',#61016);
#18258=VERTEX_POINT('',#61018);
#18259=VERTEX_POINT('',#61020);
#18260=VERTEX_POINT('',#61022);
#18261=VERTEX_POINT('',#61024);
#18262=VERTEX_POINT('',#61026);
#18263=VERTEX_POINT('',#61028);
#18264=VERTEX_POINT('',#61030);
#18265=VERTEX_POINT('',#61032);
#18266=VERTEX_POINT('',#61034);
#18267=VERTEX_POINT('',#61036);
#18268=VERTEX_POINT('',#61038);
#18269=VERTEX_POINT('',#61040);
#18270=VERTEX_POINT('',#61042);
#18271=VERTEX_POINT('',#61044);
#18272=VERTEX_POINT('',#61046);
#18273=VERTEX_POINT('',#61048);
#18274=VERTEX_POINT('',#61050);
#18275=VERTEX_POINT('',#61052);
#18276=VERTEX_POINT('',#61054);
#18277=VERTEX_POINT('',#61060);
#18278=VERTEX_POINT('',#61062);
#18279=VERTEX_POINT('',#61064);
#18280=VERTEX_POINT('',#61066);
#18281=VERTEX_POINT('',#61068);
#18282=VERTEX_POINT('',#61070);
#18283=VERTEX_POINT('',#61072);
#18284=VERTEX_POINT('',#61074);
#18285=VERTEX_POINT('',#61076);
#18286=VERTEX_POINT('',#61080);
#18287=VERTEX_POINT('',#61082);
#18288=VERTEX_POINT('',#61084);
#18289=VERTEX_POINT('',#61086);
#18290=VERTEX_POINT('',#61088);
#18291=VERTEX_POINT('',#61090);
#18292=VERTEX_POINT('',#61092);
#18293=VERTEX_POINT('',#61094);
#18294=VERTEX_POINT('',#61096);
#18295=VERTEX_POINT('',#61103);
#18296=VERTEX_POINT('',#61107);
#18297=VERTEX_POINT('',#61109);
#18298=VERTEX_POINT('',#61111);
#18299=VERTEX_POINT('',#61113);
#18300=VERTEX_POINT('',#61115);
#18301=VERTEX_POINT('',#61117);
#18302=VERTEX_POINT('',#61119);
#18303=VERTEX_POINT('',#61121);
#18304=VERTEX_POINT('',#61125);
#18305=VERTEX_POINT('',#61127);
#18306=VERTEX_POINT('',#61129);
#18307=VERTEX_POINT('',#61131);
#18308=VERTEX_POINT('',#61133);
#18309=VERTEX_POINT('',#61135);
#18310=VERTEX_POINT('',#61137);
#18311=VERTEX_POINT('',#61139);
#18312=VERTEX_POINT('',#61141);
#18313=VERTEX_POINT('',#61150);
#18314=VERTEX_POINT('',#61152);
#18315=VERTEX_POINT('',#61154);
#18316=VERTEX_POINT('',#61156);
#18317=VERTEX_POINT('',#61158);
#18318=VERTEX_POINT('',#61160);
#18319=VERTEX_POINT('',#61162);
#18320=VERTEX_POINT('',#61164);
#18321=VERTEX_POINT('',#61166);
#18322=VERTEX_POINT('',#61170);
#18323=VERTEX_POINT('',#61172);
#18324=VERTEX_POINT('',#61174);
#18325=VERTEX_POINT('',#61176);
#18326=VERTEX_POINT('',#61178);
#18327=VERTEX_POINT('',#61180);
#18328=VERTEX_POINT('',#61182);
#18329=VERTEX_POINT('',#61184);
#18330=VERTEX_POINT('',#61186);
#18331=VERTEX_POINT('',#61193);
#18332=VERTEX_POINT('',#61197);
#18333=VERTEX_POINT('',#61199);
#18334=VERTEX_POINT('',#61201);
#18335=VERTEX_POINT('',#61203);
#18336=VERTEX_POINT('',#61205);
#18337=VERTEX_POINT('',#61207);
#18338=VERTEX_POINT('',#61209);
#18339=VERTEX_POINT('',#61211);
#18340=VERTEX_POINT('',#61215);
#18341=VERTEX_POINT('',#61217);
#18342=VERTEX_POINT('',#61219);
#18343=VERTEX_POINT('',#61221);
#18344=VERTEX_POINT('',#61223);
#18345=VERTEX_POINT('',#61225);
#18346=VERTEX_POINT('',#61227);
#18347=VERTEX_POINT('',#61229);
#18348=VERTEX_POINT('',#61231);
#18349=VERTEX_POINT('',#61240);
#18350=VERTEX_POINT('',#61242);
#18351=VERTEX_POINT('',#61244);
#18352=VERTEX_POINT('',#61246);
#18353=VERTEX_POINT('',#61248);
#18354=VERTEX_POINT('',#61250);
#18355=VERTEX_POINT('',#61252);
#18356=VERTEX_POINT('',#61254);
#18357=VERTEX_POINT('',#61256);
#18358=VERTEX_POINT('',#61260);
#18359=VERTEX_POINT('',#61262);
#18360=VERTEX_POINT('',#61264);
#18361=VERTEX_POINT('',#61266);
#18362=VERTEX_POINT('',#61268);
#18363=VERTEX_POINT('',#61270);
#18364=VERTEX_POINT('',#61272);
#18365=VERTEX_POINT('',#61274);
#18366=VERTEX_POINT('',#61276);
#18367=VERTEX_POINT('',#61283);
#18368=VERTEX_POINT('',#61287);
#18369=VERTEX_POINT('',#61289);
#18370=VERTEX_POINT('',#61291);
#18371=VERTEX_POINT('',#61293);
#18372=VERTEX_POINT('',#61295);
#18373=VERTEX_POINT('',#61297);
#18374=VERTEX_POINT('',#61299);
#18375=VERTEX_POINT('',#61301);
#18376=VERTEX_POINT('',#61305);
#18377=VERTEX_POINT('',#61307);
#18378=VERTEX_POINT('',#61309);
#18379=VERTEX_POINT('',#61311);
#18380=VERTEX_POINT('',#61313);
#18381=VERTEX_POINT('',#61315);
#18382=VERTEX_POINT('',#61317);
#18383=VERTEX_POINT('',#61319);
#18384=VERTEX_POINT('',#61321);
#18385=VERTEX_POINT('',#61330);
#18386=VERTEX_POINT('',#61332);
#18387=VERTEX_POINT('',#61334);
#18388=VERTEX_POINT('',#61336);
#18389=VERTEX_POINT('',#61338);
#18390=VERTEX_POINT('',#61340);
#18391=VERTEX_POINT('',#61342);
#18392=VERTEX_POINT('',#61344);
#18393=VERTEX_POINT('',#61346);
#18394=VERTEX_POINT('',#61350);
#18395=VERTEX_POINT('',#61352);
#18396=VERTEX_POINT('',#61354);
#18397=VERTEX_POINT('',#61356);
#18398=VERTEX_POINT('',#61358);
#18399=VERTEX_POINT('',#61360);
#18400=VERTEX_POINT('',#61362);
#18401=VERTEX_POINT('',#61364);
#18402=VERTEX_POINT('',#61366);
#18403=VERTEX_POINT('',#61373);
#18404=VERTEX_POINT('',#61377);
#18405=VERTEX_POINT('',#61379);
#18406=VERTEX_POINT('',#61381);
#18407=VERTEX_POINT('',#61383);
#18408=VERTEX_POINT('',#61385);
#18409=VERTEX_POINT('',#61387);
#18410=VERTEX_POINT('',#61389);
#18411=VERTEX_POINT('',#61391);
#18412=VERTEX_POINT('',#61395);
#18413=VERTEX_POINT('',#61397);
#18414=VERTEX_POINT('',#61399);
#18415=VERTEX_POINT('',#61401);
#18416=VERTEX_POINT('',#61403);
#18417=VERTEX_POINT('',#61405);
#18418=VERTEX_POINT('',#61407);
#18419=VERTEX_POINT('',#61409);
#18420=VERTEX_POINT('',#61411);
#18421=VERTEX_POINT('',#61420);
#18422=VERTEX_POINT('',#61422);
#18423=VERTEX_POINT('',#61424);
#18424=VERTEX_POINT('',#61426);
#18425=VERTEX_POINT('',#61428);
#18426=VERTEX_POINT('',#61430);
#18427=VERTEX_POINT('',#61432);
#18428=VERTEX_POINT('',#61434);
#18429=VERTEX_POINT('',#61436);
#18430=VERTEX_POINT('',#61440);
#18431=VERTEX_POINT('',#61442);
#18432=VERTEX_POINT('',#61444);
#18433=VERTEX_POINT('',#61446);
#18434=VERTEX_POINT('',#61448);
#18435=VERTEX_POINT('',#61450);
#18436=VERTEX_POINT('',#61452);
#18437=VERTEX_POINT('',#61454);
#18438=VERTEX_POINT('',#61456);
#18439=VERTEX_POINT('',#61463);
#18440=VERTEX_POINT('',#61467);
#18441=VERTEX_POINT('',#61469);
#18442=VERTEX_POINT('',#61471);
#18443=VERTEX_POINT('',#61473);
#18444=VERTEX_POINT('',#61475);
#18445=VERTEX_POINT('',#61477);
#18446=VERTEX_POINT('',#61479);
#18447=VERTEX_POINT('',#61481);
#18448=VERTEX_POINT('',#61485);
#18449=VERTEX_POINT('',#61487);
#18450=VERTEX_POINT('',#61489);
#18451=VERTEX_POINT('',#61491);
#18452=VERTEX_POINT('',#61493);
#18453=VERTEX_POINT('',#61495);
#18454=VERTEX_POINT('',#61497);
#18455=VERTEX_POINT('',#61499);
#18456=VERTEX_POINT('',#61501);
#18457=VERTEX_POINT('',#61510);
#18458=VERTEX_POINT('',#61512);
#18459=VERTEX_POINT('',#61514);
#18460=VERTEX_POINT('',#61516);
#18461=VERTEX_POINT('',#61518);
#18462=VERTEX_POINT('',#61520);
#18463=VERTEX_POINT('',#61522);
#18464=VERTEX_POINT('',#61524);
#18465=VERTEX_POINT('',#61526);
#18466=VERTEX_POINT('',#61530);
#18467=VERTEX_POINT('',#61532);
#18468=VERTEX_POINT('',#61534);
#18469=VERTEX_POINT('',#61536);
#18470=VERTEX_POINT('',#61538);
#18471=VERTEX_POINT('',#61540);
#18472=VERTEX_POINT('',#61542);
#18473=VERTEX_POINT('',#61544);
#18474=VERTEX_POINT('',#61546);
#18475=VERTEX_POINT('',#61553);
#18476=VERTEX_POINT('',#61557);
#18477=VERTEX_POINT('',#61559);
#18478=VERTEX_POINT('',#61561);
#18479=VERTEX_POINT('',#61563);
#18480=VERTEX_POINT('',#61565);
#18481=VERTEX_POINT('',#61567);
#18482=VERTEX_POINT('',#61569);
#18483=VERTEX_POINT('',#61571);
#18484=VERTEX_POINT('',#61575);
#18485=VERTEX_POINT('',#61577);
#18486=VERTEX_POINT('',#61579);
#18487=VERTEX_POINT('',#61581);
#18488=VERTEX_POINT('',#61583);
#18489=VERTEX_POINT('',#61585);
#18490=VERTEX_POINT('',#61587);
#18491=VERTEX_POINT('',#61589);
#18492=VERTEX_POINT('',#61591);
#18493=VERTEX_POINT('',#61600);
#18494=VERTEX_POINT('',#61604);
#18495=VERTEX_POINT('',#61608);
#18496=VERTEX_POINT('',#61610);
#18497=VERTEX_POINT('',#61612);
#18498=VERTEX_POINT('',#61614);
#18499=VERTEX_POINT('',#61616);
#18500=VERTEX_POINT('',#61618);
#18501=VERTEX_POINT('',#61620);
#18502=VERTEX_POINT('',#61622);
#18503=VERTEX_POINT('',#61624);
#18504=VERTEX_POINT('',#61628);
#18505=VERTEX_POINT('',#61630);
#18506=VERTEX_POINT('',#61632);
#18507=VERTEX_POINT('',#61634);
#18508=VERTEX_POINT('',#61636);
#18509=VERTEX_POINT('',#61638);
#18510=VERTEX_POINT('',#61640);
#18511=VERTEX_POINT('',#61642);
#18512=VERTEX_POINT('',#61644);
#18513=VERTEX_POINT('',#61648);
#18514=VERTEX_POINT('',#61650);
#18515=VERTEX_POINT('',#61654);
#18516=VERTEX_POINT('',#61658);
#18517=VERTEX_POINT('',#61660);
#18518=VERTEX_POINT('',#61662);
#18519=VERTEX_POINT('',#61664);
#18520=VERTEX_POINT('',#61666);
#18521=VERTEX_POINT('',#61668);
#18522=VERTEX_POINT('',#61670);
#18523=VERTEX_POINT('',#61672);
#18524=VERTEX_POINT('',#61676);
#18525=VERTEX_POINT('',#61678);
#18526=VERTEX_POINT('',#61680);
#18527=VERTEX_POINT('',#61682);
#18528=VERTEX_POINT('',#61684);
#18529=VERTEX_POINT('',#61686);
#18530=VERTEX_POINT('',#61688);
#18531=VERTEX_POINT('',#61690);
#18532=VERTEX_POINT('',#61692);
#18533=VERTEX_POINT('',#61698);
#18534=VERTEX_POINT('',#61700);
#18535=VERTEX_POINT('',#61704);
#18536=VERTEX_POINT('',#61706);
#18537=VERTEX_POINT('',#61708);
#18538=VERTEX_POINT('',#61710);
#18539=VERTEX_POINT('',#61712);
#18540=VERTEX_POINT('',#61714);
#18541=VERTEX_POINT('',#61716);
#18542=VERTEX_POINT('',#61718);
#18543=VERTEX_POINT('',#61720);
#18544=VERTEX_POINT('',#61724);
#18545=VERTEX_POINT('',#61726);
#18546=VERTEX_POINT('',#61728);
#18547=VERTEX_POINT('',#61730);
#18548=VERTEX_POINT('',#61732);
#18549=VERTEX_POINT('',#61734);
#18550=VERTEX_POINT('',#61736);
#18551=VERTEX_POINT('',#61738);
#18552=VERTEX_POINT('',#61740);
#18553=VERTEX_POINT('',#61744);
#18554=VERTEX_POINT('',#61746);
#18555=VERTEX_POINT('',#61750);
#18556=VERTEX_POINT('',#61754);
#18557=VERTEX_POINT('',#61756);
#18558=VERTEX_POINT('',#61758);
#18559=VERTEX_POINT('',#61760);
#18560=VERTEX_POINT('',#61762);
#18561=VERTEX_POINT('',#61764);
#18562=VERTEX_POINT('',#61766);
#18563=VERTEX_POINT('',#61768);
#18564=VERTEX_POINT('',#61772);
#18565=VERTEX_POINT('',#61774);
#18566=VERTEX_POINT('',#61776);
#18567=VERTEX_POINT('',#61778);
#18568=VERTEX_POINT('',#61780);
#18569=VERTEX_POINT('',#61782);
#18570=VERTEX_POINT('',#61784);
#18571=VERTEX_POINT('',#61786);
#18572=VERTEX_POINT('',#61788);
#18573=VERTEX_POINT('',#61794);
#18574=VERTEX_POINT('',#61796);
#18575=VERTEX_POINT('',#61800);
#18576=VERTEX_POINT('',#61802);
#18577=VERTEX_POINT('',#61804);
#18578=VERTEX_POINT('',#61806);
#18579=VERTEX_POINT('',#61808);
#18580=VERTEX_POINT('',#61810);
#18581=VERTEX_POINT('',#61812);
#18582=VERTEX_POINT('',#61814);
#18583=VERTEX_POINT('',#61816);
#18584=VERTEX_POINT('',#61820);
#18585=VERTEX_POINT('',#61822);
#18586=VERTEX_POINT('',#61824);
#18587=VERTEX_POINT('',#61826);
#18588=VERTEX_POINT('',#61828);
#18589=VERTEX_POINT('',#61830);
#18590=VERTEX_POINT('',#61832);
#18591=VERTEX_POINT('',#61834);
#18592=VERTEX_POINT('',#61836);
#18593=VERTEX_POINT('',#61840);
#18594=VERTEX_POINT('',#61842);
#18595=VERTEX_POINT('',#61846);
#18596=VERTEX_POINT('',#61850);
#18597=VERTEX_POINT('',#61852);
#18598=VERTEX_POINT('',#61854);
#18599=VERTEX_POINT('',#61856);
#18600=VERTEX_POINT('',#61858);
#18601=VERTEX_POINT('',#61860);
#18602=VERTEX_POINT('',#61862);
#18603=VERTEX_POINT('',#61864);
#18604=VERTEX_POINT('',#61868);
#18605=VERTEX_POINT('',#61870);
#18606=VERTEX_POINT('',#61872);
#18607=VERTEX_POINT('',#61874);
#18608=VERTEX_POINT('',#61876);
#18609=VERTEX_POINT('',#61878);
#18610=VERTEX_POINT('',#61880);
#18611=VERTEX_POINT('',#61882);
#18612=VERTEX_POINT('',#61884);
#18613=VERTEX_POINT('',#61890);
#18614=VERTEX_POINT('',#61892);
#18615=VERTEX_POINT('',#61896);
#18616=VERTEX_POINT('',#61898);
#18617=VERTEX_POINT('',#61900);
#18618=VERTEX_POINT('',#61902);
#18619=VERTEX_POINT('',#61904);
#18620=VERTEX_POINT('',#61906);
#18621=VERTEX_POINT('',#61908);
#18622=VERTEX_POINT('',#61910);
#18623=VERTEX_POINT('',#61912);
#18624=VERTEX_POINT('',#61916);
#18625=VERTEX_POINT('',#61918);
#18626=VERTEX_POINT('',#61920);
#18627=VERTEX_POINT('',#61922);
#18628=VERTEX_POINT('',#61924);
#18629=VERTEX_POINT('',#61926);
#18630=VERTEX_POINT('',#61928);
#18631=VERTEX_POINT('',#61930);
#18632=VERTEX_POINT('',#61932);
#18633=VERTEX_POINT('',#61936);
#18634=VERTEX_POINT('',#61938);
#18635=VERTEX_POINT('',#61942);
#18636=VERTEX_POINT('',#61946);
#18637=VERTEX_POINT('',#61948);
#18638=VERTEX_POINT('',#61950);
#18639=VERTEX_POINT('',#61952);
#18640=VERTEX_POINT('',#61954);
#18641=VERTEX_POINT('',#61956);
#18642=VERTEX_POINT('',#61958);
#18643=VERTEX_POINT('',#61960);
#18644=VERTEX_POINT('',#61964);
#18645=VERTEX_POINT('',#61966);
#18646=VERTEX_POINT('',#61968);
#18647=VERTEX_POINT('',#61970);
#18648=VERTEX_POINT('',#61972);
#18649=VERTEX_POINT('',#61974);
#18650=VERTEX_POINT('',#61976);
#18651=VERTEX_POINT('',#61978);
#18652=VERTEX_POINT('',#61980);
#18653=VERTEX_POINT('',#61986);
#18654=VERTEX_POINT('',#61988);
#18655=VERTEX_POINT('',#61992);
#18656=VERTEX_POINT('',#61994);
#18657=VERTEX_POINT('',#61996);
#18658=VERTEX_POINT('',#61998);
#18659=VERTEX_POINT('',#62000);
#18660=VERTEX_POINT('',#62002);
#18661=VERTEX_POINT('',#62004);
#18662=VERTEX_POINT('',#62006);
#18663=VERTEX_POINT('',#62008);
#18664=VERTEX_POINT('',#62012);
#18665=VERTEX_POINT('',#62014);
#18666=VERTEX_POINT('',#62016);
#18667=VERTEX_POINT('',#62018);
#18668=VERTEX_POINT('',#62020);
#18669=VERTEX_POINT('',#62022);
#18670=VERTEX_POINT('',#62024);
#18671=VERTEX_POINT('',#62026);
#18672=VERTEX_POINT('',#62028);
#18673=VERTEX_POINT('',#62032);
#18674=VERTEX_POINT('',#62034);
#18675=VERTEX_POINT('',#62038);
#18676=VERTEX_POINT('',#62042);
#18677=VERTEX_POINT('',#62044);
#18678=VERTEX_POINT('',#62046);
#18679=VERTEX_POINT('',#62048);
#18680=VERTEX_POINT('',#62050);
#18681=VERTEX_POINT('',#62052);
#18682=VERTEX_POINT('',#62054);
#18683=VERTEX_POINT('',#62056);
#18684=VERTEX_POINT('',#62060);
#18685=VERTEX_POINT('',#62062);
#18686=VERTEX_POINT('',#62064);
#18687=VERTEX_POINT('',#62066);
#18688=VERTEX_POINT('',#62068);
#18689=VERTEX_POINT('',#62070);
#18690=VERTEX_POINT('',#62072);
#18691=VERTEX_POINT('',#62074);
#18692=VERTEX_POINT('',#62076);
#18693=VERTEX_POINT('',#62082);
#18694=VERTEX_POINT('',#62084);
#18695=VERTEX_POINT('',#62088);
#18696=VERTEX_POINT('',#62090);
#18697=VERTEX_POINT('',#62092);
#18698=VERTEX_POINT('',#62094);
#18699=VERTEX_POINT('',#62096);
#18700=VERTEX_POINT('',#62098);
#18701=VERTEX_POINT('',#62100);
#18702=VERTEX_POINT('',#62102);
#18703=VERTEX_POINT('',#62104);
#18704=VERTEX_POINT('',#62108);
#18705=VERTEX_POINT('',#62110);
#18706=VERTEX_POINT('',#62112);
#18707=VERTEX_POINT('',#62114);
#18708=VERTEX_POINT('',#62116);
#18709=VERTEX_POINT('',#62118);
#18710=VERTEX_POINT('',#62120);
#18711=VERTEX_POINT('',#62122);
#18712=VERTEX_POINT('',#62124);
#18713=VERTEX_POINT('',#62128);
#18714=VERTEX_POINT('',#62130);
#18715=VERTEX_POINT('',#62134);
#18716=VERTEX_POINT('',#62138);
#18717=VERTEX_POINT('',#62140);
#18718=VERTEX_POINT('',#62142);
#18719=VERTEX_POINT('',#62144);
#18720=VERTEX_POINT('',#62146);
#18721=VERTEX_POINT('',#62148);
#18722=VERTEX_POINT('',#62150);
#18723=VERTEX_POINT('',#62152);
#18724=VERTEX_POINT('',#62156);
#18725=VERTEX_POINT('',#62158);
#18726=VERTEX_POINT('',#62160);
#18727=VERTEX_POINT('',#62162);
#18728=VERTEX_POINT('',#62164);
#18729=VERTEX_POINT('',#62166);
#18730=VERTEX_POINT('',#62168);
#18731=VERTEX_POINT('',#62170);
#18732=VERTEX_POINT('',#62172);
#18733=VERTEX_POINT('',#62178);
#18734=VERTEX_POINT('',#62180);
#18735=VERTEX_POINT('',#62189);
#18736=VERTEX_POINT('',#62191);
#18737=VERTEX_POINT('',#62193);
#18738=VERTEX_POINT('',#62195);
#18739=VERTEX_POINT('',#62197);
#18740=VERTEX_POINT('',#62199);
#18741=VERTEX_POINT('',#62201);
#18742=VERTEX_POINT('',#62203);
#18743=VERTEX_POINT('',#62205);
#18744=VERTEX_POINT('',#62209);
#18745=VERTEX_POINT('',#62213);
#18746=VERTEX_POINT('',#62215);
#18747=VERTEX_POINT('',#62217);
#18748=VERTEX_POINT('',#62219);
#18749=VERTEX_POINT('',#62221);
#18750=VERTEX_POINT('',#62223);
#18751=VERTEX_POINT('',#62225);
#18752=VERTEX_POINT('',#62227);
#18753=VERTEX_POINT('',#62231);
#18754=VERTEX_POINT('',#62233);
#18755=VERTEX_POINT('',#62235);
#18756=VERTEX_POINT('',#62237);
#18757=VERTEX_POINT('',#62239);
#18758=VERTEX_POINT('',#62241);
#18759=VERTEX_POINT('',#62243);
#18760=VERTEX_POINT('',#62245);
#18761=VERTEX_POINT('',#62247);
#18762=VERTEX_POINT('',#62256);
#18763=VERTEX_POINT('',#62258);
#18764=VERTEX_POINT('',#62260);
#18765=VERTEX_POINT('',#62262);
#18766=VERTEX_POINT('',#62264);
#18767=VERTEX_POINT('',#62266);
#18768=VERTEX_POINT('',#62268);
#18769=VERTEX_POINT('',#62270);
#18770=VERTEX_POINT('',#62272);
#18771=VERTEX_POINT('',#62276);
#18772=VERTEX_POINT('',#62278);
#18773=VERTEX_POINT('',#62280);
#18774=VERTEX_POINT('',#62282);
#18775=VERTEX_POINT('',#62284);
#18776=VERTEX_POINT('',#62286);
#18777=VERTEX_POINT('',#62288);
#18778=VERTEX_POINT('',#62290);
#18779=VERTEX_POINT('',#62292);
#18780=VERTEX_POINT('',#62299);
#18781=VERTEX_POINT('',#62303);
#18782=VERTEX_POINT('',#62305);
#18783=VERTEX_POINT('',#62307);
#18784=VERTEX_POINT('',#62309);
#18785=VERTEX_POINT('',#62311);
#18786=VERTEX_POINT('',#62313);
#18787=VERTEX_POINT('',#62315);
#18788=VERTEX_POINT('',#62317);
#18789=VERTEX_POINT('',#62321);
#18790=VERTEX_POINT('',#62323);
#18791=VERTEX_POINT('',#62325);
#18792=VERTEX_POINT('',#62327);
#18793=VERTEX_POINT('',#62329);
#18794=VERTEX_POINT('',#62331);
#18795=VERTEX_POINT('',#62333);
#18796=VERTEX_POINT('',#62335);
#18797=VERTEX_POINT('',#62337);
#18798=VERTEX_POINT('',#62346);
#18799=VERTEX_POINT('',#62348);
#18800=VERTEX_POINT('',#62350);
#18801=VERTEX_POINT('',#62352);
#18802=VERTEX_POINT('',#62354);
#18803=VERTEX_POINT('',#62356);
#18804=VERTEX_POINT('',#62358);
#18805=VERTEX_POINT('',#62360);
#18806=VERTEX_POINT('',#62362);
#18807=VERTEX_POINT('',#62366);
#18808=VERTEX_POINT('',#62368);
#18809=VERTEX_POINT('',#62370);
#18810=VERTEX_POINT('',#62372);
#18811=VERTEX_POINT('',#62374);
#18812=VERTEX_POINT('',#62376);
#18813=VERTEX_POINT('',#62378);
#18814=VERTEX_POINT('',#62380);
#18815=VERTEX_POINT('',#62382);
#18816=VERTEX_POINT('',#62389);
#18817=VERTEX_POINT('',#62393);
#18818=VERTEX_POINT('',#62395);
#18819=VERTEX_POINT('',#62397);
#18820=VERTEX_POINT('',#62399);
#18821=VERTEX_POINT('',#62401);
#18822=VERTEX_POINT('',#62403);
#18823=VERTEX_POINT('',#62405);
#18824=VERTEX_POINT('',#62407);
#18825=VERTEX_POINT('',#62411);
#18826=VERTEX_POINT('',#62413);
#18827=VERTEX_POINT('',#62415);
#18828=VERTEX_POINT('',#62417);
#18829=VERTEX_POINT('',#62419);
#18830=VERTEX_POINT('',#62421);
#18831=VERTEX_POINT('',#62423);
#18832=VERTEX_POINT('',#62425);
#18833=VERTEX_POINT('',#62427);
#18834=VERTEX_POINT('',#62436);
#18835=VERTEX_POINT('',#62438);
#18836=VERTEX_POINT('',#62440);
#18837=VERTEX_POINT('',#62442);
#18838=VERTEX_POINT('',#62444);
#18839=VERTEX_POINT('',#62446);
#18840=VERTEX_POINT('',#62448);
#18841=VERTEX_POINT('',#62450);
#18842=VERTEX_POINT('',#62452);
#18843=VERTEX_POINT('',#62456);
#18844=VERTEX_POINT('',#62458);
#18845=VERTEX_POINT('',#62460);
#18846=VERTEX_POINT('',#62462);
#18847=VERTEX_POINT('',#62464);
#18848=VERTEX_POINT('',#62466);
#18849=VERTEX_POINT('',#62468);
#18850=VERTEX_POINT('',#62470);
#18851=VERTEX_POINT('',#62472);
#18852=VERTEX_POINT('',#62479);
#18853=VERTEX_POINT('',#62483);
#18854=VERTEX_POINT('',#62485);
#18855=VERTEX_POINT('',#62487);
#18856=VERTEX_POINT('',#62489);
#18857=VERTEX_POINT('',#62491);
#18858=VERTEX_POINT('',#62493);
#18859=VERTEX_POINT('',#62495);
#18860=VERTEX_POINT('',#62497);
#18861=VERTEX_POINT('',#62501);
#18862=VERTEX_POINT('',#62503);
#18863=VERTEX_POINT('',#62505);
#18864=VERTEX_POINT('',#62507);
#18865=VERTEX_POINT('',#62509);
#18866=VERTEX_POINT('',#62511);
#18867=VERTEX_POINT('',#62513);
#18868=VERTEX_POINT('',#62515);
#18869=VERTEX_POINT('',#62517);
#18870=VERTEX_POINT('',#62526);
#18871=VERTEX_POINT('',#62528);
#18872=VERTEX_POINT('',#62530);
#18873=VERTEX_POINT('',#62532);
#18874=VERTEX_POINT('',#62534);
#18875=VERTEX_POINT('',#62536);
#18876=VERTEX_POINT('',#62538);
#18877=VERTEX_POINT('',#62540);
#18878=VERTEX_POINT('',#62542);
#18879=VERTEX_POINT('',#62546);
#18880=VERTEX_POINT('',#62548);
#18881=VERTEX_POINT('',#62550);
#18882=VERTEX_POINT('',#62552);
#18883=VERTEX_POINT('',#62554);
#18884=VERTEX_POINT('',#62556);
#18885=VERTEX_POINT('',#62558);
#18886=VERTEX_POINT('',#62560);
#18887=VERTEX_POINT('',#62562);
#18888=VERTEX_POINT('',#62569);
#18889=VERTEX_POINT('',#62573);
#18890=VERTEX_POINT('',#62575);
#18891=VERTEX_POINT('',#62577);
#18892=VERTEX_POINT('',#62579);
#18893=VERTEX_POINT('',#62581);
#18894=VERTEX_POINT('',#62583);
#18895=VERTEX_POINT('',#62585);
#18896=VERTEX_POINT('',#62587);
#18897=VERTEX_POINT('',#62591);
#18898=VERTEX_POINT('',#62593);
#18899=VERTEX_POINT('',#62595);
#18900=VERTEX_POINT('',#62597);
#18901=VERTEX_POINT('',#62599);
#18902=VERTEX_POINT('',#62601);
#18903=VERTEX_POINT('',#62603);
#18904=VERTEX_POINT('',#62605);
#18905=VERTEX_POINT('',#62607);
#18906=VERTEX_POINT('',#62616);
#18907=VERTEX_POINT('',#62618);
#18908=VERTEX_POINT('',#62620);
#18909=VERTEX_POINT('',#62622);
#18910=VERTEX_POINT('',#62624);
#18911=VERTEX_POINT('',#62626);
#18912=VERTEX_POINT('',#62628);
#18913=VERTEX_POINT('',#62630);
#18914=VERTEX_POINT('',#62632);
#18915=VERTEX_POINT('',#62636);
#18916=VERTEX_POINT('',#62638);
#18917=VERTEX_POINT('',#62640);
#18918=VERTEX_POINT('',#62642);
#18919=VERTEX_POINT('',#62644);
#18920=VERTEX_POINT('',#62646);
#18921=VERTEX_POINT('',#62648);
#18922=VERTEX_POINT('',#62650);
#18923=VERTEX_POINT('',#62652);
#18924=VERTEX_POINT('',#62659);
#18925=VERTEX_POINT('',#62663);
#18926=VERTEX_POINT('',#62665);
#18927=VERTEX_POINT('',#62667);
#18928=VERTEX_POINT('',#62669);
#18929=VERTEX_POINT('',#62671);
#18930=VERTEX_POINT('',#62673);
#18931=VERTEX_POINT('',#62675);
#18932=VERTEX_POINT('',#62677);
#18933=VERTEX_POINT('',#62681);
#18934=VERTEX_POINT('',#62683);
#18935=VERTEX_POINT('',#62685);
#18936=VERTEX_POINT('',#62687);
#18937=VERTEX_POINT('',#62689);
#18938=VERTEX_POINT('',#62691);
#18939=VERTEX_POINT('',#62693);
#18940=VERTEX_POINT('',#62695);
#18941=VERTEX_POINT('',#62697);
#18942=VERTEX_POINT('',#62723);
#18943=VERTEX_POINT('',#62755);
#18944=VERTEX_POINT('',#62763);
#18945=VERTEX_POINT('',#62765);
#18946=VERTEX_POINT('',#63359);
#18947=VERTEX_POINT('',#63360);
#18948=VERTEX_POINT('',#63362);
#18949=VERTEX_POINT('',#63364);
#18950=VERTEX_POINT('',#63368);
#18951=VERTEX_POINT('',#63370);
#18952=VERTEX_POINT('',#63372);
#18953=VERTEX_POINT('',#63374);
#18954=VERTEX_POINT('',#63376);
#18955=VERTEX_POINT('',#63378);
#18956=VERTEX_POINT('',#63380);
#18957=VERTEX_POINT('',#63382);
#18958=VERTEX_POINT('',#63386);
#18959=VERTEX_POINT('',#63390);
#18960=VERTEX_POINT('',#63392);
#18961=VERTEX_POINT('',#63394);
#18962=VERTEX_POINT('',#63400);
#18963=VERTEX_POINT('',#63402);
#18964=VERTEX_POINT('',#63406);
#18965=VERTEX_POINT('',#63408);
#18966=VERTEX_POINT('',#63412);
#18967=VERTEX_POINT('',#63414);
#18968=VERTEX_POINT('',#63418);
#18969=VERTEX_POINT('',#63420);
#18970=VERTEX_POINT('',#63424);
#18971=VERTEX_POINT('',#63426);
#18972=VERTEX_POINT('',#63430);
#18973=VERTEX_POINT('',#63432);
#18974=VERTEX_POINT('',#63436);
#18975=VERTEX_POINT('',#63438);
#18976=VERTEX_POINT('',#63442);
#18977=VERTEX_POINT('',#63446);
#18978=VERTEX_POINT('',#63450);
#18979=VERTEX_POINT('',#63452);
#18980=VERTEX_POINT('',#63456);
#18981=VERTEX_POINT('',#63458);
#18982=VERTEX_POINT('',#63464);
#18983=VERTEX_POINT('',#63466);
#18984=VERTEX_POINT('',#63470);
#18985=VERTEX_POINT('',#63472);
#18986=VERTEX_POINT('',#63474);
#18987=VERTEX_POINT('',#63476);
#18988=VERTEX_POINT('',#63478);
#18989=VERTEX_POINT('',#63480);
#18990=VERTEX_POINT('',#63482);
#18991=VERTEX_POINT('',#63484);
#18992=VERTEX_POINT('',#63486);
#18993=VERTEX_POINT('',#63490);
#18994=VERTEX_POINT('',#63492);
#18995=VERTEX_POINT('',#63494);
#18996=VERTEX_POINT('',#63496);
#18997=VERTEX_POINT('',#63498);
#18998=VERTEX_POINT('',#63500);
#18999=VERTEX_POINT('',#63502);
#19000=VERTEX_POINT('',#63504);
#19001=VERTEX_POINT('',#63506);
#19002=VERTEX_POINT('',#63510);
#19003=VERTEX_POINT('',#63512);
#19004=VERTEX_POINT('',#63516);
#19005=VERTEX_POINT('',#63518);
#19006=VERTEX_POINT('',#63520);
#19007=VERTEX_POINT('',#63522);
#19008=VERTEX_POINT('',#63524);
#19009=VERTEX_POINT('',#63526);
#19010=VERTEX_POINT('',#63528);
#19011=VERTEX_POINT('',#63530);
#19012=VERTEX_POINT('',#63532);
#19013=VERTEX_POINT('',#63538);
#19014=VERTEX_POINT('',#63542);
#19015=VERTEX_POINT('',#63544);
#19016=VERTEX_POINT('',#63546);
#19017=VERTEX_POINT('',#63548);
#19018=VERTEX_POINT('',#63550);
#19019=VERTEX_POINT('',#63552);
#19020=VERTEX_POINT('',#63554);
#19021=VERTEX_POINT('',#63556);
#19022=VERTEX_POINT('',#63560);
#19023=VERTEX_POINT('',#63562);
#19024=VERTEX_POINT('',#63566);
#19025=VERTEX_POINT('',#63568);
#19026=VERTEX_POINT('',#63570);
#19027=VERTEX_POINT('',#63572);
#19028=VERTEX_POINT('',#63574);
#19029=VERTEX_POINT('',#63576);
#19030=VERTEX_POINT('',#63578);
#19031=VERTEX_POINT('',#63580);
#19032=VERTEX_POINT('',#63582);
#19033=VERTEX_POINT('',#63586);
#19034=VERTEX_POINT('',#63588);
#19035=VERTEX_POINT('',#63590);
#19036=VERTEX_POINT('',#63592);
#19037=VERTEX_POINT('',#63594);
#19038=VERTEX_POINT('',#63596);
#19039=VERTEX_POINT('',#63598);
#19040=VERTEX_POINT('',#63600);
#19041=VERTEX_POINT('',#63602);
#19042=VERTEX_POINT('',#63606);
#19043=VERTEX_POINT('',#63608);
#19044=VERTEX_POINT('',#63614);
#19045=VERTEX_POINT('',#63618);
#19046=VERTEX_POINT('',#63622);
#19047=VERTEX_POINT('',#63624);
#19048=VERTEX_POINT('',#63626);
#19049=VERTEX_POINT('',#63628);
#19050=VERTEX_POINT('',#63630);
#19051=VERTEX_POINT('',#63632);
#19052=VERTEX_POINT('',#63634);
#19053=VERTEX_POINT('',#63636);
#19054=VERTEX_POINT('',#63638);
#19055=VERTEX_POINT('',#63642);
#19056=VERTEX_POINT('',#63644);
#19057=VERTEX_POINT('',#63646);
#19058=VERTEX_POINT('',#63648);
#19059=VERTEX_POINT('',#63650);
#19060=VERTEX_POINT('',#63652);
#19061=VERTEX_POINT('',#63654);
#19062=VERTEX_POINT('',#63656);
#19063=VERTEX_POINT('',#63658);
#19064=VERTEX_POINT('',#63662);
#19065=VERTEX_POINT('',#63664);
#19066=VERTEX_POINT('',#63675);
#19067=VERTEX_POINT('',#63677);
#19068=VERTEX_POINT('',#63732);
#19069=VERTEX_POINT('',#63737);
#19070=VERTEX_POINT('',#63764);
#19071=VERTEX_POINT('',#63765);
#19072=VERTEX_POINT('',#63769);
#19073=VERTEX_POINT('',#63770);
#19074=VERTEX_POINT('',#63775);
#19075=VERTEX_POINT('',#63776);
#19076=VERTEX_POINT('',#63778);
#19077=VERTEX_POINT('',#63780);
#19078=VERTEX_POINT('',#63782);
#19079=VERTEX_POINT('',#63784);
#19080=VERTEX_POINT('',#63786);
#19081=VERTEX_POINT('',#63788);
#19082=VERTEX_POINT('',#63791);
#19083=VERTEX_POINT('',#63792);
#19084=VERTEX_POINT('',#63795);
#19085=VERTEX_POINT('',#63796);
#19086=VERTEX_POINT('',#63799);
#19087=VERTEX_POINT('',#63800);
#19088=VERTEX_POINT('',#63803);
#19089=VERTEX_POINT('',#63804);
#19090=VERTEX_POINT('',#63808);
#19091=VERTEX_POINT('',#63809);
#19092=VERTEX_POINT('',#63814);
#19093=VERTEX_POINT('',#63818);
#19094=VERTEX_POINT('',#63822);
#19095=VERTEX_POINT('',#63826);
#19096=VERTEX_POINT('',#63830);
#19097=VERTEX_POINT('',#63834);
#19098=VERTEX_POINT('',#63840);
#19099=VERTEX_POINT('',#63842);
#19100=VERTEX_POINT('',#63846);
#19101=VERTEX_POINT('',#63848);
#19102=VERTEX_POINT('',#63852);
#19103=VERTEX_POINT('',#63854);
#19104=VERTEX_POINT('',#63858);
#19105=VERTEX_POINT('',#63860);
#19106=VERTEX_POINT('',#63864);
#19107=VERTEX_POINT('',#63865);
#19108=VERTEX_POINT('',#63870);
#19109=VERTEX_POINT('',#63874);
#19110=VERTEX_POINT('',#63878);
#19111=VERTEX_POINT('',#63879);
#19112=VERTEX_POINT('',#63881);
#19113=VERTEX_POINT('',#63883);
#19114=VERTEX_POINT('',#63885);
#19115=VERTEX_POINT('',#63887);
#19116=VERTEX_POINT('',#63889);
#19117=VERTEX_POINT('',#63891);
#19118=VERTEX_POINT('',#63893);
#19119=VERTEX_POINT('',#63898);
#19120=VERTEX_POINT('',#63900);
#19121=VERTEX_POINT('',#63902);
#19122=VERTEX_POINT('',#63904);
#19123=VERTEX_POINT('',#63906);
#19124=VERTEX_POINT('',#63908);
#19125=VERTEX_POINT('',#63910);
#19126=VERTEX_POINT('',#63912);
#19127=VERTEX_POINT('',#63914);
#19128=VERTEX_POINT('',#63918);
#19129=VERTEX_POINT('',#63922);
#19130=VERTEX_POINT('',#63929);
#19131=VERTEX_POINT('',#63931);
#19132=VERTEX_POINT('',#63942);
#19133=VERTEX_POINT('',#63943);
#19134=VERTEX_POINT('',#63945);
#19135=VERTEX_POINT('',#63947);
#19136=VERTEX_POINT('',#63949);
#19137=VERTEX_POINT('',#63952);
#19138=VERTEX_POINT('',#63954);
#19139=VERTEX_POINT('',#63956);
#19140=VERTEX_POINT('',#63958);
#19141=VERTEX_POINT('',#63960);
#19142=VERTEX_POINT('',#63962);
#19143=VERTEX_POINT('',#63964);
#19144=VERTEX_POINT('',#63966);
#19145=VERTEX_POINT('',#63968);
#19146=VERTEX_POINT('',#63970);
#19147=VERTEX_POINT('',#63972);
#19148=VERTEX_POINT('',#63976);
#19149=VERTEX_POINT('',#63980);
#19150=VERTEX_POINT('',#63981);
#19151=VERTEX_POINT('',#63983);
#19152=VERTEX_POINT('',#63985);
#19153=VERTEX_POINT('',#63987);
#19154=VERTEX_POINT('',#63989);
#19155=VERTEX_POINT('',#63991);
#19156=VERTEX_POINT('',#63993);
#19157=VERTEX_POINT('',#63995);
#19158=VERTEX_POINT('',#63997);
#19159=VERTEX_POINT('',#63999);
#19160=VERTEX_POINT('',#64001);
#19161=VERTEX_POINT('',#64003);
#19162=VERTEX_POINT('',#64006);
#19163=VERTEX_POINT('',#64008);
#19164=VERTEX_POINT('',#64012);
#19165=VERTEX_POINT('',#64013);
#19166=VERTEX_POINT('',#64015);
#19167=VERTEX_POINT('',#64017);
#19168=VERTEX_POINT('',#64019);
#19169=VERTEX_POINT('',#64021);
#19170=VERTEX_POINT('',#64023);
#19171=VERTEX_POINT('',#64025);
#19172=VERTEX_POINT('',#64027);
#19173=VERTEX_POINT('',#64029);
#19174=VERTEX_POINT('',#64031);
#19175=VERTEX_POINT('',#64033);
#19176=VERTEX_POINT('',#64035);
#19177=VERTEX_POINT('',#64038);
#19178=VERTEX_POINT('',#64040);
#19179=VERTEX_POINT('',#64042);
#19180=VERTEX_POINT('',#64046);
#19181=VERTEX_POINT('',#64047);
#19182=VERTEX_POINT('',#64049);
#19183=VERTEX_POINT('',#64051);
#19184=VERTEX_POINT('',#64053);
#19185=VERTEX_POINT('',#64056);
#19186=VERTEX_POINT('',#64058);
#19187=VERTEX_POINT('',#64060);
#19188=VERTEX_POINT('',#64062);
#19189=VERTEX_POINT('',#64064);
#19190=VERTEX_POINT('',#64066);
#19191=VERTEX_POINT('',#64068);
#19192=VERTEX_POINT('',#64070);
#19193=VERTEX_POINT('',#64072);
#19194=VERTEX_POINT('',#64074);
#19195=VERTEX_POINT('',#64076);
#19196=VERTEX_POINT('',#64082);
#19197=VERTEX_POINT('',#64083);
#19198=VERTEX_POINT('',#64085);
#19199=VERTEX_POINT('',#64087);
#19200=VERTEX_POINT('',#64089);
#19201=VERTEX_POINT('',#64092);
#19202=VERTEX_POINT('',#64094);
#19203=VERTEX_POINT('',#64096);
#19204=VERTEX_POINT('',#64098);
#19205=VERTEX_POINT('',#64100);
#19206=VERTEX_POINT('',#64102);
#19207=VERTEX_POINT('',#64104);
#19208=VERTEX_POINT('',#64106);
#19209=VERTEX_POINT('',#64108);
#19210=VERTEX_POINT('',#64110);
#19211=VERTEX_POINT('',#64112);
#19212=VERTEX_POINT('',#64116);
#19213=VERTEX_POINT('',#64120);
#19214=VERTEX_POINT('',#64121);
#19215=VERTEX_POINT('',#64123);
#19216=VERTEX_POINT('',#64125);
#19217=VERTEX_POINT('',#64127);
#19218=VERTEX_POINT('',#64129);
#19219=VERTEX_POINT('',#64131);
#19220=VERTEX_POINT('',#64133);
#19221=VERTEX_POINT('',#64135);
#19222=VERTEX_POINT('',#64137);
#19223=VERTEX_POINT('',#64139);
#19224=VERTEX_POINT('',#64141);
#19225=VERTEX_POINT('',#64143);
#19226=VERTEX_POINT('',#64146);
#19227=VERTEX_POINT('',#64148);
#19228=VERTEX_POINT('',#64152);
#19229=VERTEX_POINT('',#64153);
#19230=VERTEX_POINT('',#64155);
#19231=VERTEX_POINT('',#64157);
#19232=VERTEX_POINT('',#64159);
#19233=VERTEX_POINT('',#64161);
#19234=VERTEX_POINT('',#64163);
#19235=VERTEX_POINT('',#64165);
#19236=VERTEX_POINT('',#64167);
#19237=VERTEX_POINT('',#64169);
#19238=VERTEX_POINT('',#64171);
#19239=VERTEX_POINT('',#64173);
#19240=VERTEX_POINT('',#64175);
#19241=VERTEX_POINT('',#64178);
#19242=VERTEX_POINT('',#64180);
#19243=VERTEX_POINT('',#64182);
#19244=VERTEX_POINT('',#64186);
#19245=VERTEX_POINT('',#64187);
#19246=VERTEX_POINT('',#64189);
#19247=VERTEX_POINT('',#64191);
#19248=VERTEX_POINT('',#64193);
#19249=VERTEX_POINT('',#64196);
#19250=VERTEX_POINT('',#64198);
#19251=VERTEX_POINT('',#64200);
#19252=VERTEX_POINT('',#64202);
#19253=VERTEX_POINT('',#64204);
#19254=VERTEX_POINT('',#64206);
#19255=VERTEX_POINT('',#64208);
#19256=VERTEX_POINT('',#64210);
#19257=VERTEX_POINT('',#64212);
#19258=VERTEX_POINT('',#64214);
#19259=VERTEX_POINT('',#64216);
#19260=VERTEX_POINT('',#64350);
#19261=VERTEX_POINT('',#64351);
#19262=VERTEX_POINT('',#64353);
#19263=VERTEX_POINT('',#64355);
#19264=VERTEX_POINT('',#64359);
#19265=VERTEX_POINT('',#64360);
#19266=VERTEX_POINT('',#64362);
#19267=VERTEX_POINT('',#64364);
#19268=VERTEX_POINT('',#64366);
#19269=VERTEX_POINT('',#64368);
#19270=VERTEX_POINT('',#64370);
#19271=VERTEX_POINT('',#64372);
#19272=VERTEX_POINT('',#64377);
#19273=VERTEX_POINT('',#64381);
#19274=VERTEX_POINT('',#64385);
#19275=VERTEX_POINT('',#64387);
#19276=VERTEX_POINT('',#64389);
#19277=VERTEX_POINT('',#64391);
#19278=VERTEX_POINT('',#64393);
#19279=VERTEX_POINT('',#64395);
#19280=VERTEX_POINT('',#64403);
#19281=VERTEX_POINT('',#64405);
#19282=VERTEX_POINT('',#64407);
#19283=VERTEX_POINT('',#64409);
#19284=VERTEX_POINT('',#64417);
#19285=VERTEX_POINT('',#64419);
#19286=VERTEX_POINT('',#64421);
#19287=VERTEX_POINT('',#64423);
#19288=VERTEX_POINT('',#64429);
#19289=VERTEX_POINT('',#64430);
#19290=VERTEX_POINT('',#64432);
#19291=VERTEX_POINT('',#64434);
#19292=VERTEX_POINT('',#64436);
#19293=VERTEX_POINT('',#64438);
#19294=VERTEX_POINT('',#64443);
#19295=VERTEX_POINT('',#64445);
#19296=VERTEX_POINT('',#64449);
#19297=VERTEX_POINT('',#64453);
#19298=VERTEX_POINT('',#64454);
#19299=VERTEX_POINT('',#64457);
#19300=VERTEX_POINT('',#64459);
#19301=VERTEX_POINT('',#64461);
#19302=VERTEX_POINT('',#64463);
#19303=VERTEX_POINT('',#64467);
#19304=VERTEX_POINT('',#64491);
#19305=VERTEX_POINT('',#64492);
#19306=VERTEX_POINT('',#64494);
#19307=VERTEX_POINT('',#64496);
#19308=VERTEX_POINT('',#64500);
#19309=VERTEX_POINT('',#64501);
#19310=VERTEX_POINT('',#64506);
#19311=VERTEX_POINT('',#64508);
#19312=VERTEX_POINT('',#64512);
#19313=VERTEX_POINT('',#64514);
#19314=VERTEX_POINT('',#64516);
#19315=VERTEX_POINT('',#64518);
#19316=VERTEX_POINT('',#64520);
#19317=VERTEX_POINT('',#64522);
#19318=VERTEX_POINT('',#64524);
#19319=VERTEX_POINT('',#64526);
#19320=VERTEX_POINT('',#64528);
#19321=VERTEX_POINT('',#64530);
#19322=VERTEX_POINT('',#64532);
#19323=VERTEX_POINT('',#64534);
#19324=VERTEX_POINT('',#64536);
#19325=VERTEX_POINT('',#64538);
#19326=VERTEX_POINT('',#64540);
#19327=VERTEX_POINT('',#64542);
#19328=VERTEX_POINT('',#64544);
#19329=VERTEX_POINT('',#64546);
#19330=VERTEX_POINT('',#64548);
#19331=VERTEX_POINT('',#64550);
#19332=VERTEX_POINT('',#64552);
#19333=VERTEX_POINT('',#64554);
#19334=VERTEX_POINT('',#64556);
#19335=VERTEX_POINT('',#64558);
#19336=VERTEX_POINT('',#64560);
#19337=VERTEX_POINT('',#64562);
#19338=VERTEX_POINT('',#64564);
#19339=VERTEX_POINT('',#64566);
#19340=VERTEX_POINT('',#64569);
#19341=VERTEX_POINT('',#64570);
#19342=VERTEX_POINT('',#64572);
#19343=VERTEX_POINT('',#64574);
#19344=VERTEX_POINT('',#64577);
#19345=VERTEX_POINT('',#64578);
#19346=VERTEX_POINT('',#64580);
#19347=VERTEX_POINT('',#64582);
#19348=VERTEX_POINT('',#64585);
#19349=VERTEX_POINT('',#64586);
#19350=VERTEX_POINT('',#64588);
#19351=VERTEX_POINT('',#64590);
#19352=VERTEX_POINT('',#64593);
#19353=VERTEX_POINT('',#64594);
#19354=VERTEX_POINT('',#64596);
#19355=VERTEX_POINT('',#64598);
#19356=VERTEX_POINT('',#64601);
#19357=VERTEX_POINT('',#64602);
#19358=VERTEX_POINT('',#64604);
#19359=VERTEX_POINT('',#64606);
#19360=VERTEX_POINT('',#64610);
#19361=VERTEX_POINT('',#64612);
#19362=VERTEX_POINT('',#64614);
#19363=VERTEX_POINT('',#64616);
#19364=VERTEX_POINT('',#64618);
#19365=VERTEX_POINT('',#64620);
#19366=VERTEX_POINT('',#64622);
#19367=VERTEX_POINT('',#64624);
#19368=VERTEX_POINT('',#64626);
#19369=VERTEX_POINT('',#64628);
#19370=VERTEX_POINT('',#64630);
#19371=VERTEX_POINT('',#64632);
#19372=VERTEX_POINT('',#64634);
#19373=VERTEX_POINT('',#64636);
#19374=VERTEX_POINT('',#64638);
#19375=VERTEX_POINT('',#64640);
#19376=VERTEX_POINT('',#64642);
#19377=VERTEX_POINT('',#64644);
#19378=VERTEX_POINT('',#64646);
#19379=VERTEX_POINT('',#64648);
#19380=VERTEX_POINT('',#64650);
#19381=VERTEX_POINT('',#64652);
#19382=VERTEX_POINT('',#64654);
#19383=VERTEX_POINT('',#64656);
#19384=VERTEX_POINT('',#64658);
#19385=VERTEX_POINT('',#64660);
#19386=VERTEX_POINT('',#64662);
#19387=VERTEX_POINT('',#64664);
#19388=VERTEX_POINT('',#64667);
#19389=VERTEX_POINT('',#64668);
#19390=VERTEX_POINT('',#64670);
#19391=VERTEX_POINT('',#64672);
#19392=VERTEX_POINT('',#64675);
#19393=VERTEX_POINT('',#64676);
#19394=VERTEX_POINT('',#64678);
#19395=VERTEX_POINT('',#64680);
#19396=VERTEX_POINT('',#64683);
#19397=VERTEX_POINT('',#64684);
#19398=VERTEX_POINT('',#64686);
#19399=VERTEX_POINT('',#64688);
#19400=VERTEX_POINT('',#64691);
#19401=VERTEX_POINT('',#64692);
#19402=VERTEX_POINT('',#64694);
#19403=VERTEX_POINT('',#64696);
#19404=VERTEX_POINT('',#64699);
#19405=VERTEX_POINT('',#64700);
#19406=VERTEX_POINT('',#64702);
#19407=VERTEX_POINT('',#64704);
#19408=VERTEX_POINT('',#64765);
#19409=VERTEX_POINT('',#64767);
#19410=VERTEX_POINT('',#64771);
#19411=VERTEX_POINT('',#64775);
#19412=VERTEX_POINT('',#64781);
#19413=VERTEX_POINT('',#64783);
#19414=VERTEX_POINT('',#64787);
#19415=VERTEX_POINT('',#64791);
#19416=VERTEX_POINT('',#64797);
#19417=VERTEX_POINT('',#64799);
#19418=VERTEX_POINT('',#64803);
#19419=VERTEX_POINT('',#64807);
#19420=VERTEX_POINT('',#64813);
#19421=VERTEX_POINT('',#64815);
#19422=VERTEX_POINT('',#64819);
#19423=VERTEX_POINT('',#64823);
#19424=VERTEX_POINT('',#64829);
#19425=VERTEX_POINT('',#64831);
#19426=VERTEX_POINT('',#64835);
#19427=VERTEX_POINT('',#64839);
#19428=VERTEX_POINT('',#64845);
#19429=VERTEX_POINT('',#64847);
#19430=VERTEX_POINT('',#64851);
#19431=VERTEX_POINT('',#64855);
#19432=VERTEX_POINT('',#64861);
#19433=VERTEX_POINT('',#64863);
#19434=VERTEX_POINT('',#64867);
#19435=VERTEX_POINT('',#64871);
#19436=VERTEX_POINT('',#64877);
#19437=VERTEX_POINT('',#64879);
#19438=VERTEX_POINT('',#64883);
#19439=VERTEX_POINT('',#64887);
#19440=VERTEX_POINT('',#64893);
#19441=VERTEX_POINT('',#64895);
#19442=VERTEX_POINT('',#64899);
#19443=VERTEX_POINT('',#64903);
#19444=VERTEX_POINT('',#64909);
#19445=VERTEX_POINT('',#64911);
#19446=VERTEX_POINT('',#64915);
#19447=VERTEX_POINT('',#64919);
#19448=VERTEX_POINT('',#64925);
#19449=VERTEX_POINT('',#64927);
#19450=VERTEX_POINT('',#64931);
#19451=VERTEX_POINT('',#64935);
#19452=VERTEX_POINT('',#64941);
#19453=VERTEX_POINT('',#64942);
#19454=VERTEX_POINT('',#64947);
#19455=VERTEX_POINT('',#64951);
#19456=VERTEX_POINT('',#64957);
#19457=VERTEX_POINT('',#64958);
#19458=VERTEX_POINT('',#64963);
#19459=VERTEX_POINT('',#64967);
#19460=VERTEX_POINT('',#64973);
#19461=VERTEX_POINT('',#64974);
#19462=VERTEX_POINT('',#64979);
#19463=VERTEX_POINT('',#64983);
#19464=VERTEX_POINT('',#64989);
#19465=VERTEX_POINT('',#64990);
#19466=VERTEX_POINT('',#64995);
#19467=VERTEX_POINT('',#64999);
#19468=VERTEX_POINT('',#65005);
#19469=VERTEX_POINT('',#65007);
#19470=VERTEX_POINT('',#65011);
#19471=VERTEX_POINT('',#65015);
#19472=VERTEX_POINT('',#65021);
#19473=VERTEX_POINT('',#65022);
#19474=VERTEX_POINT('',#65027);
#19475=VERTEX_POINT('',#65031);
#19476=VERTEX_POINT('',#65037);
#19477=VERTEX_POINT('',#65038);
#19478=VERTEX_POINT('',#65043);
#19479=VERTEX_POINT('',#65047);
#19480=VERTEX_POINT('',#65053);
#19481=VERTEX_POINT('',#65054);
#19482=VERTEX_POINT('',#65059);
#19483=VERTEX_POINT('',#65063);
#19484=VERTEX_POINT('',#65069);
#19485=VERTEX_POINT('',#65070);
#19486=VERTEX_POINT('',#65075);
#19487=VERTEX_POINT('',#65079);
#19488=VERTEX_POINT('',#65098);
#19489=VERTEX_POINT('',#65099);
#19490=VERTEX_POINT('',#65101);
#19491=VERTEX_POINT('',#65103);
#19492=VERTEX_POINT('',#65107);
#19493=VERTEX_POINT('',#65108);
#19494=VERTEX_POINT('',#65110);
#19495=VERTEX_POINT('',#65113);
#19496=VERTEX_POINT('',#65117);
#19497=VERTEX_POINT('',#65118);
#19498=VERTEX_POINT('',#65120);
#19499=VERTEX_POINT('',#65122);
#19500=VERTEX_POINT('',#65124);
#19501=VERTEX_POINT('',#65126);
#19502=VERTEX_POINT('',#65129);
#19503=VERTEX_POINT('',#65131);
#19504=VERTEX_POINT('',#65135);
#19505=VERTEX_POINT('',#65139);
#19506=VERTEX_POINT('',#65141);
#19507=VERTEX_POINT('',#65143);
#19508=VERTEX_POINT('',#65147);
#19509=VERTEX_POINT('',#65149);
#19510=VERTEX_POINT('',#65155);
#19511=VERTEX_POINT('',#65157);
#19512=VERTEX_POINT('',#65161);
#19513=VERTEX_POINT('',#65165);
#19514=VERTEX_POINT('',#65166);
#19515=VERTEX_POINT('',#65169);
#19516=VERTEX_POINT('',#65171);
#19517=VERTEX_POINT('',#65173);
#19518=VERTEX_POINT('',#65175);
#19519=VERTEX_POINT('',#65179);
#19520=VERTEX_POINT('',#65181);
#19521=VERTEX_POINT('',#65183);
#19522=VERTEX_POINT('',#65185);
#19523=VERTEX_POINT('',#65187);
#19524=VERTEX_POINT('',#65189);
#19525=VERTEX_POINT('',#65191);
#19526=VERTEX_POINT('',#65193);
#19527=VERTEX_POINT('',#65195);
#19528=VERTEX_POINT('',#65197);
#19529=VERTEX_POINT('',#65200);
#19530=VERTEX_POINT('',#65201);
#19531=VERTEX_POINT('',#65203);
#19532=VERTEX_POINT('',#65207);
#19533=VERTEX_POINT('',#65208);
#19534=VERTEX_POINT('',#65210);
#19535=VERTEX_POINT('',#65212);
#19536=VERTEX_POINT('',#65214);
#19537=VERTEX_POINT('',#65216);
#19538=VERTEX_POINT('',#65221);
#19539=VERTEX_POINT('',#65225);
#19540=VERTEX_POINT('',#65227);
#19541=VERTEX_POINT('',#65231);
#19542=VERTEX_POINT('',#65233);
#19543=VERTEX_POINT('',#65237);
#19544=VERTEX_POINT('',#65239);
#19545=VERTEX_POINT('',#65242);
#19546=VERTEX_POINT('',#65244);
#19547=VERTEX_POINT('',#65248);
#19548=VERTEX_POINT('',#65252);
#19549=VERTEX_POINT('',#65256);
#19550=VERTEX_POINT('',#65260);
#19551=VERTEX_POINT('',#65266);
#19552=VERTEX_POINT('',#65267);
#19553=VERTEX_POINT('',#65269);
#19554=VERTEX_POINT('',#65271);
#19555=VERTEX_POINT('',#65273);
#19556=VERTEX_POINT('',#65275);
#19557=VERTEX_POINT('',#65280);
#19558=VERTEX_POINT('',#65286);
#19559=VERTEX_POINT('',#65300);
#19560=VERTEX_POINT('',#65302);
#19561=VERTEX_POINT('',#65306);
#19562=VERTEX_POINT('',#65310);
#19563=VERTEX_POINT('',#65314);
#19564=VERTEX_POINT('',#65320);
#19565=VERTEX_POINT('',#65322);
#19566=VERTEX_POINT('',#65326);
#19567=VERTEX_POINT('',#65332);
#19568=VERTEX_POINT('',#65336);
#19569=VERTEX_POINT('',#65340);
#19570=VERTEX_POINT('',#65344);
#19571=VERTEX_POINT('',#65348);
#19572=VERTEX_POINT('',#65350);
#19573=VERTEX_POINT('',#65353);
#19574=VERTEX_POINT('',#65370);
#19575=VERTEX_POINT('',#65371);
#19576=VERTEX_POINT('',#65373);
#19577=VERTEX_POINT('',#65375);
#19578=VERTEX_POINT('',#65377);
#19579=VERTEX_POINT('',#65379);
#19580=VERTEX_POINT('',#65381);
#19581=VERTEX_POINT('',#65383);
#19582=VERTEX_POINT('',#65387);
#19583=VERTEX_POINT('',#65388);
#19584=VERTEX_POINT('',#65393);
#19585=VERTEX_POINT('',#65397);
#19586=VERTEX_POINT('',#65401);
#19587=VERTEX_POINT('',#65405);
#19588=VERTEX_POINT('',#65409);
#19589=VERTEX_POINT('',#65413);
#19590=VERTEX_POINT('',#65419);
#19591=VERTEX_POINT('',#65420);
#19592=VERTEX_POINT('',#65422);
#19593=VERTEX_POINT('',#65424);
#19594=VERTEX_POINT('',#65426);
#19595=VERTEX_POINT('',#65428);
#19596=VERTEX_POINT('',#65430);
#19597=VERTEX_POINT('',#65432);
#19598=VERTEX_POINT('',#65436);
#19599=VERTEX_POINT('',#65438);
#19600=VERTEX_POINT('',#65442);
#19601=VERTEX_POINT('',#65446);
#19602=VERTEX_POINT('',#65450);
#19603=VERTEX_POINT('',#65454);
#19604=VERTEX_POINT('',#65458);
#19605=VERTEX_POINT('',#65462);
#19606=VERTEX_POINT('',#65468);
#19607=VERTEX_POINT('',#65469);
#19608=VERTEX_POINT('',#65471);
#19609=VERTEX_POINT('',#65473);
#19610=VERTEX_POINT('',#65475);
#19611=VERTEX_POINT('',#65477);
#19612=VERTEX_POINT('',#65479);
#19613=VERTEX_POINT('',#65481);
#19614=VERTEX_POINT('',#65485);
#19615=VERTEX_POINT('',#65486);
#19616=VERTEX_POINT('',#65491);
#19617=VERTEX_POINT('',#65495);
#19618=VERTEX_POINT('',#65499);
#19619=VERTEX_POINT('',#65503);
#19620=VERTEX_POINT('',#65507);
#19621=VERTEX_POINT('',#65511);
#19622=VERTEX_POINT('',#65520);
#19623=VERTEX_POINT('',#65521);
#19624=VERTEX_POINT('',#65523);
#19625=VERTEX_POINT('',#65525);
#19626=VERTEX_POINT('',#65529);
#19627=VERTEX_POINT('',#65530);
#19628=VERTEX_POINT('',#65535);
#19629=VERTEX_POINT('',#65537);
#19630=VERTEX_POINT('',#65542);
#19631=VERTEX_POINT('',#65543);
#19632=VERTEX_POINT('',#65546);
#19633=VERTEX_POINT('',#65547);
#19634=VERTEX_POINT('',#65550);
#19635=VERTEX_POINT('',#65551);
#19636=VERTEX_POINT('',#65554);
#19637=VERTEX_POINT('',#65555);
#19638=VERTEX_POINT('',#65558);
#19639=VERTEX_POINT('',#65559);
#19640=VERTEX_POINT('',#65562);
#19641=VERTEX_POINT('',#65563);
#19642=VERTEX_POINT('',#65565);
#19643=VERTEX_POINT('',#65567);
#19644=VERTEX_POINT('',#65570);
#19645=VERTEX_POINT('',#65571);
#19646=VERTEX_POINT('',#65574);
#19647=VERTEX_POINT('',#65575);
#19648=VERTEX_POINT('',#65578);
#19649=VERTEX_POINT('',#65579);
#19650=VERTEX_POINT('',#65582);
#19651=VERTEX_POINT('',#65583);
#19652=VERTEX_POINT('',#65586);
#19653=VERTEX_POINT('',#65587);
#19654=VERTEX_POINT('',#65590);
#19655=VERTEX_POINT('',#65591);
#19656=VERTEX_POINT('',#65594);
#19657=VERTEX_POINT('',#65595);
#19658=VERTEX_POINT('',#65598);
#19659=VERTEX_POINT('',#65599);
#19660=VERTEX_POINT('',#65602);
#19661=VERTEX_POINT('',#65603);
#19662=VERTEX_POINT('',#65606);
#19663=VERTEX_POINT('',#65607);
#19664=VERTEX_POINT('',#65610);
#19665=VERTEX_POINT('',#65611);
#19666=VERTEX_POINT('',#65614);
#19667=VERTEX_POINT('',#65615);
#19668=VERTEX_POINT('',#65618);
#19669=VERTEX_POINT('',#65619);
#19670=VERTEX_POINT('',#65622);
#19671=VERTEX_POINT('',#65623);
#19672=VERTEX_POINT('',#65626);
#19673=VERTEX_POINT('',#65627);
#19674=VERTEX_POINT('',#65630);
#19675=VERTEX_POINT('',#65631);
#19676=VERTEX_POINT('',#65634);
#19677=VERTEX_POINT('',#65635);
#19678=VERTEX_POINT('',#65638);
#19679=VERTEX_POINT('',#65639);
#19680=VERTEX_POINT('',#65642);
#19681=VERTEX_POINT('',#65643);
#19682=VERTEX_POINT('',#65646);
#19683=VERTEX_POINT('',#65647);
#19684=VERTEX_POINT('',#65650);
#19685=VERTEX_POINT('',#65651);
#19686=VERTEX_POINT('',#65654);
#19687=VERTEX_POINT('',#65655);
#19688=VERTEX_POINT('',#65658);
#19689=VERTEX_POINT('',#65659);
#19690=VERTEX_POINT('',#65662);
#19691=VERTEX_POINT('',#65663);
#19692=VERTEX_POINT('',#65666);
#19693=VERTEX_POINT('',#65667);
#19694=VERTEX_POINT('',#65670);
#19695=VERTEX_POINT('',#65671);
#19696=VERTEX_POINT('',#65674);
#19697=VERTEX_POINT('',#65675);
#19698=VERTEX_POINT('',#65678);
#19699=VERTEX_POINT('',#65679);
#19700=VERTEX_POINT('',#65682);
#19701=VERTEX_POINT('',#65683);
#19702=VERTEX_POINT('',#65686);
#19703=VERTEX_POINT('',#65687);
#19704=VERTEX_POINT('',#65690);
#19705=VERTEX_POINT('',#65691);
#19706=VERTEX_POINT('',#65694);
#19707=VERTEX_POINT('',#65695);
#19708=VERTEX_POINT('',#65698);
#19709=VERTEX_POINT('',#65699);
#19710=VERTEX_POINT('',#65702);
#19711=VERTEX_POINT('',#65703);
#19712=VERTEX_POINT('',#65706);
#19713=VERTEX_POINT('',#65707);
#19714=VERTEX_POINT('',#65710);
#19715=VERTEX_POINT('',#65711);
#19716=VERTEX_POINT('',#65714);
#19717=VERTEX_POINT('',#65715);
#19718=VERTEX_POINT('',#65718);
#19719=VERTEX_POINT('',#65719);
#19720=VERTEX_POINT('',#65722);
#19721=VERTEX_POINT('',#65723);
#19722=VERTEX_POINT('',#65726);
#19723=VERTEX_POINT('',#65727);
#19724=VERTEX_POINT('',#65730);
#19725=VERTEX_POINT('',#65731);
#19726=VERTEX_POINT('',#65734);
#19727=VERTEX_POINT('',#65735);
#19728=VERTEX_POINT('',#65738);
#19729=VERTEX_POINT('',#65739);
#19730=VERTEX_POINT('',#65742);
#19731=VERTEX_POINT('',#65743);
#19732=VERTEX_POINT('',#65746);
#19733=VERTEX_POINT('',#65747);
#19734=VERTEX_POINT('',#65750);
#19735=VERTEX_POINT('',#65751);
#19736=VERTEX_POINT('',#65754);
#19737=VERTEX_POINT('',#65755);
#19738=VERTEX_POINT('',#65758);
#19739=VERTEX_POINT('',#65759);
#19740=VERTEX_POINT('',#65762);
#19741=VERTEX_POINT('',#65763);
#19742=VERTEX_POINT('',#65766);
#19743=VERTEX_POINT('',#65767);
#19744=VERTEX_POINT('',#65770);
#19745=VERTEX_POINT('',#65771);
#19746=VERTEX_POINT('',#65774);
#19747=VERTEX_POINT('',#65775);
#19748=VERTEX_POINT('',#65778);
#19749=VERTEX_POINT('',#65779);
#19750=VERTEX_POINT('',#65782);
#19751=VERTEX_POINT('',#65783);
#19752=VERTEX_POINT('',#65786);
#19753=VERTEX_POINT('',#65787);
#19754=VERTEX_POINT('',#65790);
#19755=VERTEX_POINT('',#65791);
#19756=VERTEX_POINT('',#65794);
#19757=VERTEX_POINT('',#65795);
#19758=VERTEX_POINT('',#65798);
#19759=VERTEX_POINT('',#65799);
#19760=VERTEX_POINT('',#65802);
#19761=VERTEX_POINT('',#65803);
#19762=VERTEX_POINT('',#65806);
#19763=VERTEX_POINT('',#65807);
#19764=VERTEX_POINT('',#65810);
#19765=VERTEX_POINT('',#65811);
#19766=VERTEX_POINT('',#65814);
#19767=VERTEX_POINT('',#65815);
#19768=VERTEX_POINT('',#65818);
#19769=VERTEX_POINT('',#65819);
#19770=VERTEX_POINT('',#65822);
#19771=VERTEX_POINT('',#65823);
#19772=VERTEX_POINT('',#65826);
#19773=VERTEX_POINT('',#65827);
#19774=VERTEX_POINT('',#65830);
#19775=VERTEX_POINT('',#65831);
#19776=VERTEX_POINT('',#65834);
#19777=VERTEX_POINT('',#65835);
#19778=VERTEX_POINT('',#65838);
#19779=VERTEX_POINT('',#65839);
#19780=VERTEX_POINT('',#65842);
#19781=VERTEX_POINT('',#65843);
#19782=VERTEX_POINT('',#65846);
#19783=VERTEX_POINT('',#65847);
#19784=VERTEX_POINT('',#65850);
#19785=VERTEX_POINT('',#65851);
#19786=VERTEX_POINT('',#65854);
#19787=VERTEX_POINT('',#65855);
#19788=VERTEX_POINT('',#65858);
#19789=VERTEX_POINT('',#65859);
#19790=VERTEX_POINT('',#65862);
#19791=VERTEX_POINT('',#65863);
#19792=VERTEX_POINT('',#65866);
#19793=VERTEX_POINT('',#65867);
#19794=VERTEX_POINT('',#65870);
#19795=VERTEX_POINT('',#65871);
#19796=VERTEX_POINT('',#65874);
#19797=VERTEX_POINT('',#65875);
#19798=VERTEX_POINT('',#65878);
#19799=VERTEX_POINT('',#65879);
#19800=VERTEX_POINT('',#65882);
#19801=VERTEX_POINT('',#65883);
#19802=VERTEX_POINT('',#65886);
#19803=VERTEX_POINT('',#65887);
#19804=VERTEX_POINT('',#65890);
#19805=VERTEX_POINT('',#65891);
#19806=VERTEX_POINT('',#65894);
#19807=VERTEX_POINT('',#65895);
#19808=VERTEX_POINT('',#65898);
#19809=VERTEX_POINT('',#65899);
#19810=VERTEX_POINT('',#65902);
#19811=VERTEX_POINT('',#65903);
#19812=VERTEX_POINT('',#65906);
#19813=VERTEX_POINT('',#65907);
#19814=VERTEX_POINT('',#65910);
#19815=VERTEX_POINT('',#65911);
#19816=VERTEX_POINT('',#65914);
#19817=VERTEX_POINT('',#65915);
#19818=VERTEX_POINT('',#65918);
#19819=VERTEX_POINT('',#65919);
#19820=VERTEX_POINT('',#65922);
#19821=VERTEX_POINT('',#65923);
#19822=VERTEX_POINT('',#65926);
#19823=VERTEX_POINT('',#65927);
#19824=VERTEX_POINT('',#65929);
#19825=VERTEX_POINT('',#65931);
#19826=VERTEX_POINT('',#65934);
#19827=VERTEX_POINT('',#65935);
#19828=VERTEX_POINT('',#65937);
#19829=VERTEX_POINT('',#65939);
#19830=VERTEX_POINT('',#65942);
#19831=VERTEX_POINT('',#65943);
#19832=VERTEX_POINT('',#65946);
#19833=VERTEX_POINT('',#65947);
#19834=VERTEX_POINT('',#65950);
#19835=VERTEX_POINT('',#65951);
#19836=VERTEX_POINT('',#65954);
#19837=VERTEX_POINT('',#65955);
#19838=VERTEX_POINT('',#65958);
#19839=VERTEX_POINT('',#65959);
#19840=VERTEX_POINT('',#65962);
#19841=VERTEX_POINT('',#65963);
#19842=VERTEX_POINT('',#65966);
#19843=VERTEX_POINT('',#65967);
#19844=VERTEX_POINT('',#65970);
#19845=VERTEX_POINT('',#65971);
#19846=VERTEX_POINT('',#65974);
#19847=VERTEX_POINT('',#65975);
#19848=VERTEX_POINT('',#65978);
#19849=VERTEX_POINT('',#65979);
#19850=VERTEX_POINT('',#65982);
#19851=VERTEX_POINT('',#65983);
#19852=VERTEX_POINT('',#65986);
#19853=VERTEX_POINT('',#65987);
#19854=VERTEX_POINT('',#65990);
#19855=VERTEX_POINT('',#65991);
#19856=VERTEX_POINT('',#65994);
#19857=VERTEX_POINT('',#65995);
#19858=VERTEX_POINT('',#65998);
#19859=VERTEX_POINT('',#65999);
#19860=VERTEX_POINT('',#66002);
#19861=VERTEX_POINT('',#66003);
#19862=VERTEX_POINT('',#66006);
#19863=VERTEX_POINT('',#66007);
#19864=VERTEX_POINT('',#66010);
#19865=VERTEX_POINT('',#66011);
#19866=VERTEX_POINT('',#66014);
#19867=VERTEX_POINT('',#66015);
#19868=VERTEX_POINT('',#66018);
#19869=VERTEX_POINT('',#66019);
#19870=VERTEX_POINT('',#66022);
#19871=VERTEX_POINT('',#66023);
#19872=VERTEX_POINT('',#66026);
#19873=VERTEX_POINT('',#66027);
#19874=VERTEX_POINT('',#66030);
#19875=VERTEX_POINT('',#66031);
#19876=VERTEX_POINT('',#66034);
#19877=VERTEX_POINT('',#66035);
#19878=VERTEX_POINT('',#66038);
#19879=VERTEX_POINT('',#66039);
#19880=VERTEX_POINT('',#66042);
#19881=VERTEX_POINT('',#66043);
#19882=VERTEX_POINT('',#66046);
#19883=VERTEX_POINT('',#66047);
#19884=VERTEX_POINT('',#66050);
#19885=VERTEX_POINT('',#66051);
#19886=VERTEX_POINT('',#66054);
#19887=VERTEX_POINT('',#66055);
#19888=VERTEX_POINT('',#66058);
#19889=VERTEX_POINT('',#66059);
#19890=VERTEX_POINT('',#66062);
#19891=VERTEX_POINT('',#66063);
#19892=VERTEX_POINT('',#66066);
#19893=VERTEX_POINT('',#66067);
#19894=VERTEX_POINT('',#66070);
#19895=VERTEX_POINT('',#66071);
#19896=VERTEX_POINT('',#66074);
#19897=VERTEX_POINT('',#66075);
#19898=VERTEX_POINT('',#66078);
#19899=VERTEX_POINT('',#66079);
#19900=VERTEX_POINT('',#66082);
#19901=VERTEX_POINT('',#66083);
#19902=VERTEX_POINT('',#66086);
#19903=VERTEX_POINT('',#66087);
#19904=VERTEX_POINT('',#66090);
#19905=VERTEX_POINT('',#66091);
#19906=VERTEX_POINT('',#66094);
#19907=VERTEX_POINT('',#66095);
#19908=VERTEX_POINT('',#66098);
#19909=VERTEX_POINT('',#66099);
#19910=VERTEX_POINT('',#66102);
#19911=VERTEX_POINT('',#66103);
#19912=VERTEX_POINT('',#66106);
#19913=VERTEX_POINT('',#66107);
#19914=VERTEX_POINT('',#66110);
#19915=VERTEX_POINT('',#66111);
#19916=VERTEX_POINT('',#66114);
#19917=VERTEX_POINT('',#66115);
#19918=VERTEX_POINT('',#66118);
#19919=VERTEX_POINT('',#66119);
#19920=VERTEX_POINT('',#66122);
#19921=VERTEX_POINT('',#66123);
#19922=VERTEX_POINT('',#66126);
#19923=VERTEX_POINT('',#66127);
#19924=VERTEX_POINT('',#66130);
#19925=VERTEX_POINT('',#66131);
#19926=VERTEX_POINT('',#66134);
#19927=VERTEX_POINT('',#66135);
#19928=VERTEX_POINT('',#66138);
#19929=VERTEX_POINT('',#66139);
#19930=VERTEX_POINT('',#66142);
#19931=VERTEX_POINT('',#66143);
#19932=VERTEX_POINT('',#66146);
#19933=VERTEX_POINT('',#66147);
#19934=VERTEX_POINT('',#66150);
#19935=VERTEX_POINT('',#66151);
#19936=VERTEX_POINT('',#66156);
#19937=VERTEX_POINT('',#66157);
#19938=VERTEX_POINT('',#66160);
#19939=VERTEX_POINT('',#66161);
#19940=VERTEX_POINT('',#66164);
#19941=VERTEX_POINT('',#66165);
#19942=VERTEX_POINT('',#66168);
#19943=VERTEX_POINT('',#66169);
#19944=VERTEX_POINT('',#66172);
#19945=VERTEX_POINT('',#66173);
#19946=VERTEX_POINT('',#66176);
#19947=VERTEX_POINT('',#66177);
#19948=VERTEX_POINT('',#66180);
#19949=VERTEX_POINT('',#66181);
#19950=VERTEX_POINT('',#66184);
#19951=VERTEX_POINT('',#66185);
#19952=VERTEX_POINT('',#66188);
#19953=VERTEX_POINT('',#66189);
#19954=VERTEX_POINT('',#66192);
#19955=VERTEX_POINT('',#66193);
#19956=VERTEX_POINT('',#66196);
#19957=VERTEX_POINT('',#66197);
#19958=VERTEX_POINT('',#66200);
#19959=VERTEX_POINT('',#66201);
#19960=VERTEX_POINT('',#66204);
#19961=VERTEX_POINT('',#66205);
#19962=VERTEX_POINT('',#66208);
#19963=VERTEX_POINT('',#66209);
#19964=VERTEX_POINT('',#66212);
#19965=VERTEX_POINT('',#66213);
#19966=VERTEX_POINT('',#66216);
#19967=VERTEX_POINT('',#66217);
#19968=VERTEX_POINT('',#66220);
#19969=VERTEX_POINT('',#66221);
#19970=VERTEX_POINT('',#66224);
#19971=VERTEX_POINT('',#66225);
#19972=VERTEX_POINT('',#66228);
#19973=VERTEX_POINT('',#66229);
#19974=VERTEX_POINT('',#66232);
#19975=VERTEX_POINT('',#66233);
#19976=VERTEX_POINT('',#66236);
#19977=VERTEX_POINT('',#66237);
#19978=VERTEX_POINT('',#66240);
#19979=VERTEX_POINT('',#66241);
#19980=VERTEX_POINT('',#66244);
#19981=VERTEX_POINT('',#66245);
#19982=VERTEX_POINT('',#66248);
#19983=VERTEX_POINT('',#66249);
#19984=VERTEX_POINT('',#66252);
#19985=VERTEX_POINT('',#66253);
#19986=VERTEX_POINT('',#66256);
#19987=VERTEX_POINT('',#66257);
#19988=VERTEX_POINT('',#66260);
#19989=VERTEX_POINT('',#66261);
#19990=VERTEX_POINT('',#66264);
#19991=VERTEX_POINT('',#66265);
#19992=VERTEX_POINT('',#66268);
#19993=VERTEX_POINT('',#66269);
#19994=VERTEX_POINT('',#66272);
#19995=VERTEX_POINT('',#66273);
#19996=VERTEX_POINT('',#66276);
#19997=VERTEX_POINT('',#66277);
#19998=VERTEX_POINT('',#66280);
#19999=VERTEX_POINT('',#66281);
#20000=VERTEX_POINT('',#66284);
#20001=VERTEX_POINT('',#66285);
#20002=VERTEX_POINT('',#66288);
#20003=VERTEX_POINT('',#66289);
#20004=VERTEX_POINT('',#66292);
#20005=VERTEX_POINT('',#66293);
#20006=VERTEX_POINT('',#66296);
#20007=VERTEX_POINT('',#66297);
#20008=VERTEX_POINT('',#66300);
#20009=VERTEX_POINT('',#66301);
#20010=VERTEX_POINT('',#66304);
#20011=VERTEX_POINT('',#66305);
#20012=VERTEX_POINT('',#66308);
#20013=VERTEX_POINT('',#66309);
#20014=VERTEX_POINT('',#66312);
#20015=VERTEX_POINT('',#66313);
#20016=VERTEX_POINT('',#66316);
#20017=VERTEX_POINT('',#66317);
#20018=VERTEX_POINT('',#66320);
#20019=VERTEX_POINT('',#66321);
#20020=VERTEX_POINT('',#66324);
#20021=VERTEX_POINT('',#66325);
#20022=VERTEX_POINT('',#66328);
#20023=VERTEX_POINT('',#66329);
#20024=VERTEX_POINT('',#66332);
#20025=VERTEX_POINT('',#66333);
#20026=VERTEX_POINT('',#66336);
#20027=VERTEX_POINT('',#66337);
#20028=VERTEX_POINT('',#66340);
#20029=VERTEX_POINT('',#66341);
#20030=VERTEX_POINT('',#66344);
#20031=VERTEX_POINT('',#66345);
#20032=VERTEX_POINT('',#66348);
#20033=VERTEX_POINT('',#66349);
#20034=VERTEX_POINT('',#66352);
#20035=VERTEX_POINT('',#66353);
#20036=VERTEX_POINT('',#66356);
#20037=VERTEX_POINT('',#66357);
#20038=VERTEX_POINT('',#66360);
#20039=VERTEX_POINT('',#66361);
#20040=VERTEX_POINT('',#66364);
#20041=VERTEX_POINT('',#66365);
#20042=VERTEX_POINT('',#66368);
#20043=VERTEX_POINT('',#66369);
#20044=VERTEX_POINT('',#66372);
#20045=VERTEX_POINT('',#66373);
#20046=VERTEX_POINT('',#66376);
#20047=VERTEX_POINT('',#66377);
#20048=VERTEX_POINT('',#66380);
#20049=VERTEX_POINT('',#66381);
#20050=VERTEX_POINT('',#66384);
#20051=VERTEX_POINT('',#66385);
#20052=VERTEX_POINT('',#66388);
#20053=VERTEX_POINT('',#66389);
#20054=VERTEX_POINT('',#66392);
#20055=VERTEX_POINT('',#66393);
#20056=VERTEX_POINT('',#66396);
#20057=VERTEX_POINT('',#66397);
#20058=VERTEX_POINT('',#66400);
#20059=VERTEX_POINT('',#66401);
#20060=VERTEX_POINT('',#66404);
#20061=VERTEX_POINT('',#66405);
#20062=VERTEX_POINT('',#66408);
#20063=VERTEX_POINT('',#66409);
#20064=VERTEX_POINT('',#66412);
#20065=VERTEX_POINT('',#66413);
#20066=VERTEX_POINT('',#66416);
#20067=VERTEX_POINT('',#66417);
#20068=VERTEX_POINT('',#66419);
#20069=VERTEX_POINT('',#66421);
#20070=VERTEX_POINT('',#66424);
#20071=VERTEX_POINT('',#66425);
#20072=VERTEX_POINT('',#66428);
#20073=VERTEX_POINT('',#66429);
#20074=VERTEX_POINT('',#66432);
#20075=VERTEX_POINT('',#66433);
#20076=VERTEX_POINT('',#66436);
#20077=VERTEX_POINT('',#66437);
#20078=VERTEX_POINT('',#66440);
#20079=VERTEX_POINT('',#66441);
#20080=VERTEX_POINT('',#66444);
#20081=VERTEX_POINT('',#66445);
#20082=VERTEX_POINT('',#66448);
#20083=VERTEX_POINT('',#66449);
#20084=VERTEX_POINT('',#66452);
#20085=VERTEX_POINT('',#66453);
#20086=VERTEX_POINT('',#66456);
#20087=VERTEX_POINT('',#66457);
#20088=VERTEX_POINT('',#66460);
#20089=VERTEX_POINT('',#66461);
#20090=VERTEX_POINT('',#66464);
#20091=VERTEX_POINT('',#66465);
#20092=VERTEX_POINT('',#66468);
#20093=VERTEX_POINT('',#66469);
#20094=VERTEX_POINT('',#66472);
#20095=VERTEX_POINT('',#66473);
#20096=VERTEX_POINT('',#66476);
#20097=VERTEX_POINT('',#66477);
#20098=VERTEX_POINT('',#66480);
#20099=VERTEX_POINT('',#66481);
#20100=VERTEX_POINT('',#66484);
#20101=VERTEX_POINT('',#66485);
#20102=VERTEX_POINT('',#66488);
#20103=VERTEX_POINT('',#66489);
#20104=VERTEX_POINT('',#66492);
#20105=VERTEX_POINT('',#66493);
#20106=VERTEX_POINT('',#66496);
#20107=VERTEX_POINT('',#66497);
#20108=VERTEX_POINT('',#66500);
#20109=VERTEX_POINT('',#66501);
#20110=VERTEX_POINT('',#66504);
#20111=VERTEX_POINT('',#66505);
#20112=VERTEX_POINT('',#66508);
#20113=VERTEX_POINT('',#66509);
#20114=VERTEX_POINT('',#66512);
#20115=VERTEX_POINT('',#66513);
#20116=VERTEX_POINT('',#66516);
#20117=VERTEX_POINT('',#66517);
#20118=VERTEX_POINT('',#66520);
#20119=VERTEX_POINT('',#66521);
#20120=VERTEX_POINT('',#66524);
#20121=VERTEX_POINT('',#66525);
#20122=VERTEX_POINT('',#66528);
#20123=VERTEX_POINT('',#66529);
#20124=VERTEX_POINT('',#66532);
#20125=VERTEX_POINT('',#66533);
#20126=VERTEX_POINT('',#66536);
#20127=VERTEX_POINT('',#66537);
#20128=VERTEX_POINT('',#66540);
#20129=VERTEX_POINT('',#66541);
#20130=VERTEX_POINT('',#66544);
#20131=VERTEX_POINT('',#66545);
#20132=VERTEX_POINT('',#66547);
#20133=VERTEX_POINT('',#66549);
#20134=VERTEX_POINT('',#66552);
#20135=VERTEX_POINT('',#66553);
#20136=VERTEX_POINT('',#66556);
#20137=VERTEX_POINT('',#66557);
#20138=VERTEX_POINT('',#66560);
#20139=VERTEX_POINT('',#66561);
#20140=VERTEX_POINT('',#66564);
#20141=VERTEX_POINT('',#66565);
#20142=VERTEX_POINT('',#66568);
#20143=VERTEX_POINT('',#66569);
#20144=VERTEX_POINT('',#66572);
#20145=VERTEX_POINT('',#66573);
#20146=VERTEX_POINT('',#66576);
#20147=VERTEX_POINT('',#66577);
#20148=VERTEX_POINT('',#66580);
#20149=VERTEX_POINT('',#66581);
#20150=VERTEX_POINT('',#66584);
#20151=VERTEX_POINT('',#66585);
#20152=VERTEX_POINT('',#66588);
#20153=VERTEX_POINT('',#66589);
#20154=VERTEX_POINT('',#66592);
#20155=VERTEX_POINT('',#66593);
#20156=VERTEX_POINT('',#66596);
#20157=VERTEX_POINT('',#66597);
#20158=VERTEX_POINT('',#66600);
#20159=VERTEX_POINT('',#66601);
#20160=VERTEX_POINT('',#66604);
#20161=VERTEX_POINT('',#66605);
#20162=VERTEX_POINT('',#66608);
#20163=VERTEX_POINT('',#66609);
#20164=VERTEX_POINT('',#66612);
#20165=VERTEX_POINT('',#66613);
#20166=VERTEX_POINT('',#66616);
#20167=VERTEX_POINT('',#66617);
#20168=VERTEX_POINT('',#66620);
#20169=VERTEX_POINT('',#66621);
#20170=VERTEX_POINT('',#66624);
#20171=VERTEX_POINT('',#66625);
#20172=VERTEX_POINT('',#66628);
#20173=VERTEX_POINT('',#66629);
#20174=VERTEX_POINT('',#66632);
#20175=VERTEX_POINT('',#66633);
#20176=VERTEX_POINT('',#66636);
#20177=VERTEX_POINT('',#66637);
#20178=VERTEX_POINT('',#66640);
#20179=VERTEX_POINT('',#66641);
#20180=VERTEX_POINT('',#66644);
#20181=VERTEX_POINT('',#66645);
#20182=VERTEX_POINT('',#66648);
#20183=VERTEX_POINT('',#66649);
#20184=VERTEX_POINT('',#66652);
#20185=VERTEX_POINT('',#66653);
#20186=VERTEX_POINT('',#66656);
#20187=VERTEX_POINT('',#66657);
#20188=VERTEX_POINT('',#66660);
#20189=VERTEX_POINT('',#66661);
#20190=VERTEX_POINT('',#66664);
#20191=VERTEX_POINT('',#66665);
#20192=VERTEX_POINT('',#66668);
#20193=VERTEX_POINT('',#66669);
#20194=VERTEX_POINT('',#66672);
#20195=VERTEX_POINT('',#66673);
#20196=VERTEX_POINT('',#66676);
#20197=VERTEX_POINT('',#66677);
#20198=VERTEX_POINT('',#66680);
#20199=VERTEX_POINT('',#66681);
#20200=VERTEX_POINT('',#66684);
#20201=VERTEX_POINT('',#66685);
#20202=VERTEX_POINT('',#66687);
#20203=VERTEX_POINT('',#66689);
#20204=VERTEX_POINT('',#66692);
#20205=VERTEX_POINT('',#66693);
#20206=VERTEX_POINT('',#66696);
#20207=VERTEX_POINT('',#66697);
#20208=VERTEX_POINT('',#66700);
#20209=VERTEX_POINT('',#66701);
#20210=VERTEX_POINT('',#66704);
#20211=VERTEX_POINT('',#66705);
#20212=VERTEX_POINT('',#66708);
#20213=VERTEX_POINT('',#66709);
#20214=VERTEX_POINT('',#66712);
#20215=VERTEX_POINT('',#66713);
#20216=VERTEX_POINT('',#66716);
#20217=VERTEX_POINT('',#66717);
#20218=VERTEX_POINT('',#66720);
#20219=VERTEX_POINT('',#66721);
#20220=VERTEX_POINT('',#66724);
#20221=VERTEX_POINT('',#66725);
#20222=VERTEX_POINT('',#66728);
#20223=VERTEX_POINT('',#66729);
#20224=VERTEX_POINT('',#66732);
#20225=VERTEX_POINT('',#66733);
#20226=VERTEX_POINT('',#66736);
#20227=VERTEX_POINT('',#66737);
#20228=VERTEX_POINT('',#66740);
#20229=VERTEX_POINT('',#66741);
#20230=VERTEX_POINT('',#66744);
#20231=VERTEX_POINT('',#66745);
#20232=VERTEX_POINT('',#66748);
#20233=VERTEX_POINT('',#66749);
#20234=VERTEX_POINT('',#66752);
#20235=VERTEX_POINT('',#66753);
#20236=VERTEX_POINT('',#66756);
#20237=VERTEX_POINT('',#66757);
#20238=VERTEX_POINT('',#66760);
#20239=VERTEX_POINT('',#66761);
#20240=VERTEX_POINT('',#66764);
#20241=VERTEX_POINT('',#66765);
#20242=VERTEX_POINT('',#67159);
#20243=VERTEX_POINT('',#67160);
#20244=VERTEX_POINT('',#67162);
#20245=VERTEX_POINT('',#67164);
#20246=VERTEX_POINT('',#67168);
#20247=VERTEX_POINT('',#67170);
#20248=VERTEX_POINT('',#67174);
#20249=VERTEX_POINT('',#67176);
#20250=VERTEX_POINT('',#67183);
#20251=VERTEX_POINT('',#67185);
#20252=VERTEX_POINT('',#67189);
#20253=VERTEX_POINT('',#67193);
#20254=VERTEX_POINT('',#67194);
#20255=VERTEX_POINT('',#67196);
#20256=VERTEX_POINT('',#67198);
#20257=VERTEX_POINT('',#67202);
#20258=VERTEX_POINT('',#67203);
#20259=VERTEX_POINT('',#67205);
#20260=VERTEX_POINT('',#67207);
#20261=VERTEX_POINT('',#67209);
#20262=VERTEX_POINT('',#67213);
#20263=VERTEX_POINT('',#67215);
#20264=VERTEX_POINT('',#67217);
#20265=VERTEX_POINT('',#67219);
#20266=VERTEX_POINT('',#67223);
#20267=VERTEX_POINT('',#67227);
#20268=VERTEX_POINT('',#67229);
#20269=VERTEX_POINT('',#67233);
#20270=VERTEX_POINT('',#67234);
#20271=VERTEX_POINT('',#67236);
#20272=VERTEX_POINT('',#67238);
#20273=VERTEX_POINT('',#67240);
#20274=VERTEX_POINT('',#67244);
#20275=VERTEX_POINT('',#67246);
#20276=VERTEX_POINT('',#67248);
#20277=VERTEX_POINT('',#67250);
#20278=VERTEX_POINT('',#67254);
#20279=VERTEX_POINT('',#67258);
#20280=VERTEX_POINT('',#67260);
#20281=VERTEX_POINT('',#67270);
#20282=VERTEX_POINT('',#67273);
#20283=VERTEX_POINT('',#67278);
#20284=VERTEX_POINT('',#67282);
#20285=VERTEX_POINT('',#67288);
#20286=VERTEX_POINT('',#67299);
#20287=VERTEX_POINT('',#67300);
#20288=VERTEX_POINT('',#67302);
#20289=VERTEX_POINT('',#67304);
#20290=VERTEX_POINT('',#67308);
#20291=VERTEX_POINT('',#67310);
#20292=VERTEX_POINT('',#67312);
#20293=VERTEX_POINT('',#67314);
#20294=VERTEX_POINT('',#67316);
#20295=VERTEX_POINT('',#67318);
#20296=VERTEX_POINT('',#67322);
#20297=VERTEX_POINT('',#67324);
#20298=VERTEX_POINT('',#67326);
#20299=VERTEX_POINT('',#67328);
#20300=VERTEX_POINT('',#67330);
#20301=VERTEX_POINT('',#67332);
#20302=VERTEX_POINT('',#67334);
#20303=VERTEX_POINT('',#67336);
#20304=VERTEX_POINT('',#67338);
#20305=VERTEX_POINT('',#67340);
#20306=VERTEX_POINT('',#67342);
#20307=VERTEX_POINT('',#67344);
#20308=VERTEX_POINT('',#67346);
#20309=VERTEX_POINT('',#67350);
#20310=VERTEX_POINT('',#67354);
#20311=VERTEX_POINT('',#67356);
#20312=VERTEX_POINT('',#67358);
#20313=VERTEX_POINT('',#67360);
#20314=VERTEX_POINT('',#67362);
#20315=VERTEX_POINT('',#67366);
#20316=VERTEX_POINT('',#67370);
#20317=VERTEX_POINT('',#67372);
#20318=VERTEX_POINT('',#67374);
#20319=VERTEX_POINT('',#67376);
#20320=VERTEX_POINT('',#67378);
#20321=VERTEX_POINT('',#67382);
#20322=VERTEX_POINT('',#67386);
#20323=VERTEX_POINT('',#67388);
#20324=VERTEX_POINT('',#67390);
#20325=VERTEX_POINT('',#67392);
#20326=VERTEX_POINT('',#67394);
#20327=VERTEX_POINT('',#67398);
#20328=VERTEX_POINT('',#67402);
#20329=VERTEX_POINT('',#67404);
#20330=VERTEX_POINT('',#67406);
#20331=VERTEX_POINT('',#67408);
#20332=VERTEX_POINT('',#67410);
#20333=VERTEX_POINT('',#67414);
#20334=VERTEX_POINT('',#67415);
#20335=VERTEX_POINT('',#67417);
#20336=VERTEX_POINT('',#67419);
#20337=VERTEX_POINT('',#67423);
#20338=VERTEX_POINT('',#67424);
#20339=VERTEX_POINT('',#67429);
#20340=VERTEX_POINT('',#67430);
#20341=VERTEX_POINT('',#67435);
#20342=VERTEX_POINT('',#67440);
#20343=VERTEX_POINT('',#67444);
#20344=VERTEX_POINT('',#67448);
#20345=VERTEX_POINT('',#67452);
#20346=VERTEX_POINT('',#67456);
#20347=VERTEX_POINT('',#67458);
#20348=VERTEX_POINT('',#67460);
#20349=VERTEX_POINT('',#67464);
#20350=VERTEX_POINT('',#67466);
#20351=VERTEX_POINT('',#67468);
#20352=VERTEX_POINT('',#67470);
#20353=VERTEX_POINT('',#67472);
#20354=VERTEX_POINT('',#67476);
#20355=VERTEX_POINT('',#67480);
#20356=VERTEX_POINT('',#67481);
#20357=VERTEX_POINT('',#67486);
#20358=VERTEX_POINT('',#67487);
#20359=VERTEX_POINT('',#67492);
#20360=VERTEX_POINT('',#67499);
#20361=VERTEX_POINT('',#67501);
#20362=VERTEX_POINT('',#67503);
#20363=VERTEX_POINT('',#67505);
#20364=VERTEX_POINT('',#67507);
#20365=VERTEX_POINT('',#67509);
#20366=VERTEX_POINT('',#67513);
#20367=VERTEX_POINT('',#67514);
#20368=VERTEX_POINT('',#67517);
#20369=VERTEX_POINT('',#67519);
#20370=VERTEX_POINT('',#67523);
#20371=VERTEX_POINT('',#67527);
#20372=VERTEX_POINT('',#67528);
#20373=VERTEX_POINT('',#67531);
#20374=VERTEX_POINT('',#67533);
#20375=VERTEX_POINT('',#67537);
#20376=VERTEX_POINT('',#67541);
#20377=VERTEX_POINT('',#67542);
#20378=VERTEX_POINT('',#67545);
#20379=VERTEX_POINT('',#67547);
#20380=VERTEX_POINT('',#67551);
#20381=VERTEX_POINT('',#67555);
#20382=VERTEX_POINT('',#67556);
#20383=VERTEX_POINT('',#67559);
#20384=VERTEX_POINT('',#67561);
#20385=VERTEX_POINT('',#67565);
#20386=VERTEX_POINT('',#67569);
#20387=VERTEX_POINT('',#67570);
#20388=VERTEX_POINT('',#67572);
#20389=VERTEX_POINT('',#67574);
#20390=VERTEX_POINT('',#67578);
#20391=VERTEX_POINT('',#67580);
#20392=VERTEX_POINT('',#67584);
#20393=VERTEX_POINT('',#67586);
#20394=VERTEX_POINT('',#67588);
#20395=VERTEX_POINT('',#67590);
#20396=VERTEX_POINT('',#67592);
#20397=VERTEX_POINT('',#67594);
#20398=VERTEX_POINT('',#67596);
#20399=VERTEX_POINT('',#67598);
#20400=VERTEX_POINT('',#67600);
#20401=VERTEX_POINT('',#67602);
#20402=VERTEX_POINT('',#67604);
#20403=VERTEX_POINT('',#67606);
#20404=VERTEX_POINT('',#67608);
#20405=VERTEX_POINT('',#67611);
#20406=VERTEX_POINT('',#67613);
#20407=VERTEX_POINT('',#67616);
#20408=VERTEX_POINT('',#67618);
#20409=VERTEX_POINT('',#67622);
#20410=VERTEX_POINT('',#67626);
#20411=VERTEX_POINT('',#67627);
#20412=VERTEX_POINT('',#67629);
#20413=VERTEX_POINT('',#67631);
#20414=VERTEX_POINT('',#67635);
#20415=VERTEX_POINT('',#67637);
#20416=VERTEX_POINT('',#67641);
#20417=VERTEX_POINT('',#67643);
#20418=VERTEX_POINT('',#67645);
#20419=VERTEX_POINT('',#67647);
#20420=VERTEX_POINT('',#67649);
#20421=VERTEX_POINT('',#67651);
#20422=VERTEX_POINT('',#67653);
#20423=VERTEX_POINT('',#67655);
#20424=VERTEX_POINT('',#67657);
#20425=VERTEX_POINT('',#67659);
#20426=VERTEX_POINT('',#67661);
#20427=VERTEX_POINT('',#67663);
#20428=VERTEX_POINT('',#67665);
#20429=VERTEX_POINT('',#67668);
#20430=VERTEX_POINT('',#67670);
#20431=VERTEX_POINT('',#67673);
#20432=VERTEX_POINT('',#67675);
#20433=VERTEX_POINT('',#67679);
#20434=VERTEX_POINT('',#67688);
#20435=VERTEX_POINT('',#67690);
#20436=VERTEX_POINT('',#67694);
#20437=VERTEX_POINT('',#67698);
#20438=VERTEX_POINT('',#67706);
#20439=VERTEX_POINT('',#67710);
#20440=VERTEX_POINT('',#67712);
#20441=VERTEX_POINT('',#67714);
#20442=VERTEX_POINT('',#67719);
#20443=VERTEX_POINT('',#67721);
#20444=VERTEX_POINT('',#67725);
#20445=VERTEX_POINT('',#67732);
#20446=VERTEX_POINT('',#67733);
#20447=VERTEX_POINT('',#67735);
#20448=VERTEX_POINT('',#67737);
#20449=VERTEX_POINT('',#67741);
#20450=VERTEX_POINT('',#67743);
#20451=VERTEX_POINT('',#67757);
#20452=VERTEX_POINT('',#67759);
#20453=VERTEX_POINT('',#67769);
#20454=VERTEX_POINT('',#67771);
#20455=VERTEX_POINT('',#67775);
#20456=VERTEX_POINT('',#67777);
#20457=VERTEX_POINT('',#67781);
#20458=VERTEX_POINT('',#67791);
#20459=VERTEX_POINT('',#67796);
#20460=VERTEX_POINT('',#67822);
#20461=VERTEX_POINT('',#67828);
#20462=VERTEX_POINT('',#67834);
#20463=VERTEX_POINT('',#67838);
#20464=VERTEX_POINT('',#67842);
#20465=VERTEX_POINT('',#67846);
#20466=VERTEX_POINT('',#67850);
#20467=VERTEX_POINT('',#67856);
#20468=VERTEX_POINT('',#67867);
#20469=VERTEX_POINT('',#67868);
#20470=VERTEX_POINT('',#67870);
#20471=VERTEX_POINT('',#67872);
#20472=VERTEX_POINT('',#67876);
#20473=VERTEX_POINT('',#67878);
#20474=VERTEX_POINT('',#67882);
#20475=VERTEX_POINT('',#67884);
#20476=VERTEX_POINT('',#67892);
#20477=VERTEX_POINT('',#67896);
#20478=VERTEX_POINT('',#67905);
#20479=VERTEX_POINT('',#67906);
#20480=VERTEX_POINT('',#67908);
#20481=VERTEX_POINT('',#67910);
#20482=VERTEX_POINT('',#67912);
#20483=VERTEX_POINT('',#67914);
#20484=VERTEX_POINT('',#67918);
#20485=VERTEX_POINT('',#67919);
#20486=VERTEX_POINT('',#67921);
#20487=VERTEX_POINT('',#67923);
#20488=VERTEX_POINT('',#67925);
#20489=VERTEX_POINT('',#67927);
#20490=VERTEX_POINT('',#67931);
#20491=VERTEX_POINT('',#67933);
#20492=VERTEX_POINT('',#67937);
#20493=VERTEX_POINT('',#67939);
#20494=VERTEX_POINT('',#67942);
#20495=VERTEX_POINT('',#67946);
#20496=VERTEX_POINT('',#67951);
#20497=VERTEX_POINT('',#67953);
#20498=VERTEX_POINT('',#67958);
#20499=VERTEX_POINT('',#67962);
#20500=VERTEX_POINT('',#67968);
#20501=VERTEX_POINT('',#67970);
#20502=VERTEX_POINT('',#67979);
#20503=VERTEX_POINT('',#67980);
#20504=VERTEX_POINT('',#67982);
#20505=VERTEX_POINT('',#67984);
#20506=VERTEX_POINT('',#67986);
#20507=VERTEX_POINT('',#67988);
#20508=VERTEX_POINT('',#67990);
#20509=VERTEX_POINT('',#67992);
#20510=VERTEX_POINT('',#67996);
#20511=VERTEX_POINT('',#67997);
#20512=VERTEX_POINT('',#67999);
#20513=VERTEX_POINT('',#68001);
#20514=VERTEX_POINT('',#68003);
#20515=VERTEX_POINT('',#68005);
#20516=VERTEX_POINT('',#68007);
#20517=VERTEX_POINT('',#68009);
#20518=VERTEX_POINT('',#68013);
#20519=VERTEX_POINT('',#68014);
#20520=VERTEX_POINT('',#68016);
#20521=VERTEX_POINT('',#68018);
#20522=VERTEX_POINT('',#68020);
#20523=VERTEX_POINT('',#68022);
#20524=VERTEX_POINT('',#68024);
#20525=VERTEX_POINT('',#68026);
#20526=VERTEX_POINT('',#68030);
#20527=VERTEX_POINT('',#68031);
#20528=VERTEX_POINT('',#68033);
#20529=VERTEX_POINT('',#68035);
#20530=VERTEX_POINT('',#68037);
#20531=VERTEX_POINT('',#68039);
#20532=VERTEX_POINT('',#68043);
#20533=VERTEX_POINT('',#68044);
#20534=VERTEX_POINT('',#68046);
#20535=VERTEX_POINT('',#68048);
#20536=VERTEX_POINT('',#68050);
#20537=VERTEX_POINT('',#68052);
#20538=VERTEX_POINT('',#68054);
#20539=VERTEX_POINT('',#68056);
#20540=VERTEX_POINT('',#68060);
#20541=VERTEX_POINT('',#68061);
#20542=VERTEX_POINT('',#68063);
#20543=VERTEX_POINT('',#68065);
#20544=VERTEX_POINT('',#68067);
#20545=VERTEX_POINT('',#68069);
#20546=VERTEX_POINT('',#68071);
#20547=VERTEX_POINT('',#68073);
#20548=VERTEX_POINT('',#68077);
#20549=VERTEX_POINT('',#68078);
#20550=VERTEX_POINT('',#68080);
#20551=VERTEX_POINT('',#68082);
#20552=VERTEX_POINT('',#68084);
#20553=VERTEX_POINT('',#68086);
#20554=VERTEX_POINT('',#68090);
#20555=VERTEX_POINT('',#68092);
#20556=VERTEX_POINT('',#68096);
#20557=VERTEX_POINT('',#68098);
#20558=VERTEX_POINT('',#68102);
#20559=VERTEX_POINT('',#68104);
#20560=VERTEX_POINT('',#68108);
#20561=VERTEX_POINT('',#68110);
#20562=VERTEX_POINT('',#68114);
#20563=VERTEX_POINT('',#68116);
#20564=VERTEX_POINT('',#68120);
#20565=VERTEX_POINT('',#68122);
#20566=VERTEX_POINT('',#68126);
#20567=VERTEX_POINT('',#68129);
#20568=VERTEX_POINT('',#68133);
#20569=VERTEX_POINT('',#68135);
#20570=VERTEX_POINT('',#68139);
#20571=VERTEX_POINT('',#68141);
#20572=VERTEX_POINT('',#68145);
#20573=VERTEX_POINT('',#68148);
#20574=VERTEX_POINT('',#68152);
#20575=VERTEX_POINT('',#68154);
#20576=VERTEX_POINT('',#68158);
#20577=VERTEX_POINT('',#68160);
#20578=VERTEX_POINT('',#68164);
#20579=VERTEX_POINT('',#68167);
#20580=VERTEX_POINT('',#68171);
#20581=VERTEX_POINT('',#68173);
#20582=VERTEX_POINT('',#68177);
#20583=VERTEX_POINT('',#68179);
#20584=VERTEX_POINT('',#68183);
#20585=VERTEX_POINT('',#68186);
#20586=VERTEX_POINT('',#68189);
#20587=VERTEX_POINT('',#68192);
#20588=VERTEX_POINT('',#68195);
#20589=VERTEX_POINT('',#68198);
#20590=VERTEX_POINT('',#68200);
#20591=VERTEX_POINT('',#68202);
#20592=VERTEX_POINT('',#68204);
#20593=VERTEX_POINT('',#68206);
#20594=VERTEX_POINT('',#68208);
#20595=VERTEX_POINT('',#68210);
#20596=VERTEX_POINT('',#68212);
#20597=VERTEX_POINT('',#68214);
#20598=VERTEX_POINT('',#68216);
#20599=VERTEX_POINT('',#68219);
#20600=VERTEX_POINT('',#68221);
#20601=VERTEX_POINT('',#68225);
#20602=VERTEX_POINT('',#68229);
#20603=VERTEX_POINT('',#68232);
#20604=VERTEX_POINT('',#68236);
#20605=VERTEX_POINT('',#68239);
#20606=VERTEX_POINT('',#68241);
#20607=VERTEX_POINT('',#68245);
#20608=VERTEX_POINT('',#68248);
#20609=VERTEX_POINT('',#68252);
#20610=VERTEX_POINT('',#68254);
#20611=VERTEX_POINT('',#68257);
#20612=VERTEX_POINT('',#68259);
#20613=VERTEX_POINT('',#68261);
#20614=VERTEX_POINT('',#68263);
#20615=VERTEX_POINT('',#68265);
#20616=VERTEX_POINT('',#68267);
#20617=VERTEX_POINT('',#68269);
#20618=VERTEX_POINT('',#68271);
#20619=VERTEX_POINT('',#68273);
#20620=VERTEX_POINT('',#68275);
#20621=VERTEX_POINT('',#68278);
#20622=VERTEX_POINT('',#68281);
#20623=VERTEX_POINT('',#68284);
#20624=VERTEX_POINT('',#68287);
#20625=VERTEX_POINT('',#68290);
#20626=VERTEX_POINT('',#68353);
#20627=VERTEX_POINT('',#68354);
#20628=VERTEX_POINT('',#68356);
#20629=VERTEX_POINT('',#68358);
#20630=VERTEX_POINT('',#68362);
#20631=VERTEX_POINT('',#68364);
#20632=VERTEX_POINT('',#68368);
#20633=VERTEX_POINT('',#68370);
#20634=VERTEX_POINT('',#68372);
#20635=VERTEX_POINT('',#68374);
#20636=VERTEX_POINT('',#68376);
#20637=VERTEX_POINT('',#68378);
#20638=VERTEX_POINT('',#68380);
#20639=VERTEX_POINT('',#68382);
#20640=VERTEX_POINT('',#68384);
#20641=VERTEX_POINT('',#68386);
#20642=VERTEX_POINT('',#68388);
#20643=VERTEX_POINT('',#68390);
#20644=VERTEX_POINT('',#68392);
#20645=VERTEX_POINT('',#68394);
#20646=VERTEX_POINT('',#68396);
#20647=VERTEX_POINT('',#68398);
#20648=VERTEX_POINT('',#68400);
#20649=VERTEX_POINT('',#68402);
#20650=VERTEX_POINT('',#68404);
#20651=VERTEX_POINT('',#68406);
#20652=VERTEX_POINT('',#68408);
#20653=VERTEX_POINT('',#68410);
#20654=VERTEX_POINT('',#68412);
#20655=VERTEX_POINT('',#68414);
#20656=VERTEX_POINT('',#68416);
#20657=VERTEX_POINT('',#68418);
#20658=VERTEX_POINT('',#68422);
#20659=VERTEX_POINT('',#68424);
#20660=VERTEX_POINT('',#68428);
#20661=VERTEX_POINT('',#68430);
#20662=VERTEX_POINT('',#68434);
#20663=VERTEX_POINT('',#68436);
#20664=VERTEX_POINT('',#68440);
#20665=VERTEX_POINT('',#68442);
#20666=VERTEX_POINT('',#68446);
#20667=VERTEX_POINT('',#68448);
#20668=VERTEX_POINT('',#68452);
#20669=VERTEX_POINT('',#68454);
#20670=VERTEX_POINT('',#68458);
#20671=VERTEX_POINT('',#68460);
#20672=VERTEX_POINT('',#68464);
#20673=VERTEX_POINT('',#68466);
#20674=VERTEX_POINT('',#68470);
#20675=VERTEX_POINT('',#68471);
#20676=VERTEX_POINT('',#68473);
#20677=VERTEX_POINT('',#68475);
#20678=VERTEX_POINT('',#68479);
#20679=VERTEX_POINT('',#68480);
#20680=VERTEX_POINT('',#68485);
#20681=VERTEX_POINT('',#68486);
#20682=VERTEX_POINT('',#68491);
#20683=VERTEX_POINT('',#68496);
#20684=VERTEX_POINT('',#68500);
#20685=VERTEX_POINT('',#68502);
#20686=VERTEX_POINT('',#68504);
#20687=VERTEX_POINT('',#68506);
#20688=VERTEX_POINT('',#68510);
#20689=VERTEX_POINT('',#68514);
#20690=VERTEX_POINT('',#68516);
#20691=VERTEX_POINT('',#68518);
#20692=VERTEX_POINT('',#68520);
#20693=VERTEX_POINT('',#68522);
#20694=VERTEX_POINT('',#68524);
#20695=VERTEX_POINT('',#68526);
#20696=VERTEX_POINT('',#68528);
#20697=VERTEX_POINT('',#68530);
#20698=VERTEX_POINT('',#68532);
#20699=VERTEX_POINT('',#68536);
#20700=VERTEX_POINT('',#68538);
#20701=VERTEX_POINT('',#68542);
#20702=VERTEX_POINT('',#68544);
#20703=VERTEX_POINT('',#68548);
#20704=VERTEX_POINT('',#68552);
#20705=VERTEX_POINT('',#68554);
#20706=VERTEX_POINT('',#68558);
#20707=VERTEX_POINT('',#68559);
#20708=VERTEX_POINT('',#68564);
#20709=VERTEX_POINT('',#68565);
#20710=VERTEX_POINT('',#68570);
#20711=VERTEX_POINT('',#68575);
#20712=VERTEX_POINT('',#68579);
#20713=VERTEX_POINT('',#68581);
#20714=VERTEX_POINT('',#68585);
#20715=VERTEX_POINT('',#68587);
#20716=VERTEX_POINT('',#68589);
#20717=VERTEX_POINT('',#68593);
#20718=VERTEX_POINT('',#68597);
#20719=VERTEX_POINT('',#68601);
#20720=VERTEX_POINT('',#68605);
#20721=VERTEX_POINT('',#68607);
#20722=VERTEX_POINT('',#68613);
#20723=VERTEX_POINT('',#68617);
#20724=VERTEX_POINT('',#68619);
#20725=VERTEX_POINT('',#68625);
#20726=VERTEX_POINT('',#68629);
#20727=VERTEX_POINT('',#68631);
#20728=VERTEX_POINT('',#68637);
#20729=VERTEX_POINT('',#68641);
#20730=VERTEX_POINT('',#68643);
#20731=VERTEX_POINT('',#68649);
#20732=VERTEX_POINT('',#68653);
#20733=VERTEX_POINT('',#68655);
#20734=VERTEX_POINT('',#68661);
#20735=VERTEX_POINT('',#68665);
#20736=VERTEX_POINT('',#68669);
#20737=VERTEX_POINT('',#68673);
#20738=VERTEX_POINT('',#68677);
#20739=VERTEX_POINT('',#68681);
#20740=VERTEX_POINT('',#68685);
#20741=VERTEX_POINT('',#68691);
#20742=VERTEX_POINT('',#68697);
#20743=VERTEX_POINT('',#68703);
#20744=VERTEX_POINT('',#68709);
#20745=VERTEX_POINT('',#68717);
#20746=VERTEX_POINT('',#68719);
#20747=VERTEX_POINT('',#68721);
#20748=VERTEX_POINT('',#68723);
#20749=VERTEX_POINT('',#68725);
#20750=VERTEX_POINT('',#68727);
#20751=VERTEX_POINT('',#68733);
#20752=VERTEX_POINT('',#68739);
#20753=VERTEX_POINT('',#68741);
#20754=VERTEX_POINT('',#68743);
#20755=VERTEX_POINT('',#68745);
#20756=VERTEX_POINT('',#68747);
#20757=VERTEX_POINT('',#68749);
#20758=VERTEX_POINT('',#68753);
#20759=VERTEX_POINT('',#68755);
#20760=VERTEX_POINT('',#68757);
#20761=VERTEX_POINT('',#68761);
#20762=VERTEX_POINT('',#68765);
#20763=VERTEX_POINT('',#68767);
#20764=VERTEX_POINT('',#68769);
#20765=VERTEX_POINT('',#68773);
#20766=VERTEX_POINT('',#68777);
#20767=VERTEX_POINT('',#68779);
#20768=VERTEX_POINT('',#68783);
#20769=VERTEX_POINT('',#68784);
#20770=VERTEX_POINT('',#68786);
#20771=VERTEX_POINT('',#68788);
#20772=VERTEX_POINT('',#68790);
#20773=VERTEX_POINT('',#68792);
#20774=VERTEX_POINT('',#68794);
#20775=VERTEX_POINT('',#68800);
#20776=VERTEX_POINT('',#68806);
#20777=VERTEX_POINT('',#68812);
#20778=VERTEX_POINT('',#68814);
#20779=VERTEX_POINT('',#68820);
#20780=VERTEX_POINT('',#68826);
#20781=VERTEX_POINT('',#68832);
#20782=VERTEX_POINT('',#68838);
#20783=VERTEX_POINT('',#68844);
#20784=VERTEX_POINT('',#68850);
#20785=VERTEX_POINT('',#68856);
#20786=VERTEX_POINT('',#68858);
#20787=VERTEX_POINT('',#68860);
#20788=VERTEX_POINT('',#68862);
#20789=VERTEX_POINT('',#68868);
#20790=VERTEX_POINT('',#68874);
#20791=VERTEX_POINT('',#68880);
#20792=VERTEX_POINT('',#68886);
#20793=VERTEX_POINT('',#68892);
#20794=VERTEX_POINT('',#68894);
#20795=VERTEX_POINT('',#68900);
#20796=VERTEX_POINT('',#68906);
#20797=VERTEX_POINT('',#68912);
#20798=VERTEX_POINT('',#68918);
#20799=VERTEX_POINT('',#68925);
#20800=VERTEX_POINT('',#68926);
#20801=VERTEX_POINT('',#68932);
#20802=VERTEX_POINT('',#68938);
#20803=VERTEX_POINT('',#68944);
#20804=VERTEX_POINT('',#68950);
#20805=VERTEX_POINT('',#68956);
#20806=VERTEX_POINT('',#68962);
#20807=VERTEX_POINT('',#68968);
#20808=VERTEX_POINT('',#68974);
#20809=VERTEX_POINT('',#68980);
#20810=VERTEX_POINT('',#68986);
#20811=VERTEX_POINT('',#68988);
#20812=VERTEX_POINT('',#68990);
#20813=VERTEX_POINT('',#68992);
#20814=VERTEX_POINT('',#68998);
#20815=VERTEX_POINT('',#69004);
#20816=VERTEX_POINT('',#69010);
#20817=VERTEX_POINT('',#69016);
#20818=VERTEX_POINT('',#69018);
#20819=VERTEX_POINT('',#69024);
#20820=VERTEX_POINT('',#69030);
#20821=VERTEX_POINT('',#69036);
#20822=VERTEX_POINT('',#69038);
#20823=VERTEX_POINT('',#69044);
#20824=VERTEX_POINT('',#69050);
#20825=VERTEX_POINT('',#69056);
#20826=VERTEX_POINT('',#69058);
#20827=VERTEX_POINT('',#69064);
#20828=VERTEX_POINT('',#69070);
#20829=VERTEX_POINT('',#69076);
#20830=VERTEX_POINT('',#69082);
#20831=VERTEX_POINT('',#69084);
#20832=VERTEX_POINT('',#69086);
#20833=VERTEX_POINT('',#69088);
#20834=VERTEX_POINT('',#69094);
#20835=VERTEX_POINT('',#69100);
#20836=VERTEX_POINT('',#69111);
#20837=VERTEX_POINT('',#69112);
#20838=VERTEX_POINT('',#69118);
#20839=VERTEX_POINT('',#69120);
#20840=VERTEX_POINT('',#69122);
#20841=VERTEX_POINT('',#69124);
#20842=VERTEX_POINT('',#69126);
#20843=VERTEX_POINT('',#69132);
#20844=VERTEX_POINT('',#69138);
#20845=VERTEX_POINT('',#69144);
#20846=VERTEX_POINT('',#69147);
#20847=VERTEX_POINT('',#69148);
#20848=VERTEX_POINT('',#69154);
#20849=VERTEX_POINT('',#69156);
#20850=VERTEX_POINT('',#69158);
#20851=VERTEX_POINT('',#69160);
#20852=VERTEX_POINT('',#69162);
#20853=VERTEX_POINT('',#69168);
#20854=VERTEX_POINT('',#69174);
#20855=VERTEX_POINT('',#69180);
#20856=VERTEX_POINT('',#69186);
#20857=VERTEX_POINT('',#69192);
#20858=VERTEX_POINT('',#69198);
#20859=VERTEX_POINT('',#69200);
#20860=VERTEX_POINT('',#69202);
#20861=VERTEX_POINT('',#69204);
#20862=VERTEX_POINT('',#69210);
#20863=VERTEX_POINT('',#69216);
#20864=VERTEX_POINT('',#69222);
#20865=VERTEX_POINT('',#69228);
#20866=VERTEX_POINT('',#69234);
#20867=VERTEX_POINT('',#69245);
#20868=VERTEX_POINT('',#69246);
#20869=VERTEX_POINT('',#69248);
#20870=VERTEX_POINT('',#69250);
#20871=VERTEX_POINT('',#69252);
#20872=VERTEX_POINT('',#69254);
#20873=VERTEX_POINT('',#69256);
#20874=VERTEX_POINT('',#69258);
#20875=VERTEX_POINT('',#69260);
#20876=VERTEX_POINT('',#69262);
#20877=VERTEX_POINT('',#69264);
#20878=VERTEX_POINT('',#69267);
#20879=VERTEX_POINT('',#69268);
#20880=VERTEX_POINT('',#69274);
#20881=VERTEX_POINT('',#69280);
#20882=VERTEX_POINT('',#69282);
#20883=VERTEX_POINT('',#69288);
#20884=VERTEX_POINT('',#69294);
#20885=VERTEX_POINT('',#69300);
#20886=VERTEX_POINT('',#69306);
#20887=VERTEX_POINT('',#69312);
#20888=VERTEX_POINT('',#69318);
#20889=VERTEX_POINT('',#69320);
#20890=VERTEX_POINT('',#69326);
#20891=VERTEX_POINT('',#69332);
#20892=VERTEX_POINT('',#69338);
#20893=VERTEX_POINT('',#69344);
#20894=VERTEX_POINT('',#69350);
#20895=VERTEX_POINT('',#69352);
#20896=VERTEX_POINT('',#69358);
#20897=VERTEX_POINT('',#69364);
#20898=VERTEX_POINT('',#69370);
#20899=VERTEX_POINT('',#69376);
#20900=VERTEX_POINT('',#69382);
#20901=VERTEX_POINT('',#69388);
#20902=VERTEX_POINT('',#69394);
#20903=VERTEX_POINT('',#69400);
#20904=VERTEX_POINT('',#69402);
#20905=VERTEX_POINT('',#69408);
#20906=VERTEX_POINT('',#69414);
#20907=VERTEX_POINT('',#69420);
#20908=VERTEX_POINT('',#69431);
#20909=VERTEX_POINT('',#69432);
#20910=VERTEX_POINT('',#69434);
#20911=VERTEX_POINT('',#69436);
#20912=VERTEX_POINT('',#69438);
#20913=VERTEX_POINT('',#69440);
#20914=VERTEX_POINT('',#69442);
#20915=VERTEX_POINT('',#69444);
#20916=VERTEX_POINT('',#69447);
#20917=VERTEX_POINT('',#69448);
#20918=VERTEX_POINT('',#69450);
#20919=VERTEX_POINT('',#69452);
#20920=VERTEX_POINT('',#69454);
#20921=VERTEX_POINT('',#69456);
#20922=VERTEX_POINT('',#69458);
#20923=VERTEX_POINT('',#69460);
#20924=VERTEX_POINT('',#69463);
#20925=VERTEX_POINT('',#69464);
#20926=VERTEX_POINT('',#69466);
#20927=VERTEX_POINT('',#69468);
#20928=VERTEX_POINT('',#69470);
#20929=VERTEX_POINT('',#69472);
#20930=VERTEX_POINT('',#69474);
#20931=VERTEX_POINT('',#69476);
#20932=VERTEX_POINT('',#69479);
#20933=VERTEX_POINT('',#69480);
#20934=VERTEX_POINT('',#69482);
#20935=VERTEX_POINT('',#69484);
#20936=VERTEX_POINT('',#69486);
#20937=VERTEX_POINT('',#69488);
#20938=VERTEX_POINT('',#69490);
#20939=VERTEX_POINT('',#69492);
#20940=VERTEX_POINT('',#69495);
#20941=VERTEX_POINT('',#69496);
#20942=VERTEX_POINT('',#69498);
#20943=VERTEX_POINT('',#69500);
#20944=VERTEX_POINT('',#69502);
#20945=VERTEX_POINT('',#69504);
#20946=VERTEX_POINT('',#69506);
#20947=VERTEX_POINT('',#69508);
#20948=VERTEX_POINT('',#69511);
#20949=VERTEX_POINT('',#69512);
#20950=VERTEX_POINT('',#69514);
#20951=VERTEX_POINT('',#69516);
#20952=VERTEX_POINT('',#69518);
#20953=VERTEX_POINT('',#69520);
#20954=VERTEX_POINT('',#69522);
#20955=VERTEX_POINT('',#69524);
#20956=VERTEX_POINT('',#69530);
#20957=VERTEX_POINT('',#69534);
#20958=VERTEX_POINT('',#69541);
#20959=VERTEX_POINT('',#69543);
#20960=VERTEX_POINT('',#69547);
#20961=VERTEX_POINT('',#69560);
#20962=VERTEX_POINT('',#69577);
#20963=VERTEX_POINT('',#69594);
#20964=VERTEX_POINT('',#69611);
#20965=VERTEX_POINT('',#69628);
#20966=VERTEX_POINT('',#69636);
#20967=VERTEX_POINT('',#69649);
#20968=VERTEX_POINT('',#69666);
#20969=VERTEX_POINT('',#69683);
#20970=VERTEX_POINT('',#69700);
#20971=VERTEX_POINT('',#69717);
#20972=VERTEX_POINT('',#69725);
#20973=VERTEX_POINT('',#69729);
#20974=VERTEX_POINT('',#69733);
#20975=VERTEX_POINT('',#69746);
#20976=VERTEX_POINT('',#69763);
#20977=VERTEX_POINT('',#69780);
#20978=VERTEX_POINT('',#69797);
#20979=VERTEX_POINT('',#69814);
#20980=VERTEX_POINT('',#69831);
#20981=VERTEX_POINT('',#69848);
#20982=VERTEX_POINT('',#69856);
#20983=VERTEX_POINT('',#69869);
#20984=VERTEX_POINT('',#69886);
#20985=VERTEX_POINT('',#69903);
#20986=VERTEX_POINT('',#69911);
#20987=VERTEX_POINT('',#69915);
#20988=VERTEX_POINT('',#69919);
#20989=VERTEX_POINT('',#69935);
#20990=VERTEX_POINT('',#69937);
#20991=VERTEX_POINT('',#69954);
#20992=VERTEX_POINT('',#69971);
#20993=VERTEX_POINT('',#69988);
#20994=VERTEX_POINT('',#70005);
#20995=VERTEX_POINT('',#70013);
#20996=VERTEX_POINT('',#70017);
#20997=VERTEX_POINT('',#70021);
#20998=VERTEX_POINT('',#70034);
#20999=VERTEX_POINT('',#70051);
#21000=VERTEX_POINT('',#70068);
#21001=VERTEX_POINT('',#70085);
#21002=VERTEX_POINT('',#70093);
#21003=VERTEX_POINT('',#70106);
#21004=VERTEX_POINT('',#70123);
#21005=VERTEX_POINT('',#70140);
#21006=VERTEX_POINT('',#70148);
#21007=VERTEX_POINT('',#70161);
#21008=VERTEX_POINT('',#70178);
#21009=VERTEX_POINT('',#70195);
#21010=VERTEX_POINT('',#70203);
#21011=VERTEX_POINT('',#70216);
#21012=VERTEX_POINT('',#70233);
#21013=VERTEX_POINT('',#70250);
#21014=VERTEX_POINT('',#70267);
#21015=VERTEX_POINT('',#70275);
#21016=VERTEX_POINT('',#70279);
#21017=VERTEX_POINT('',#70283);
#21018=VERTEX_POINT('',#70296);
#21019=VERTEX_POINT('',#70313);
#21020=VERTEX_POINT('',#70330);
#21021=VERTEX_POINT('',#70347);
#21022=VERTEX_POINT('',#70364);
#21023=VERTEX_POINT('',#70381);
#21024=VERTEX_POINT('',#70398);
#21025=VERTEX_POINT('',#70415);
#21026=VERTEX_POINT('',#70448);
#21027=VERTEX_POINT('',#70450);
#21028=VERTEX_POINT('',#70458);
#21029=VERTEX_POINT('',#70462);
#21030=VERTEX_POINT('',#70475);
#21031=VERTEX_POINT('',#70492);
#21032=VERTEX_POINT('',#70509);
#21033=VERTEX_POINT('',#70517);
#21034=VERTEX_POINT('',#70521);
#21035=VERTEX_POINT('',#70525);
#21036=VERTEX_POINT('',#70541);
#21037=VERTEX_POINT('',#70543);
#21038=VERTEX_POINT('',#70560);
#21039=VERTEX_POINT('',#70577);
#21040=VERTEX_POINT('',#70594);
#21041=VERTEX_POINT('',#70611);
#21042=VERTEX_POINT('',#70628);
#21043=VERTEX_POINT('',#70645);
#21044=VERTEX_POINT('',#70662);
#21045=VERTEX_POINT('',#70670);
#21046=VERTEX_POINT('',#70674);
#21047=VERTEX_POINT('',#70678);
#21048=VERTEX_POINT('',#70691);
#21049=VERTEX_POINT('',#70708);
#21050=VERTEX_POINT('',#70725);
#21051=VERTEX_POINT('',#70742);
#21052=VERTEX_POINT('',#70759);
#21053=VERTEX_POINT('',#70776);
#21054=VERTEX_POINT('',#70784);
#21055=VERTEX_POINT('',#70788);
#21056=VERTEX_POINT('',#70792);
#21057=VERTEX_POINT('',#70799);
#21058=VERTEX_POINT('',#70800);
#21059=VERTEX_POINT('',#70802);
#21060=VERTEX_POINT('',#70804);
#21061=VERTEX_POINT('',#70808);
#21062=VERTEX_POINT('',#70810);
#21063=VERTEX_POINT('',#70817);
#21064=VERTEX_POINT('',#70819);
#21065=VERTEX_POINT('',#70823);
#21066=VERTEX_POINT('',#70827);
#21067=VERTEX_POINT('',#70831);
#21068=VERTEX_POINT('',#70835);
#21069=VERTEX_POINT('',#70839);
#21070=VERTEX_POINT('',#70843);
#21071=VERTEX_POINT('',#70847);
#21072=VERTEX_POINT('',#70851);
#21073=VERTEX_POINT('',#70855);
#21074=VERTEX_POINT('',#70871);
#21075=VERTEX_POINT('',#70872);
#21076=VERTEX_POINT('',#70878);
#21077=VERTEX_POINT('',#70880);
#21078=VERTEX_POINT('',#70897);
#21079=VERTEX_POINT('',#70903);
#21080=VERTEX_POINT('',#70920);
#21081=VERTEX_POINT('',#70926);
#21082=VERTEX_POINT('',#70943);
#21083=VERTEX_POINT('',#70949);
#21084=VERTEX_POINT('',#70957);
#21085=VERTEX_POINT('',#70959);
#21086=VERTEX_POINT('',#70972);
#21087=VERTEX_POINT('',#70978);
#21088=VERTEX_POINT('',#70995);
#21089=VERTEX_POINT('',#71001);
#21090=VERTEX_POINT('',#71018);
#21091=VERTEX_POINT('',#71024);
#21092=VERTEX_POINT('',#71041);
#21093=VERTEX_POINT('',#71047);
#21094=VERTEX_POINT('',#71067);
#21095=VERTEX_POINT('',#71069);
#21096=VERTEX_POINT('',#71086);
#21097=VERTEX_POINT('',#71103);
#21098=VERTEX_POINT('',#71120);
#21099=VERTEX_POINT('',#71137);
#21100=VERTEX_POINT('',#71154);
#21101=VERTEX_POINT('',#71162);
#21102=VERTEX_POINT('',#71175);
#21103=VERTEX_POINT('',#71192);
#21104=VERTEX_POINT('',#71209);
#21105=VERTEX_POINT('',#71226);
#21106=VERTEX_POINT('',#71243);
#21107=VERTEX_POINT('',#71260);
#21108=VERTEX_POINT('',#71277);
#21109=VERTEX_POINT('',#71294);
#21110=VERTEX_POINT('',#71302);
#21111=VERTEX_POINT('',#71315);
#21112=VERTEX_POINT('',#71332);
#21113=VERTEX_POINT('',#71349);
#21114=VERTEX_POINT('',#71366);
#21115=VERTEX_POINT('',#71383);
#21116=VERTEX_POINT('',#71391);
#21117=VERTEX_POINT('',#71404);
#21118=VERTEX_POINT('',#71421);
#21119=VERTEX_POINT('',#71438);
#21120=VERTEX_POINT('',#71455);
#21121=VERTEX_POINT('',#71472);
#21122=VERTEX_POINT('',#71489);
#21123=VERTEX_POINT('',#71497);
#21124=VERTEX_POINT('',#71518);
#21125=VERTEX_POINT('',#71521);
#21126=VERTEX_POINT('',#71524);
#21127=VERTEX_POINT('',#71527);
#21128=VERTEX_POINT('',#71530);
#21129=VERTEX_POINT('',#71533);
#21130=VERTEX_POINT('',#71536);
#21131=VERTEX_POINT('',#71537);
#21132=VERTEX_POINT('',#71539);
#21133=VERTEX_POINT('',#71541);
#21134=VERTEX_POINT('',#71545);
#21135=VERTEX_POINT('',#71547);
#21136=VERTEX_POINT('',#71551);
#21137=VERTEX_POINT('',#71553);
#21138=VERTEX_POINT('',#71557);
#21139=VERTEX_POINT('',#71559);
#21140=VERTEX_POINT('',#71561);
#21141=VERTEX_POINT('',#71563);
#21142=VERTEX_POINT('',#71565);
#21143=VERTEX_POINT('',#71567);
#21144=VERTEX_POINT('',#71570);
#21145=VERTEX_POINT('',#71572);
#21146=VERTEX_POINT('',#71574);
#21147=VERTEX_POINT('',#71576);
#21148=VERTEX_POINT('',#71578);
#21149=VERTEX_POINT('',#71580);
#21150=VERTEX_POINT('',#71583);
#21151=VERTEX_POINT('',#71584);
#21152=VERTEX_POINT('',#71586);
#21153=VERTEX_POINT('',#71588);
#21154=VERTEX_POINT('',#71591);
#21155=VERTEX_POINT('',#71592);
#21156=VERTEX_POINT('',#71594);
#21157=VERTEX_POINT('',#71596);
#21158=VERTEX_POINT('',#71599);
#21159=VERTEX_POINT('',#71600);
#21160=VERTEX_POINT('',#71602);
#21161=VERTEX_POINT('',#71604);
#21162=VERTEX_POINT('',#71607);
#21163=VERTEX_POINT('',#71608);
#21164=VERTEX_POINT('',#71610);
#21165=VERTEX_POINT('',#71612);
#21166=VERTEX_POINT('',#71614);
#21167=VERTEX_POINT('',#71616);
#21168=VERTEX_POINT('',#71618);
#21169=VERTEX_POINT('',#71620);
#21170=VERTEX_POINT('',#71623);
#21171=VERTEX_POINT('',#71624);
#21172=VERTEX_POINT('',#71626);
#21173=VERTEX_POINT('',#71628);
#21174=VERTEX_POINT('',#71630);
#21175=VERTEX_POINT('',#71632);
#21176=VERTEX_POINT('',#71634);
#21177=VERTEX_POINT('',#71636);
#21178=VERTEX_POINT('',#71639);
#21179=VERTEX_POINT('',#71640);
#21180=VERTEX_POINT('',#71642);
#21181=VERTEX_POINT('',#71644);
#21182=VERTEX_POINT('',#71646);
#21183=VERTEX_POINT('',#71648);
#21184=VERTEX_POINT('',#71650);
#21185=VERTEX_POINT('',#71652);
#21186=VERTEX_POINT('',#71655);
#21187=VERTEX_POINT('',#71656);
#21188=VERTEX_POINT('',#71658);
#21189=VERTEX_POINT('',#71660);
#21190=VERTEX_POINT('',#71662);
#21191=VERTEX_POINT('',#71664);
#21192=VERTEX_POINT('',#71666);
#21193=VERTEX_POINT('',#71668);
#21194=VERTEX_POINT('',#71671);
#21195=VERTEX_POINT('',#71672);
#21196=VERTEX_POINT('',#71674);
#21197=VERTEX_POINT('',#71676);
#21198=VERTEX_POINT('',#71678);
#21199=VERTEX_POINT('',#71680);
#21200=VERTEX_POINT('',#71682);
#21201=VERTEX_POINT('',#71684);
#21202=VERTEX_POINT('',#71687);
#21203=VERTEX_POINT('',#71688);
#21204=VERTEX_POINT('',#71690);
#21205=VERTEX_POINT('',#71692);
#21206=VERTEX_POINT('',#71694);
#21207=VERTEX_POINT('',#71696);
#21208=VERTEX_POINT('',#71698);
#21209=VERTEX_POINT('',#71700);
#21210=VERTEX_POINT('',#71704);
#21211=VERTEX_POINT('',#71705);
#21212=VERTEX_POINT('',#71707);
#21213=VERTEX_POINT('',#71709);
#21214=VERTEX_POINT('',#71712);
#21215=VERTEX_POINT('',#71713);
#21216=VERTEX_POINT('',#71715);
#21217=VERTEX_POINT('',#71717);
#21218=VERTEX_POINT('',#71721);
#21219=VERTEX_POINT('',#71723);
#21220=VERTEX_POINT('',#71727);
#21221=VERTEX_POINT('',#71728);
#21222=VERTEX_POINT('',#71735);
#21223=VERTEX_POINT('',#71737);
#21224=VERTEX_POINT('',#71741);
#21225=VERTEX_POINT('',#71742);
#21226=VERTEX_POINT('',#71749);
#21227=VERTEX_POINT('',#71751);
#21228=VERTEX_POINT('',#71755);
#21229=VERTEX_POINT('',#71756);
#21230=VERTEX_POINT('',#71763);
#21231=VERTEX_POINT('',#71765);
#21232=VERTEX_POINT('',#71769);
#21233=VERTEX_POINT('',#71770);
#21234=VERTEX_POINT('',#71777);
#21235=VERTEX_POINT('',#71779);
#21236=VERTEX_POINT('',#71783);
#21237=VERTEX_POINT('',#71784);
#21238=VERTEX_POINT('',#71791);
#21239=VERTEX_POINT('',#71795);
#21240=VERTEX_POINT('',#71797);
#21241=VERTEX_POINT('',#71801);
#21242=VERTEX_POINT('',#71805);
#21243=VERTEX_POINT('',#71812);
#21244=VERTEX_POINT('',#71816);
#21245=VERTEX_POINT('',#71818);
#21246=VERTEX_POINT('',#71822);
#21247=VERTEX_POINT('',#71826);
#21248=VERTEX_POINT('',#71833);
#21249=VERTEX_POINT('',#71837);
#21250=VERTEX_POINT('',#71839);
#21251=VERTEX_POINT('',#71843);
#21252=VERTEX_POINT('',#71847);
#21253=VERTEX_POINT('',#71854);
#21254=VERTEX_POINT('',#71858);
#21255=VERTEX_POINT('',#71860);
#21256=VERTEX_POINT('',#71864);
#21257=VERTEX_POINT('',#71868);
#21258=VERTEX_POINT('',#71875);
#21259=VERTEX_POINT('',#71879);
#21260=VERTEX_POINT('',#71881);
#21261=VERTEX_POINT('',#71885);
#21262=VERTEX_POINT('',#71889);
#21263=VERTEX_POINT('',#71896);
#21264=VERTEX_POINT('',#71900);
#21265=VERTEX_POINT('',#71902);
#21266=VERTEX_POINT('',#71906);
#21267=VERTEX_POINT('',#71910);
#21268=VERTEX_POINT('',#71917);
#21269=VERTEX_POINT('',#71921);
#21270=VERTEX_POINT('',#71925);
#21271=VERTEX_POINT('',#71927);
#21272=VERTEX_POINT('',#71931);
#21273=VERTEX_POINT('',#71937);
#21274=VERTEX_POINT('',#71938);
#21275=VERTEX_POINT('',#71943);
#21276=VERTEX_POINT('',#71947);
#21277=VERTEX_POINT('',#71949);
#21278=VERTEX_POINT('',#71959);
#21279=VERTEX_POINT('',#71960);
#21280=VERTEX_POINT('',#71965);
#21281=VERTEX_POINT('',#71969);
#21282=VERTEX_POINT('',#71971);
#21283=VERTEX_POINT('',#71979);
#21284=VERTEX_POINT('',#71984);
#21285=VERTEX_POINT('',#71988);
#21286=VERTEX_POINT('',#71992);
#21287=VERTEX_POINT('',#71994);
#21288=EDGE_CURVE('',#17402,#17403,#9204,.T.);
#21289=EDGE_CURVE('',#17403,#17404,#9205,.T.);
#21290=EDGE_CURVE('',#17405,#17404,#9206,.T.);
#21291=EDGE_CURVE('',#17402,#17405,#9207,.T.);
#21292=EDGE_CURVE('',#17406,#17402,#9208,.T.);
#21293=EDGE_CURVE('',#17407,#17405,#9209,.T.);
#21294=EDGE_CURVE('',#17406,#17407,#9210,.T.);
#21295=EDGE_CURVE('',#17408,#17406,#9211,.T.);
#21296=EDGE_CURVE('',#17409,#17407,#9212,.T.);
#21297=EDGE_CURVE('',#17408,#17409,#9213,.T.);
#21298=EDGE_CURVE('',#17403,#17408,#9214,.T.);
#21299=EDGE_CURVE('',#17404,#17409,#9215,.T.);
#21300=EDGE_CURVE('',#17410,#17411,#9216,.T.);
#21301=EDGE_CURVE('',#17410,#17412,#9217,.T.);
#21302=EDGE_CURVE('',#17413,#17412,#9218,.T.);
#21303=EDGE_CURVE('',#17411,#17413,#9219,.T.);
#21304=EDGE_CURVE('',#17414,#17410,#9220,.T.);
#21305=EDGE_CURVE('',#17414,#17415,#9221,.T.);
#21306=EDGE_CURVE('',#17412,#17415,#9222,.T.);
#21307=EDGE_CURVE('',#17411,#17416,#9223,.T.);
#21308=EDGE_CURVE('',#17417,#17413,#9224,.T.);
#21309=EDGE_CURVE('',#17416,#17417,#9225,.T.);
#21310=EDGE_CURVE('',#17416,#17414,#9226,.T.);
#21311=EDGE_CURVE('',#17415,#17417,#9227,.T.);
#21312=EDGE_CURVE('',#17418,#17419,#9228,.T.);
#21313=EDGE_CURVE('',#17418,#17420,#9229,.T.);
#21314=EDGE_CURVE('',#17421,#17420,#9230,.T.);
#21315=EDGE_CURVE('',#17419,#17421,#9231,.T.);
#21316=EDGE_CURVE('',#17419,#17422,#9232,.T.);
#21317=EDGE_CURVE('',#17423,#17421,#9233,.T.);
#21318=EDGE_CURVE('',#17422,#17423,#9234,.T.);
#21319=EDGE_CURVE('',#17422,#17424,#9235,.T.);
#21320=EDGE_CURVE('',#17425,#17423,#9236,.T.);
#21321=EDGE_CURVE('',#17424,#17425,#9237,.T.);
#21322=EDGE_CURVE('',#17424,#17418,#9238,.T.);
#21323=EDGE_CURVE('',#17420,#17425,#9239,.T.);
#21324=EDGE_CURVE('',#17426,#17427,#9240,.T.);
#21325=EDGE_CURVE('',#17426,#17428,#9241,.T.);
#21326=EDGE_CURVE('',#17429,#17428,#9242,.T.);
#21327=EDGE_CURVE('',#17427,#17429,#9243,.T.);
#21328=EDGE_CURVE('',#17427,#17430,#9244,.T.);
#21329=EDGE_CURVE('',#17431,#17429,#9245,.T.);
#21330=EDGE_CURVE('',#17430,#17431,#9246,.T.);
#21331=EDGE_CURVE('',#17432,#17430,#9247,.T.);
#21332=EDGE_CURVE('',#17433,#17431,#9248,.T.);
#21333=EDGE_CURVE('',#17432,#17433,#9249,.T.);
#21334=EDGE_CURVE('',#17432,#17426,#9250,.T.);
#21335=EDGE_CURVE('',#17428,#17433,#9251,.T.);
#21336=EDGE_CURVE('',#17434,#17435,#9252,.T.);
#21337=EDGE_CURVE('',#17434,#17436,#9253,.T.);
#21338=EDGE_CURVE('',#17437,#17436,#9254,.T.);
#21339=EDGE_CURVE('',#17435,#17437,#9255,.T.);
#21340=EDGE_CURVE('',#17438,#17435,#9256,.T.);
#21341=EDGE_CURVE('',#17439,#17437,#9257,.T.);
#21342=EDGE_CURVE('',#17438,#17439,#9258,.T.);
#21343=EDGE_CURVE('',#17440,#17438,#9259,.T.);
#21344=EDGE_CURVE('',#17441,#17439,#9260,.T.);
#21345=EDGE_CURVE('',#17440,#17441,#9261,.T.);
#21346=EDGE_CURVE('',#17434,#17440,#9262,.T.);
#21347=EDGE_CURVE('',#17436,#17441,#9263,.T.);
#21348=EDGE_CURVE('',#17442,#17443,#9264,.T.);
#21349=EDGE_CURVE('',#17442,#17444,#9265,.T.);
#21350=EDGE_CURVE('',#17445,#17444,#9266,.T.);
#21351=EDGE_CURVE('',#17443,#17445,#9267,.T.);
#21352=EDGE_CURVE('',#17443,#17446,#9268,.T.);
#21353=EDGE_CURVE('',#17447,#17445,#9269,.T.);
#21354=EDGE_CURVE('',#17446,#17447,#9270,.T.);
#21355=EDGE_CURVE('',#17448,#17446,#9271,.T.);
#21356=EDGE_CURVE('',#17449,#17447,#9272,.T.);
#21357=EDGE_CURVE('',#17448,#17449,#9273,.T.);
#21358=EDGE_CURVE('',#17448,#17442,#9274,.T.);
#21359=EDGE_CURVE('',#17444,#17449,#9275,.T.);
#21360=EDGE_CURVE('',#17450,#17451,#9276,.T.);
#21361=EDGE_CURVE('',#17451,#17452,#9277,.T.);
#21362=EDGE_CURVE('',#17453,#17452,#9278,.T.);
#21363=EDGE_CURVE('',#17450,#17453,#9279,.T.);
#21364=EDGE_CURVE('',#17450,#17454,#9280,.T.);
#21365=EDGE_CURVE('',#17455,#17453,#9281,.T.);
#21366=EDGE_CURVE('',#17454,#17455,#9282,.T.);
#21367=EDGE_CURVE('',#17456,#17454,#9283,.T.);
#21368=EDGE_CURVE('',#17457,#17455,#9284,.T.);
#21369=EDGE_CURVE('',#17456,#17457,#9285,.T.);
#21370=EDGE_CURVE('',#17451,#17456,#9286,.T.);
#21371=EDGE_CURVE('',#17452,#17457,#9287,.T.);
#21372=EDGE_CURVE('',#17458,#17459,#9288,.T.);
#21373=EDGE_CURVE('',#17459,#17460,#9289,.T.);
#21374=EDGE_CURVE('',#17461,#17460,#9290,.T.);
#21375=EDGE_CURVE('',#17458,#17461,#9291,.T.);
#21376=EDGE_CURVE('',#17458,#17462,#9292,.T.);
#21377=EDGE_CURVE('',#17463,#17461,#9293,.T.);
#21378=EDGE_CURVE('',#17462,#17463,#9294,.T.);
#21379=EDGE_CURVE('',#17464,#17462,#9295,.T.);
#21380=EDGE_CURVE('',#17465,#17463,#9296,.T.);
#21381=EDGE_CURVE('',#17464,#17465,#9297,.T.);
#21382=EDGE_CURVE('',#17459,#17464,#9298,.T.);
#21383=EDGE_CURVE('',#17460,#17465,#9299,.T.);
#21384=EDGE_CURVE('',#17466,#17467,#9300,.T.);
#21385=EDGE_CURVE('',#17466,#17468,#9301,.T.);
#21386=EDGE_CURVE('',#17469,#17468,#9302,.T.);
#21387=EDGE_CURVE('',#17467,#17469,#9303,.T.);
#21388=EDGE_CURVE('',#17467,#17470,#9304,.T.);
#21389=EDGE_CURVE('',#17471,#17469,#9305,.T.);
#21390=EDGE_CURVE('',#17470,#17471,#9306,.T.);
#21391=EDGE_CURVE('',#17472,#17470,#9307,.T.);
#21392=EDGE_CURVE('',#17473,#17471,#9308,.T.);
#21393=EDGE_CURVE('',#17472,#17473,#9309,.T.);
#21394=EDGE_CURVE('',#17472,#17466,#9310,.T.);
#21395=EDGE_CURVE('',#17468,#17473,#9311,.T.);
#21396=EDGE_CURVE('',#17474,#17474,#408,.T.);
#21397=EDGE_CURVE('',#17474,#17475,#9312,.T.);
#21398=EDGE_CURVE('',#17475,#17475,#409,.T.);
#21399=EDGE_CURVE('',#17476,#17476,#410,.T.);
#21400=EDGE_CURVE('',#17476,#17477,#9313,.T.);
#21401=EDGE_CURVE('',#17477,#17477,#411,.T.);
#21402=EDGE_CURVE('',#17478,#17478,#412,.T.);
#21403=EDGE_CURVE('',#17478,#17479,#9314,.T.);
#21404=EDGE_CURVE('',#17479,#17479,#413,.T.);
#21405=EDGE_CURVE('',#17480,#17480,#414,.T.);
#21406=EDGE_CURVE('',#17480,#17481,#9315,.T.);
#21407=EDGE_CURVE('',#17481,#17481,#415,.T.);
#21408=EDGE_CURVE('',#17482,#17482,#416,.T.);
#21409=EDGE_CURVE('',#17482,#17483,#9316,.T.);
#21410=EDGE_CURVE('',#17483,#17483,#417,.T.);
#21411=EDGE_CURVE('',#17484,#17484,#418,.T.);
#21412=EDGE_CURVE('',#17484,#17485,#9317,.T.);
#21413=EDGE_CURVE('',#17485,#17485,#419,.T.);
#21414=EDGE_CURVE('',#17486,#17487,#420,.T.);
#21415=EDGE_CURVE('',#17487,#17486,#9318,.T.);
#21416=EDGE_CURVE('',#17488,#17489,#421,.T.);
#21417=EDGE_CURVE('',#17489,#17488,#9319,.T.);
#21418=EDGE_CURVE('',#17490,#17490,#422,.T.);
#21419=EDGE_CURVE('',#17490,#17491,#9320,.T.);
#21420=EDGE_CURVE('',#17486,#17491,#423,.T.);
#21421=EDGE_CURVE('',#17488,#17487,#424,.T.);
#21422=EDGE_CURVE('',#17491,#17489,#425,.T.);
#21423=EDGE_CURVE('',#17492,#17489,#9321,.T.);
#21424=EDGE_CURVE('',#17493,#17492,#9322,.T.);
#21425=EDGE_CURVE('',#17486,#17493,#9323,.T.);
#21426=EDGE_CURVE('',#17494,#17487,#9324,.T.);
#21427=EDGE_CURVE('',#17494,#17495,#9325,.T.);
#21428=EDGE_CURVE('',#17488,#17495,#9326,.T.);
#21429=EDGE_CURVE('',#17496,#17497,#9327,.T.);
#21430=EDGE_CURVE('',#17496,#17498,#9328,.T.);
#21431=EDGE_CURVE('',#17499,#17498,#9329,.T.);
#21432=EDGE_CURVE('',#17497,#17499,#9330,.T.);
#21433=EDGE_CURVE('',#17497,#17500,#9331,.T.);
#21434=EDGE_CURVE('',#17501,#17499,#9332,.T.);
#21435=EDGE_CURVE('',#17500,#17501,#9333,.T.);
#21436=EDGE_CURVE('',#17500,#17502,#9334,.T.);
#21437=EDGE_CURVE('',#17503,#17501,#9335,.T.);
#21438=EDGE_CURVE('',#17502,#17503,#9336,.T.);
#21439=EDGE_CURVE('',#17502,#17504,#9337,.T.);
#21440=EDGE_CURVE('',#17505,#17503,#9338,.T.);
#21441=EDGE_CURVE('',#17504,#17505,#9339,.T.);
#21442=EDGE_CURVE('',#17504,#17506,#9340,.T.);
#21443=EDGE_CURVE('',#17507,#17505,#9341,.T.);
#21444=EDGE_CURVE('',#17506,#17507,#9342,.T.);
#21445=EDGE_CURVE('',#17506,#17494,#9343,.T.);
#21446=EDGE_CURVE('',#17495,#17507,#9344,.T.);
#21447=EDGE_CURVE('',#17493,#17496,#9345,.T.);
#21448=EDGE_CURVE('',#17498,#17492,#9346,.T.);
#21449=EDGE_CURVE('',#17508,#17509,#9347,.T.);
#21450=EDGE_CURVE('',#17509,#17510,#9348,.T.);
#21451=EDGE_CURVE('',#17511,#17510,#9349,.T.);
#21452=EDGE_CURVE('',#17508,#17511,#9350,.T.);
#21453=EDGE_CURVE('',#17512,#17508,#9351,.T.);
#21454=EDGE_CURVE('',#17513,#17511,#9352,.T.);
#21455=EDGE_CURVE('',#17512,#17513,#9353,.T.);
#21456=EDGE_CURVE('',#17514,#17512,#9354,.T.);
#21457=EDGE_CURVE('',#17515,#17513,#9355,.T.);
#21458=EDGE_CURVE('',#17514,#17515,#9356,.T.);
#21459=EDGE_CURVE('',#17509,#17514,#9357,.T.);
#21460=EDGE_CURVE('',#17510,#17515,#9358,.T.);
#21461=EDGE_CURVE('',#17516,#17517,#9359,.T.);
#21462=EDGE_CURVE('',#17516,#17518,#9360,.T.);
#21463=EDGE_CURVE('',#17519,#17518,#9361,.T.);
#21464=EDGE_CURVE('',#17517,#17519,#9362,.T.);
#21465=EDGE_CURVE('',#17517,#17520,#9363,.T.);
#21466=EDGE_CURVE('',#17521,#17519,#9364,.T.);
#21467=EDGE_CURVE('',#17520,#17521,#9365,.T.);
#21468=EDGE_CURVE('',#17520,#17522,#9366,.T.);
#21469=EDGE_CURVE('',#17523,#17521,#9367,.T.);
#21470=EDGE_CURVE('',#17522,#17523,#9368,.T.);
#21471=EDGE_CURVE('',#17522,#17516,#9369,.T.);
#21472=EDGE_CURVE('',#17518,#17523,#9370,.T.);
#21473=EDGE_CURVE('',#17524,#17525,#9371,.T.);
#21474=EDGE_CURVE('',#17525,#17526,#9372,.T.);
#21475=EDGE_CURVE('',#17527,#17526,#9373,.T.);
#21476=EDGE_CURVE('',#17524,#17527,#9374,.T.);
#21477=EDGE_CURVE('',#17528,#17524,#9375,.T.);
#21478=EDGE_CURVE('',#17529,#17527,#9376,.T.);
#21479=EDGE_CURVE('',#17528,#17529,#9377,.T.);
#21480=EDGE_CURVE('',#17530,#17528,#9378,.T.);
#21481=EDGE_CURVE('',#17531,#17529,#9379,.T.);
#21482=EDGE_CURVE('',#17530,#17531,#9380,.T.);
#21483=EDGE_CURVE('',#17525,#17530,#9381,.T.);
#21484=EDGE_CURVE('',#17526,#17531,#9382,.T.);
#21485=EDGE_CURVE('',#17532,#17533,#9383,.T.);
#21486=EDGE_CURVE('',#17532,#17534,#9384,.T.);
#21487=EDGE_CURVE('',#17535,#17534,#9385,.T.);
#21488=EDGE_CURVE('',#17533,#17535,#9386,.T.);
#21489=EDGE_CURVE('',#17533,#17536,#9387,.T.);
#21490=EDGE_CURVE('',#17537,#17535,#9388,.T.);
#21491=EDGE_CURVE('',#17536,#17537,#9389,.T.);
#21492=EDGE_CURVE('',#17536,#17538,#9390,.T.);
#21493=EDGE_CURVE('',#17539,#17537,#9391,.T.);
#21494=EDGE_CURVE('',#17538,#17539,#9392,.T.);
#21495=EDGE_CURVE('',#17538,#17532,#9393,.T.);
#21496=EDGE_CURVE('',#17534,#17539,#9394,.T.);
#21497=EDGE_CURVE('',#17540,#17541,#9395,.T.);
#21498=EDGE_CURVE('',#17540,#17542,#9396,.T.);
#21499=EDGE_CURVE('',#17543,#17542,#9397,.T.);
#21500=EDGE_CURVE('',#17541,#17543,#9398,.T.);
#21501=EDGE_CURVE('',#17541,#17544,#9399,.T.);
#21502=EDGE_CURVE('',#17545,#17543,#9400,.T.);
#21503=EDGE_CURVE('',#17544,#17545,#9401,.T.);
#21504=EDGE_CURVE('',#17544,#17546,#9402,.T.);
#21505=EDGE_CURVE('',#17547,#17545,#9403,.T.);
#21506=EDGE_CURVE('',#17546,#17547,#9404,.T.);
#21507=EDGE_CURVE('',#17546,#17540,#9405,.T.);
#21508=EDGE_CURVE('',#17542,#17547,#9406,.T.);
#21509=EDGE_CURVE('',#17548,#17549,#426,.T.);
#21510=EDGE_CURVE('',#17548,#17550,#9407,.T.);
#21511=EDGE_CURVE('',#17549,#17550,#9408,.T.);
#21512=EDGE_CURVE('',#17551,#17552,#427,.T.);
#21513=EDGE_CURVE('',#17552,#17553,#9409,.T.);
#21514=EDGE_CURVE('',#17553,#17548,#428,.T.);
#21515=EDGE_CURVE('',#17549,#17553,#429,.T.);
#21516=EDGE_CURVE('',#17552,#17551,#430,.T.);
#21517=EDGE_CURVE('',#17554,#17555,#431,.T.);
#21518=EDGE_CURVE('',#17555,#17554,#432,.T.);
#21519=EDGE_CURVE('',#17556,#17557,#433,.T.);
#21520=EDGE_CURVE('',#17557,#17556,#434,.T.);
#21521=EDGE_CURVE('',#17557,#17555,#9410,.T.);
#21522=EDGE_CURVE('',#17558,#17559,#435,.T.);
#21523=EDGE_CURVE('',#17559,#17558,#436,.T.);
#21524=EDGE_CURVE('',#17560,#17561,#437,.T.);
#21525=EDGE_CURVE('',#17561,#17560,#438,.T.);
#21526=EDGE_CURVE('',#17559,#17562,#9411,.T.);
#21527=EDGE_CURVE('',#17562,#17563,#439,.T.);
#21528=EDGE_CURVE('',#17558,#17563,#9412,.T.);
#21529=EDGE_CURVE('',#17563,#17562,#440,.T.);
#21530=EDGE_CURVE('',#17561,#17564,#9413,.T.);
#21531=EDGE_CURVE('',#17564,#17565,#441,.T.);
#21532=EDGE_CURVE('',#17565,#17564,#442,.T.);
#21533=EDGE_CURVE('',#17562,#17566,#9414,.T.);
#21534=EDGE_CURVE('',#17566,#17567,#443,.T.);
#21535=EDGE_CURVE('',#17563,#17567,#9415,.T.);
#21536=EDGE_CURVE('',#17567,#17566,#444,.T.);
#21537=EDGE_CURVE('',#17566,#17568,#445,.T.);
#21538=EDGE_CURVE('',#17568,#17569,#446,.T.);
#21539=EDGE_CURVE('',#17567,#17569,#447,.T.);
#21540=EDGE_CURVE('',#17569,#17568,#448,.T.);
#21541=EDGE_CURVE('',#17570,#17571,#449,.T.);
#21542=EDGE_CURVE('',#17569,#17571,#9416,.T.);
#21543=EDGE_CURVE('',#17568,#17570,#9417,.T.);
#21544=EDGE_CURVE('',#17571,#17570,#450,.T.);
#21545=EDGE_CURVE('',#17570,#17572,#451,.T.);
#21546=EDGE_CURVE('',#17572,#17573,#187,.T.);
#21547=EDGE_CURVE('',#17571,#17573,#452,.T.);
#21548=EDGE_CURVE('',#17573,#17572,#453,.T.);
#21549=EDGE_CURVE('',#17572,#17574,#454,.T.);
#21550=EDGE_CURVE('',#17574,#17575,#188,.T.);
#21551=EDGE_CURVE('',#17573,#17575,#455,.T.);
#21552=EDGE_CURVE('',#17575,#17576,#456,.T.);
#21553=EDGE_CURVE('',#17577,#17576,#457,.T.);
#21554=EDGE_CURVE('',#17578,#17577,#189,.T.);
#21555=EDGE_CURVE('',#17579,#17578,#9418,.T.);
#21556=EDGE_CURVE('',#17580,#17579,#190,.T.);
#21557=EDGE_CURVE('',#17580,#17581,#458,.T.);
#21558=EDGE_CURVE('',#17581,#17574,#459,.T.);
#21559=EDGE_CURVE('',#17574,#17582,#460,.T.);
#21560=EDGE_CURVE('',#17582,#17583,#461,.T.);
#21561=EDGE_CURVE('',#17575,#17583,#462,.T.);
#21562=EDGE_CURVE('',#17583,#17584,#463,.T.);
#21563=EDGE_CURVE('',#17584,#17576,#135,.T.);
#21564=EDGE_CURVE('',#17576,#17581,#464,.T.);
#21565=EDGE_CURVE('',#17585,#17581,#136,.T.);
#21566=EDGE_CURVE('',#17585,#17582,#465,.T.);
#21567=EDGE_CURVE('',#17586,#17587,#466,.T.);
#21568=EDGE_CURVE('',#17583,#17587,#9419,.T.);
#21569=EDGE_CURVE('',#17582,#17586,#9420,.T.);
#21570=EDGE_CURVE('',#17584,#17585,#467,.T.);
#21571=EDGE_CURVE('',#17587,#17586,#468,.T.);
#21572=EDGE_CURVE('',#17585,#17584,#191,.T.);
#21573=EDGE_CURVE('',#17586,#17588,#469,.T.);
#21574=EDGE_CURVE('',#17588,#17589,#470,.T.);
#21575=EDGE_CURVE('',#17587,#17589,#471,.T.);
#21576=EDGE_CURVE('',#17589,#17588,#472,.T.);
#21577=EDGE_CURVE('',#17588,#17590,#9421,.T.);
#21578=EDGE_CURVE('',#17590,#17591,#473,.T.);
#21579=EDGE_CURVE('',#17589,#17591,#9422,.T.);
#21580=EDGE_CURVE('',#17591,#17590,#474,.T.);
#21581=EDGE_CURVE('',#17590,#17592,#9423,.T.);
#21582=EDGE_CURVE('',#17593,#17592,#475,.T.);
#21583=EDGE_CURVE('',#17593,#17594,#9424,.T.);
#21584=EDGE_CURVE('',#17594,#17595,#476,.T.);
#21585=EDGE_CURVE('',#17596,#17595,#9425,.T.);
#21586=EDGE_CURVE('',#17597,#17596,#477,.T.);
#21587=EDGE_CURVE('',#17597,#17598,#9426,.T.);
#21588=EDGE_CURVE('',#17598,#17599,#478,.T.);
#21589=EDGE_CURVE('',#17600,#17599,#9427,.T.);
#21590=EDGE_CURVE('',#17601,#17600,#479,.T.);
#21591=EDGE_CURVE('',#17601,#17602,#9428,.T.);
#21592=EDGE_CURVE('',#17602,#17603,#480,.T.);
#21593=EDGE_CURVE('',#17604,#17603,#9429,.T.);
#21594=EDGE_CURVE('',#17605,#17604,#481,.T.);
#21595=EDGE_CURVE('',#17591,#17605,#9430,.T.);
#21596=EDGE_CURVE('',#17606,#17605,#482,.T.);
#21597=EDGE_CURVE('',#17606,#17607,#9431,.T.);
#21598=EDGE_CURVE('',#17607,#17608,#483,.T.);
#21599=EDGE_CURVE('',#17609,#17608,#9432,.T.);
#21600=EDGE_CURVE('',#17592,#17609,#484,.T.);
#21601=EDGE_CURVE('',#17593,#17610,#9433,.T.);
#21602=EDGE_CURVE('',#17610,#17609,#9434,.T.);
#21603=EDGE_CURVE('',#17610,#17611,#9435,.T.);
#21604=EDGE_CURVE('',#17594,#17611,#9436,.T.);
#21605=EDGE_CURVE('',#17612,#17604,#9437,.T.);
#21606=EDGE_CURVE('',#17606,#17612,#9438,.T.);
#21607=EDGE_CURVE('',#17598,#17613,#9439,.T.);
#21608=EDGE_CURVE('',#17613,#17595,#9440,.T.);
#21609=EDGE_CURVE('',#17611,#17608,#9441,.T.);
#21610=EDGE_CURVE('',#17607,#17614,#9442,.T.);
#21611=EDGE_CURVE('',#17614,#17603,#9443,.T.);
#21612=EDGE_CURVE('',#17602,#17615,#9444,.T.);
#21613=EDGE_CURVE('',#17615,#17599,#9445,.T.);
#21614=EDGE_CURVE('',#17612,#17614,#9446,.T.);
#21615=EDGE_CURVE('',#17616,#17596,#9447,.T.);
#21616=EDGE_CURVE('',#17616,#17613,#9448,.T.);
#21617=EDGE_CURVE('',#17597,#17616,#9449,.T.);
#21618=EDGE_CURVE('',#17601,#17617,#9450,.T.);
#21619=EDGE_CURVE('',#17617,#17615,#9451,.T.);
#21620=EDGE_CURVE('',#17617,#17600,#9452,.T.);
#21621=EDGE_CURVE('',#17618,#17619,#9453,.T.);
#21622=EDGE_CURVE('',#17619,#17620,#9454,.T.);
#21623=EDGE_CURVE('',#17621,#17620,#9455,.T.);
#21624=EDGE_CURVE('',#17618,#17621,#9456,.T.);
#21625=EDGE_CURVE('',#17618,#17622,#485,.T.);
#21626=EDGE_CURVE('',#17622,#17623,#9457,.T.);
#21627=EDGE_CURVE('',#17623,#17619,#486,.T.);
#21628=EDGE_CURVE('',#17624,#17625,#9458,.T.);
#21629=EDGE_CURVE('',#17625,#17620,#487,.T.);
#21630=EDGE_CURVE('',#17624,#17619,#488,.T.);
#21631=EDGE_CURVE('',#17621,#17626,#489,.T.);
#21632=EDGE_CURVE('',#17626,#17627,#9459,.T.);
#21633=EDGE_CURVE('',#17628,#17627,#490,.T.);
#21634=EDGE_CURVE('',#17629,#17628,#9460,.T.);
#21635=EDGE_CURVE('',#17629,#17630,#491,.T.);
#21636=EDGE_CURVE('',#17622,#17630,#9461,.T.);
#21637=EDGE_CURVE('',#17631,#17632,#492,.T.);
#21638=EDGE_CURVE('',#17632,#17631,#493,.T.);
#21639=EDGE_CURVE('',#17633,#17634,#494,.T.);
#21640=EDGE_CURVE('',#17634,#17633,#495,.T.);
#21641=EDGE_CURVE('',#17620,#17635,#496,.T.);
#21642=EDGE_CURVE('',#17626,#17635,#9462,.T.);
#21643=EDGE_CURVE('',#17624,#17623,#497,.T.);
#21644=EDGE_CURVE('',#17630,#17636,#9463,.T.);
#21645=EDGE_CURVE('',#17636,#17623,#9464,.T.);
#21646=EDGE_CURVE('',#17637,#17624,#9465,.T.);
#21647=EDGE_CURVE('',#17638,#17637,#9466,.T.);
#21648=EDGE_CURVE('',#17625,#17638,#9467,.T.);
#21649=EDGE_CURVE('',#17625,#17635,#498,.T.);
#21650=EDGE_CURVE('',#17635,#17639,#9468,.T.);
#21651=EDGE_CURVE('',#17627,#17639,#9469,.T.);
#21652=EDGE_CURVE('',#17640,#17636,#499,.T.);
#21653=EDGE_CURVE('',#17629,#17640,#9470,.T.);
#21654=EDGE_CURVE('',#17628,#17641,#9471,.T.);
#21655=EDGE_CURVE('',#17639,#17641,#500,.T.);
#21656=EDGE_CURVE('',#17641,#17640,#9472,.T.);
#21657=EDGE_CURVE('',#17632,#17642,#9473,.T.);
#21658=EDGE_CURVE('',#17642,#17643,#501,.T.);
#21659=EDGE_CURVE('',#17643,#17642,#502,.T.);
#21660=EDGE_CURVE('',#17634,#17644,#9474,.T.);
#21661=EDGE_CURVE('',#17644,#17645,#503,.T.);
#21662=EDGE_CURVE('',#17645,#17644,#504,.T.);
#21663=EDGE_CURVE('',#17637,#17636,#505,.T.);
#21664=EDGE_CURVE('',#17637,#17640,#506,.T.);
#21665=EDGE_CURVE('',#17638,#17641,#507,.T.);
#21666=EDGE_CURVE('',#17638,#17639,#508,.T.);
#21667=EDGE_CURVE('',#17646,#17647,#9475,.T.);
#21668=EDGE_CURVE('',#17646,#17648,#509,.T.);
#21669=EDGE_CURVE('',#17648,#17649,#9476,.T.);
#21670=EDGE_CURVE('',#17647,#17649,#510,.T.);
#21671=EDGE_CURVE('',#17650,#17646,#9477,.T.);
#21672=EDGE_CURVE('',#17651,#17647,#9478,.T.);
#21673=EDGE_CURVE('',#17650,#17651,#9479,.T.);
#21674=EDGE_CURVE('',#17648,#17652,#9480,.T.);
#21675=EDGE_CURVE('',#17652,#17653,#9481,.T.);
#21676=EDGE_CURVE('',#17649,#17653,#9482,.T.);
#21677=EDGE_CURVE('',#17650,#17654,#9483,.T.);
#21678=EDGE_CURVE('',#17655,#17654,#9484,.T.);
#21679=EDGE_CURVE('',#17656,#17655,#511,.T.);
#21680=EDGE_CURVE('',#17657,#17656,#9485,.T.);
#21681=EDGE_CURVE('',#17658,#17657,#512,.T.);
#21682=EDGE_CURVE('',#17659,#17658,#9486,.T.);
#21683=EDGE_CURVE('',#17660,#17659,#9487,.T.);
#21684=EDGE_CURVE('',#17661,#17660,#9488,.T.);
#21685=EDGE_CURVE('',#17652,#17661,#513,.T.);
#21686=EDGE_CURVE('',#17651,#17662,#9489,.T.);
#21687=EDGE_CURVE('',#17653,#17663,#514,.T.);
#21688=EDGE_CURVE('',#17663,#17664,#9490,.T.);
#21689=EDGE_CURVE('',#17664,#17665,#9491,.T.);
#21690=EDGE_CURVE('',#17665,#17666,#9492,.T.);
#21691=EDGE_CURVE('',#17666,#17667,#515,.T.);
#21692=EDGE_CURVE('',#17667,#17668,#9493,.T.);
#21693=EDGE_CURVE('',#17668,#17669,#516,.T.);
#21694=EDGE_CURVE('',#17669,#17662,#9494,.T.);
#21695=EDGE_CURVE('',#17670,#17671,#9495,.T.);
#21696=EDGE_CURVE('',#17670,#17672,#9496,.T.);
#21697=EDGE_CURVE('',#17672,#17650,#9497,.T.);
#21698=EDGE_CURVE('',#17651,#17673,#9498,.T.);
#21699=EDGE_CURVE('',#17673,#17674,#9499,.T.);
#21700=EDGE_CURVE('',#17674,#17675,#9500,.T.);
#21701=EDGE_CURVE('',#17675,#17676,#9501,.T.);
#21702=EDGE_CURVE('',#17676,#17677,#9502,.T.);
#21703=EDGE_CURVE('',#17677,#17678,#9503,.T.);
#21704=EDGE_CURVE('',#17678,#17679,#9504,.T.);
#21705=EDGE_CURVE('',#17679,#17680,#9505,.T.);
#21706=EDGE_CURVE('',#17680,#17681,#9506,.T.);
#21707=EDGE_CURVE('',#17681,#17682,#9507,.T.);
#21708=EDGE_CURVE('',#17682,#17683,#9508,.T.);
#21709=EDGE_CURVE('',#17683,#17684,#9509,.T.);
#21710=EDGE_CURVE('',#17684,#17685,#9510,.T.);
#21711=EDGE_CURVE('',#17685,#17686,#9511,.T.);
#21712=EDGE_CURVE('',#17686,#17687,#9512,.T.);
#21713=EDGE_CURVE('',#17687,#17688,#9513,.T.);
#21714=EDGE_CURVE('',#17688,#17689,#9514,.T.);
#21715=EDGE_CURVE('',#17689,#17690,#9515,.T.);
#21716=EDGE_CURVE('',#17690,#17691,#9516,.T.);
#21717=EDGE_CURVE('',#17691,#17692,#9517,.T.);
#21718=EDGE_CURVE('',#17692,#17693,#9518,.T.);
#21719=EDGE_CURVE('',#17693,#17694,#9519,.T.);
#21720=EDGE_CURVE('',#17694,#17695,#9520,.T.);
#21721=EDGE_CURVE('',#17671,#17695,#9521,.T.);
#21722=EDGE_CURVE('',#17661,#17663,#9522,.T.);
#21723=EDGE_CURVE('',#17672,#17696,#9523,.T.);
#21724=EDGE_CURVE('',#17696,#17654,#9524,.T.);
#21725=EDGE_CURVE('',#17654,#17697,#9525,.T.);
#21726=EDGE_CURVE('',#17697,#17698,#9526,.T.);
#21727=EDGE_CURVE('',#17662,#17698,#9527,.T.);
#21728=EDGE_CURVE('',#17655,#17669,#9528,.T.);
#21729=EDGE_CURVE('',#17656,#17668,#9529,.T.);
#21730=EDGE_CURVE('',#17657,#17667,#9530,.T.);
#21731=EDGE_CURVE('',#17658,#17666,#9531,.T.);
#21732=EDGE_CURVE('',#17659,#17665,#9532,.T.);
#21733=EDGE_CURVE('',#17660,#17664,#9533,.T.);
#21734=EDGE_CURVE('',#17662,#17699,#9534,.T.);
#21735=EDGE_CURVE('',#17673,#17699,#9535,.T.);
#21736=EDGE_CURVE('',#17700,#17670,#9536,.T.);
#21737=EDGE_CURVE('',#17671,#17701,#9537,.T.);
#21738=EDGE_CURVE('',#17701,#17702,#9538,.T.);
#21739=EDGE_CURVE('',#17702,#17703,#9539,.T.);
#21740=EDGE_CURVE('',#17703,#17704,#9540,.T.);
#21741=EDGE_CURVE('',#17704,#17705,#9541,.T.);
#21742=EDGE_CURVE('',#17705,#17700,#9542,.T.);
#21743=EDGE_CURVE('',#17700,#17706,#9543,.T.);
#21744=EDGE_CURVE('',#17706,#17672,#9544,.T.);
#21745=EDGE_CURVE('',#17695,#17707,#9545,.T.);
#21746=EDGE_CURVE('',#17701,#17707,#9546,.T.);
#21747=EDGE_CURVE('',#17694,#17708,#9547,.T.);
#21748=EDGE_CURVE('',#17708,#17709,#9548,.T.);
#21749=EDGE_CURVE('',#17695,#17709,#9549,.T.);
#21750=EDGE_CURVE('',#17693,#17710,#9550,.T.);
#21751=EDGE_CURVE('',#17710,#17711,#9551,.T.);
#21752=EDGE_CURVE('',#17694,#17711,#9552,.T.);
#21753=EDGE_CURVE('',#17692,#17712,#9553,.T.);
#21754=EDGE_CURVE('',#17712,#17713,#9554,.T.);
#21755=EDGE_CURVE('',#17693,#17713,#9555,.T.);
#21756=EDGE_CURVE('',#17673,#17714,#9556,.T.);
#21757=EDGE_CURVE('',#17714,#17715,#9557,.T.);
#21758=EDGE_CURVE('',#17674,#17715,#9558,.T.);
#21759=EDGE_CURVE('',#17691,#17716,#9559,.T.);
#21760=EDGE_CURVE('',#17716,#17717,#9560,.T.);
#21761=EDGE_CURVE('',#17692,#17717,#9561,.T.);
#21762=EDGE_CURVE('',#17674,#17718,#9562,.T.);
#21763=EDGE_CURVE('',#17718,#17719,#9563,.T.);
#21764=EDGE_CURVE('',#17675,#17719,#9564,.T.);
#21765=EDGE_CURVE('',#17690,#17720,#9565,.T.);
#21766=EDGE_CURVE('',#17720,#17721,#9566,.T.);
#21767=EDGE_CURVE('',#17691,#17721,#9567,.T.);
#21768=EDGE_CURVE('',#17675,#17722,#9568,.T.);
#21769=EDGE_CURVE('',#17722,#17723,#9569,.T.);
#21770=EDGE_CURVE('',#17676,#17723,#9570,.T.);
#21771=EDGE_CURVE('',#17689,#17724,#9571,.T.);
#21772=EDGE_CURVE('',#17724,#17725,#9572,.T.);
#21773=EDGE_CURVE('',#17690,#17725,#9573,.T.);
#21774=EDGE_CURVE('',#17676,#17726,#9574,.T.);
#21775=EDGE_CURVE('',#17726,#17727,#9575,.T.);
#21776=EDGE_CURVE('',#17677,#17727,#9576,.T.);
#21777=EDGE_CURVE('',#17688,#17728,#9577,.T.);
#21778=EDGE_CURVE('',#17728,#17729,#9578,.T.);
#21779=EDGE_CURVE('',#17689,#17729,#9579,.T.);
#21780=EDGE_CURVE('',#17677,#17730,#9580,.T.);
#21781=EDGE_CURVE('',#17730,#17731,#9581,.T.);
#21782=EDGE_CURVE('',#17678,#17731,#9582,.T.);
#21783=EDGE_CURVE('',#17687,#17732,#9583,.T.);
#21784=EDGE_CURVE('',#17732,#17733,#9584,.T.);
#21785=EDGE_CURVE('',#17688,#17733,#9585,.T.);
#21786=EDGE_CURVE('',#17678,#17734,#9586,.T.);
#21787=EDGE_CURVE('',#17734,#17735,#9587,.T.);
#21788=EDGE_CURVE('',#17679,#17735,#9588,.T.);
#21789=EDGE_CURVE('',#17686,#17736,#9589,.T.);
#21790=EDGE_CURVE('',#17736,#17737,#9590,.T.);
#21791=EDGE_CURVE('',#17687,#17737,#9591,.T.);
#21792=EDGE_CURVE('',#17679,#17738,#9592,.T.);
#21793=EDGE_CURVE('',#17738,#17739,#9593,.T.);
#21794=EDGE_CURVE('',#17680,#17739,#9594,.T.);
#21795=EDGE_CURVE('',#17685,#17740,#9595,.T.);
#21796=EDGE_CURVE('',#17740,#17741,#9596,.T.);
#21797=EDGE_CURVE('',#17686,#17741,#9597,.T.);
#21798=EDGE_CURVE('',#17680,#17742,#9598,.T.);
#21799=EDGE_CURVE('',#17742,#17743,#9599,.T.);
#21800=EDGE_CURVE('',#17681,#17743,#9600,.T.);
#21801=EDGE_CURVE('',#17684,#17744,#9601,.T.);
#21802=EDGE_CURVE('',#17744,#17745,#9602,.T.);
#21803=EDGE_CURVE('',#17685,#17745,#9603,.T.);
#21804=EDGE_CURVE('',#17681,#17746,#9604,.T.);
#21805=EDGE_CURVE('',#17746,#17747,#9605,.T.);
#21806=EDGE_CURVE('',#17682,#17747,#9606,.T.);
#21807=EDGE_CURVE('',#17683,#17748,#9607,.T.);
#21808=EDGE_CURVE('',#17748,#17749,#9608,.T.);
#21809=EDGE_CURVE('',#17684,#17749,#9609,.T.);
#21810=EDGE_CURVE('',#17682,#17750,#9610,.T.);
#21811=EDGE_CURVE('',#17750,#17751,#9611,.T.);
#21812=EDGE_CURVE('',#17683,#17751,#9612,.T.);
#21813=EDGE_CURVE('',#17706,#17752,#9613,.T.);
#21814=EDGE_CURVE('',#17752,#17696,#9614,.T.);
#21815=EDGE_CURVE('',#17696,#17753,#9615,.T.);
#21816=EDGE_CURVE('',#17753,#17697,#9616,.T.);
#21817=EDGE_CURVE('',#17754,#17755,#9617,.T.);
#21818=EDGE_CURVE('',#17756,#17754,#9618,.T.);
#21819=EDGE_CURVE('',#17757,#17756,#9619,.T.);
#21820=EDGE_CURVE('',#17758,#17757,#9620,.T.);
#21821=EDGE_CURVE('',#17759,#17758,#9621,.T.);
#21822=EDGE_CURVE('',#17760,#17759,#9622,.T.);
#21823=EDGE_CURVE('',#17761,#17760,#9623,.T.);
#21824=EDGE_CURVE('',#17762,#17761,#9624,.T.);
#21825=EDGE_CURVE('',#17763,#17762,#9625,.T.);
#21826=EDGE_CURVE('',#17764,#17763,#9626,.T.);
#21827=EDGE_CURVE('',#17765,#17764,#9627,.T.);
#21828=EDGE_CURVE('',#17766,#17765,#9628,.T.);
#21829=EDGE_CURVE('',#17767,#17766,#9629,.T.);
#21830=EDGE_CURVE('',#17768,#17767,#9630,.T.);
#21831=EDGE_CURVE('',#17769,#17768,#9631,.T.);
#21832=EDGE_CURVE('',#17770,#17769,#9632,.T.);
#21833=EDGE_CURVE('',#17771,#17770,#9633,.T.);
#21834=EDGE_CURVE('',#17772,#17771,#9634,.T.);
#21835=EDGE_CURVE('',#17773,#17772,#9635,.T.);
#21836=EDGE_CURVE('',#17774,#17773,#9636,.T.);
#21837=EDGE_CURVE('',#17775,#17774,#9637,.T.);
#21838=EDGE_CURVE('',#17776,#17775,#9638,.T.);
#21839=EDGE_CURVE('',#17777,#17776,#9639,.T.);
#21840=EDGE_CURVE('',#17698,#17777,#9640,.T.);
#21841=EDGE_CURVE('',#17753,#17778,#9641,.T.);
#21842=EDGE_CURVE('',#17778,#17755,#9642,.T.);
#21843=EDGE_CURVE('',#17699,#17777,#9643,.T.);
#21844=EDGE_CURVE('',#17779,#17699,#9644,.T.);
#21845=EDGE_CURVE('',#17780,#17779,#517,.T.);
#21846=EDGE_CURVE('',#17781,#17780,#9645,.T.);
#21847=EDGE_CURVE('',#17782,#17781,#518,.T.);
#21848=EDGE_CURVE('',#17783,#17782,#9646,.T.);
#21849=EDGE_CURVE('',#17784,#17783,#9647,.T.);
#21850=EDGE_CURVE('',#17785,#17784,#9648,.T.);
#21851=EDGE_CURVE('',#17786,#17785,#519,.T.);
#21852=EDGE_CURVE('',#17787,#17786,#9649,.T.);
#21853=EDGE_CURVE('',#17714,#17787,#520,.T.);
#21854=EDGE_CURVE('',#17707,#17788,#9650,.T.);
#21855=EDGE_CURVE('',#17788,#17789,#9651,.T.);
#21856=EDGE_CURVE('',#17789,#17790,#9652,.T.);
#21857=EDGE_CURVE('',#17790,#17791,#9653,.T.);
#21858=EDGE_CURVE('',#17791,#17792,#9654,.T.);
#21859=EDGE_CURVE('',#17792,#17793,#9655,.T.);
#21860=EDGE_CURVE('',#17793,#17794,#9656,.T.);
#21861=EDGE_CURVE('',#17794,#17795,#9657,.T.);
#21862=EDGE_CURVE('',#17795,#17796,#9658,.T.);
#21863=EDGE_CURVE('',#17796,#17797,#9659,.T.);
#21864=EDGE_CURVE('',#17797,#17798,#9660,.T.);
#21865=EDGE_CURVE('',#17798,#17799,#9661,.T.);
#21866=EDGE_CURVE('',#17799,#17800,#9662,.T.);
#21867=EDGE_CURVE('',#17800,#17801,#9663,.T.);
#21868=EDGE_CURVE('',#17801,#17802,#9664,.T.);
#21869=EDGE_CURVE('',#17802,#17803,#9665,.T.);
#21870=EDGE_CURVE('',#17803,#17804,#9666,.T.);
#21871=EDGE_CURVE('',#17804,#17805,#9667,.T.);
#21872=EDGE_CURVE('',#17805,#17806,#9668,.T.);
#21873=EDGE_CURVE('',#17806,#17807,#9669,.T.);
#21874=EDGE_CURVE('',#17807,#17808,#9670,.T.);
#21875=EDGE_CURVE('',#17808,#17809,#9671,.T.);
#21876=EDGE_CURVE('',#17809,#17810,#9672,.T.);
#21877=EDGE_CURVE('',#17810,#17811,#9673,.T.);
#21878=EDGE_CURVE('',#17811,#17812,#9674,.T.);
#21879=EDGE_CURVE('',#17702,#17812,#9675,.T.);
#21880=EDGE_CURVE('',#17812,#17813,#9676,.T.);
#21881=EDGE_CURVE('',#17703,#17813,#9677,.T.);
#21882=EDGE_CURVE('',#17813,#17814,#9678,.T.);
#21883=EDGE_CURVE('',#17814,#17815,#9679,.T.);
#21884=EDGE_CURVE('',#17815,#17816,#9680,.T.);
#21885=EDGE_CURVE('',#17816,#17817,#9681,.T.);
#21886=EDGE_CURVE('',#17817,#17818,#9682,.T.);
#21887=EDGE_CURVE('',#17818,#17819,#9683,.T.);
#21888=EDGE_CURVE('',#17819,#17820,#9684,.T.);
#21889=EDGE_CURVE('',#17820,#17821,#9685,.T.);
#21890=EDGE_CURVE('',#17821,#17822,#9686,.T.);
#21891=EDGE_CURVE('',#17822,#17823,#9687,.T.);
#21892=EDGE_CURVE('',#17823,#17824,#9688,.T.);
#21893=EDGE_CURVE('',#17824,#17825,#9689,.T.);
#21894=EDGE_CURVE('',#17825,#17826,#9690,.T.);
#21895=EDGE_CURVE('',#17826,#17827,#9691,.T.);
#21896=EDGE_CURVE('',#17827,#17828,#9692,.T.);
#21897=EDGE_CURVE('',#17828,#17829,#9693,.T.);
#21898=EDGE_CURVE('',#17829,#17830,#9694,.T.);
#21899=EDGE_CURVE('',#17830,#17831,#9695,.T.);
#21900=EDGE_CURVE('',#17831,#17832,#9696,.T.);
#21901=EDGE_CURVE('',#17832,#17833,#9697,.T.);
#21902=EDGE_CURVE('',#17833,#17834,#9698,.T.);
#21903=EDGE_CURVE('',#17834,#17835,#9699,.T.);
#21904=EDGE_CURVE('',#17835,#17836,#9700,.T.);
#21905=EDGE_CURVE('',#17836,#17837,#9701,.T.);
#21906=EDGE_CURVE('',#17837,#17838,#9702,.T.);
#21907=EDGE_CURVE('',#17704,#17838,#9703,.T.);
#21908=EDGE_CURVE('',#17838,#17839,#9704,.T.);
#21909=EDGE_CURVE('',#17705,#17839,#9705,.T.);
#21910=EDGE_CURVE('',#17839,#17840,#9706,.T.);
#21911=EDGE_CURVE('',#17840,#17841,#9707,.T.);
#21912=EDGE_CURVE('',#17841,#17842,#9708,.T.);
#21913=EDGE_CURVE('',#17842,#17843,#9709,.T.);
#21914=EDGE_CURVE('',#17843,#17844,#9710,.T.);
#21915=EDGE_CURVE('',#17844,#17845,#9711,.T.);
#21916=EDGE_CURVE('',#17845,#17846,#9712,.T.);
#21917=EDGE_CURVE('',#17846,#17847,#9713,.T.);
#21918=EDGE_CURVE('',#17847,#17848,#9714,.T.);
#21919=EDGE_CURVE('',#17848,#17849,#9715,.T.);
#21920=EDGE_CURVE('',#17849,#17850,#9716,.T.);
#21921=EDGE_CURVE('',#17850,#17851,#9717,.T.);
#21922=EDGE_CURVE('',#17851,#17852,#9718,.T.);
#21923=EDGE_CURVE('',#17852,#17853,#9719,.T.);
#21924=EDGE_CURVE('',#17853,#17854,#9720,.T.);
#21925=EDGE_CURVE('',#17854,#17855,#9721,.T.);
#21926=EDGE_CURVE('',#17855,#17856,#9722,.T.);
#21927=EDGE_CURVE('',#17856,#17857,#9723,.T.);
#21928=EDGE_CURVE('',#17857,#17858,#9724,.T.);
#21929=EDGE_CURVE('',#17858,#17859,#9725,.T.);
#21930=EDGE_CURVE('',#17859,#17860,#9726,.T.);
#21931=EDGE_CURVE('',#17860,#17861,#9727,.T.);
#21932=EDGE_CURVE('',#17861,#17862,#9728,.T.);
#21933=EDGE_CURVE('',#17862,#17863,#9729,.T.);
#21934=EDGE_CURVE('',#17863,#17706,#9730,.T.);
#21935=EDGE_CURVE('',#17709,#17864,#9731,.T.);
#21936=EDGE_CURVE('',#17707,#17864,#9732,.T.);
#21937=EDGE_CURVE('',#17711,#17865,#521,.T.);
#21938=EDGE_CURVE('',#17865,#17866,#9733,.T.);
#21939=EDGE_CURVE('',#17866,#17867,#522,.T.);
#21940=EDGE_CURVE('',#17867,#17868,#9734,.T.);
#21941=EDGE_CURVE('',#17868,#17869,#9735,.T.);
#21942=EDGE_CURVE('',#17869,#17870,#9736,.T.);
#21943=EDGE_CURVE('',#17870,#17871,#523,.T.);
#21944=EDGE_CURVE('',#17871,#17872,#9737,.T.);
#21945=EDGE_CURVE('',#17872,#17873,#524,.T.);
#21946=EDGE_CURVE('',#17873,#17708,#9738,.T.);
#21947=EDGE_CURVE('',#17708,#17756,#9739,.T.);
#21948=EDGE_CURVE('',#17709,#17754,#9740,.T.);
#21949=EDGE_CURVE('',#17874,#17713,#9741,.T.);
#21950=EDGE_CURVE('',#17875,#17874,#525,.T.);
#21951=EDGE_CURVE('',#17876,#17875,#9742,.T.);
#21952=EDGE_CURVE('',#17877,#17876,#526,.T.);
#21953=EDGE_CURVE('',#17878,#17877,#9743,.T.);
#21954=EDGE_CURVE('',#17879,#17878,#9744,.T.);
#21955=EDGE_CURVE('',#17880,#17879,#9745,.T.);
#21956=EDGE_CURVE('',#17881,#17880,#527,.T.);
#21957=EDGE_CURVE('',#17882,#17881,#9746,.T.);
#21958=EDGE_CURVE('',#17710,#17882,#528,.T.);
#21959=EDGE_CURVE('',#17882,#17865,#9747,.T.);
#21960=EDGE_CURVE('',#17717,#17883,#529,.T.);
#21961=EDGE_CURVE('',#17883,#17884,#9748,.T.);
#21962=EDGE_CURVE('',#17884,#17885,#530,.T.);
#21963=EDGE_CURVE('',#17885,#17886,#9749,.T.);
#21964=EDGE_CURVE('',#17886,#17887,#9750,.T.);
#21965=EDGE_CURVE('',#17887,#17888,#9751,.T.);
#21966=EDGE_CURVE('',#17888,#17889,#531,.T.);
#21967=EDGE_CURVE('',#17889,#17890,#9752,.T.);
#21968=EDGE_CURVE('',#17890,#17891,#532,.T.);
#21969=EDGE_CURVE('',#17891,#17712,#9753,.T.);
#21970=EDGE_CURVE('',#17712,#17758,#9754,.T.);
#21971=EDGE_CURVE('',#17713,#17757,#9755,.T.);
#21972=EDGE_CURVE('',#17787,#17892,#9756,.T.);
#21973=EDGE_CURVE('',#17715,#17892,#533,.T.);
#21974=EDGE_CURVE('',#17892,#17893,#9757,.T.);
#21975=EDGE_CURVE('',#17893,#17894,#534,.T.);
#21976=EDGE_CURVE('',#17894,#17895,#9758,.T.);
#21977=EDGE_CURVE('',#17895,#17896,#9759,.T.);
#21978=EDGE_CURVE('',#17896,#17897,#9760,.T.);
#21979=EDGE_CURVE('',#17897,#17898,#535,.T.);
#21980=EDGE_CURVE('',#17898,#17899,#9761,.T.);
#21981=EDGE_CURVE('',#17899,#17900,#536,.T.);
#21982=EDGE_CURVE('',#17900,#17718,#9762,.T.);
#21983=EDGE_CURVE('',#17901,#17721,#9763,.T.);
#21984=EDGE_CURVE('',#17902,#17901,#537,.T.);
#21985=EDGE_CURVE('',#17903,#17902,#9764,.T.);
#21986=EDGE_CURVE('',#17904,#17903,#538,.T.);
#21987=EDGE_CURVE('',#17905,#17904,#9765,.T.);
#21988=EDGE_CURVE('',#17906,#17905,#9766,.T.);
#21989=EDGE_CURVE('',#17907,#17906,#9767,.T.);
#21990=EDGE_CURVE('',#17908,#17907,#539,.T.);
#21991=EDGE_CURVE('',#17909,#17908,#9768,.T.);
#21992=EDGE_CURVE('',#17716,#17909,#540,.T.);
#21993=EDGE_CURVE('',#17909,#17883,#9769,.T.);
#21994=EDGE_CURVE('',#17718,#17776,#9770,.T.);
#21995=EDGE_CURVE('',#17719,#17775,#9771,.T.);
#21996=EDGE_CURVE('',#17910,#17719,#9772,.T.);
#21997=EDGE_CURVE('',#17911,#17910,#541,.T.);
#21998=EDGE_CURVE('',#17912,#17911,#9773,.T.);
#21999=EDGE_CURVE('',#17913,#17912,#542,.T.);
#22000=EDGE_CURVE('',#17914,#17913,#9774,.T.);
#22001=EDGE_CURVE('',#17915,#17914,#9775,.T.);
#22002=EDGE_CURVE('',#17916,#17915,#9776,.T.);
#22003=EDGE_CURVE('',#17917,#17916,#543,.T.);
#22004=EDGE_CURVE('',#17918,#17917,#9777,.T.);
#22005=EDGE_CURVE('',#17722,#17918,#544,.T.);
#22006=EDGE_CURVE('',#17725,#17919,#545,.T.);
#22007=EDGE_CURVE('',#17919,#17920,#9778,.T.);
#22008=EDGE_CURVE('',#17920,#17921,#546,.T.);
#22009=EDGE_CURVE('',#17921,#17922,#9779,.T.);
#22010=EDGE_CURVE('',#17922,#17923,#9780,.T.);
#22011=EDGE_CURVE('',#17923,#17924,#9781,.T.);
#22012=EDGE_CURVE('',#17924,#17925,#547,.T.);
#22013=EDGE_CURVE('',#17925,#17926,#9782,.T.);
#22014=EDGE_CURVE('',#17926,#17927,#548,.T.);
#22015=EDGE_CURVE('',#17927,#17720,#9783,.T.);
#22016=EDGE_CURVE('',#17720,#17760,#9784,.T.);
#22017=EDGE_CURVE('',#17721,#17759,#9785,.T.);
#22018=EDGE_CURVE('',#17918,#17928,#9786,.T.);
#22019=EDGE_CURVE('',#17723,#17928,#549,.T.);
#22020=EDGE_CURVE('',#17928,#17929,#9787,.T.);
#22021=EDGE_CURVE('',#17929,#17930,#550,.T.);
#22022=EDGE_CURVE('',#17930,#17931,#9788,.T.);
#22023=EDGE_CURVE('',#17931,#17932,#9789,.T.);
#22024=EDGE_CURVE('',#17932,#17933,#9790,.T.);
#22025=EDGE_CURVE('',#17933,#17934,#551,.T.);
#22026=EDGE_CURVE('',#17934,#17935,#9791,.T.);
#22027=EDGE_CURVE('',#17935,#17936,#552,.T.);
#22028=EDGE_CURVE('',#17936,#17726,#9792,.T.);
#22029=EDGE_CURVE('',#17937,#17729,#9793,.T.);
#22030=EDGE_CURVE('',#17938,#17937,#553,.T.);
#22031=EDGE_CURVE('',#17939,#17938,#9794,.T.);
#22032=EDGE_CURVE('',#17940,#17939,#554,.T.);
#22033=EDGE_CURVE('',#17941,#17940,#9795,.T.);
#22034=EDGE_CURVE('',#17942,#17941,#9796,.T.);
#22035=EDGE_CURVE('',#17943,#17942,#9797,.T.);
#22036=EDGE_CURVE('',#17944,#17943,#555,.T.);
#22037=EDGE_CURVE('',#17945,#17944,#9798,.T.);
#22038=EDGE_CURVE('',#17724,#17945,#556,.T.);
#22039=EDGE_CURVE('',#17945,#17919,#9799,.T.);
#22040=EDGE_CURVE('',#17726,#17774,#9800,.T.);
#22041=EDGE_CURVE('',#17727,#17773,#9801,.T.);
#22042=EDGE_CURVE('',#17946,#17727,#9802,.T.);
#22043=EDGE_CURVE('',#17947,#17946,#557,.T.);
#22044=EDGE_CURVE('',#17948,#17947,#9803,.T.);
#22045=EDGE_CURVE('',#17949,#17948,#558,.T.);
#22046=EDGE_CURVE('',#17950,#17949,#9804,.T.);
#22047=EDGE_CURVE('',#17951,#17950,#9805,.T.);
#22048=EDGE_CURVE('',#17952,#17951,#9806,.T.);
#22049=EDGE_CURVE('',#17953,#17952,#559,.T.);
#22050=EDGE_CURVE('',#17954,#17953,#9807,.T.);
#22051=EDGE_CURVE('',#17730,#17954,#560,.T.);
#22052=EDGE_CURVE('',#17733,#17955,#561,.T.);
#22053=EDGE_CURVE('',#17955,#17956,#9808,.T.);
#22054=EDGE_CURVE('',#17956,#17957,#562,.T.);
#22055=EDGE_CURVE('',#17957,#17958,#9809,.T.);
#22056=EDGE_CURVE('',#17958,#17959,#9810,.T.);
#22057=EDGE_CURVE('',#17959,#17960,#9811,.T.);
#22058=EDGE_CURVE('',#17960,#17961,#563,.T.);
#22059=EDGE_CURVE('',#17961,#17962,#9812,.T.);
#22060=EDGE_CURVE('',#17962,#17963,#564,.T.);
#22061=EDGE_CURVE('',#17963,#17728,#9813,.T.);
#22062=EDGE_CURVE('',#17728,#17762,#9814,.T.);
#22063=EDGE_CURVE('',#17729,#17761,#9815,.T.);
#22064=EDGE_CURVE('',#17954,#17964,#9816,.T.);
#22065=EDGE_CURVE('',#17731,#17964,#565,.T.);
#22066=EDGE_CURVE('',#17964,#17965,#9817,.T.);
#22067=EDGE_CURVE('',#17965,#17966,#566,.T.);
#22068=EDGE_CURVE('',#17966,#17967,#9818,.T.);
#22069=EDGE_CURVE('',#17967,#17968,#9819,.T.);
#22070=EDGE_CURVE('',#17968,#17969,#9820,.T.);
#22071=EDGE_CURVE('',#17969,#17970,#567,.T.);
#22072=EDGE_CURVE('',#17970,#17971,#9821,.T.);
#22073=EDGE_CURVE('',#17971,#17972,#568,.T.);
#22074=EDGE_CURVE('',#17972,#17734,#9822,.T.);
#22075=EDGE_CURVE('',#17973,#17737,#9823,.T.);
#22076=EDGE_CURVE('',#17974,#17973,#569,.T.);
#22077=EDGE_CURVE('',#17975,#17974,#9824,.T.);
#22078=EDGE_CURVE('',#17976,#17975,#570,.T.);
#22079=EDGE_CURVE('',#17977,#17976,#9825,.T.);
#22080=EDGE_CURVE('',#17978,#17977,#9826,.T.);
#22081=EDGE_CURVE('',#17979,#17978,#9827,.T.);
#22082=EDGE_CURVE('',#17980,#17979,#571,.T.);
#22083=EDGE_CURVE('',#17981,#17980,#9828,.T.);
#22084=EDGE_CURVE('',#17732,#17981,#572,.T.);
#22085=EDGE_CURVE('',#17981,#17955,#9829,.T.);
#22086=EDGE_CURVE('',#17734,#17772,#9830,.T.);
#22087=EDGE_CURVE('',#17735,#17771,#9831,.T.);
#22088=EDGE_CURVE('',#17982,#17735,#9832,.T.);
#22089=EDGE_CURVE('',#17983,#17982,#573,.T.);
#22090=EDGE_CURVE('',#17984,#17983,#9833,.T.);
#22091=EDGE_CURVE('',#17985,#17984,#574,.T.);
#22092=EDGE_CURVE('',#17986,#17985,#9834,.T.);
#22093=EDGE_CURVE('',#17987,#17986,#9835,.T.);
#22094=EDGE_CURVE('',#17988,#17987,#9836,.T.);
#22095=EDGE_CURVE('',#17989,#17988,#575,.T.);
#22096=EDGE_CURVE('',#17990,#17989,#9837,.T.);
#22097=EDGE_CURVE('',#17738,#17990,#576,.T.);
#22098=EDGE_CURVE('',#17741,#17991,#577,.T.);
#22099=EDGE_CURVE('',#17991,#17992,#9838,.T.);
#22100=EDGE_CURVE('',#17992,#17993,#578,.T.);
#22101=EDGE_CURVE('',#17993,#17994,#9839,.T.);
#22102=EDGE_CURVE('',#17994,#17995,#9840,.T.);
#22103=EDGE_CURVE('',#17995,#17996,#9841,.T.);
#22104=EDGE_CURVE('',#17996,#17997,#579,.T.);
#22105=EDGE_CURVE('',#17997,#17998,#9842,.T.);
#22106=EDGE_CURVE('',#17998,#17999,#580,.T.);
#22107=EDGE_CURVE('',#17999,#17736,#9843,.T.);
#22108=EDGE_CURVE('',#17736,#17764,#9844,.T.);
#22109=EDGE_CURVE('',#17737,#17763,#9845,.T.);
#22110=EDGE_CURVE('',#17990,#18000,#9846,.T.);
#22111=EDGE_CURVE('',#17739,#18000,#581,.T.);
#22112=EDGE_CURVE('',#18000,#18001,#9847,.T.);
#22113=EDGE_CURVE('',#18001,#18002,#582,.T.);
#22114=EDGE_CURVE('',#18002,#18003,#9848,.T.);
#22115=EDGE_CURVE('',#18003,#18004,#9849,.T.);
#22116=EDGE_CURVE('',#18004,#18005,#9850,.T.);
#22117=EDGE_CURVE('',#18005,#18006,#583,.T.);
#22118=EDGE_CURVE('',#18006,#18007,#9851,.T.);
#22119=EDGE_CURVE('',#18007,#18008,#584,.T.);
#22120=EDGE_CURVE('',#18008,#17742,#9852,.T.);
#22121=EDGE_CURVE('',#18009,#17745,#9853,.T.);
#22122=EDGE_CURVE('',#18010,#18009,#585,.T.);
#22123=EDGE_CURVE('',#18011,#18010,#9854,.T.);
#22124=EDGE_CURVE('',#18012,#18011,#586,.T.);
#22125=EDGE_CURVE('',#18013,#18012,#9855,.T.);
#22126=EDGE_CURVE('',#18014,#18013,#9856,.T.);
#22127=EDGE_CURVE('',#18015,#18014,#9857,.T.);
#22128=EDGE_CURVE('',#18016,#18015,#587,.T.);
#22129=EDGE_CURVE('',#18017,#18016,#9858,.T.);
#22130=EDGE_CURVE('',#17740,#18017,#588,.T.);
#22131=EDGE_CURVE('',#18017,#17991,#9859,.T.);
#22132=EDGE_CURVE('',#17742,#17770,#9860,.T.);
#22133=EDGE_CURVE('',#17743,#17769,#9861,.T.);
#22134=EDGE_CURVE('',#18018,#17743,#9862,.T.);
#22135=EDGE_CURVE('',#18019,#18018,#589,.T.);
#22136=EDGE_CURVE('',#18020,#18019,#9863,.T.);
#22137=EDGE_CURVE('',#18021,#18020,#590,.T.);
#22138=EDGE_CURVE('',#18022,#18021,#9864,.T.);
#22139=EDGE_CURVE('',#18023,#18022,#9865,.T.);
#22140=EDGE_CURVE('',#18024,#18023,#9866,.T.);
#22141=EDGE_CURVE('',#18025,#18024,#591,.T.);
#22142=EDGE_CURVE('',#18026,#18025,#9867,.T.);
#22143=EDGE_CURVE('',#17746,#18026,#592,.T.);
#22144=EDGE_CURVE('',#17749,#18027,#593,.T.);
#22145=EDGE_CURVE('',#18027,#18028,#9868,.T.);
#22146=EDGE_CURVE('',#18028,#18029,#594,.T.);
#22147=EDGE_CURVE('',#18029,#18030,#9869,.T.);
#22148=EDGE_CURVE('',#18030,#18031,#9870,.T.);
#22149=EDGE_CURVE('',#18031,#18032,#9871,.T.);
#22150=EDGE_CURVE('',#18032,#18033,#595,.T.);
#22151=EDGE_CURVE('',#18033,#18034,#9872,.T.);
#22152=EDGE_CURVE('',#18034,#18035,#596,.T.);
#22153=EDGE_CURVE('',#18035,#17744,#9873,.T.);
#22154=EDGE_CURVE('',#17744,#17766,#9874,.T.);
#22155=EDGE_CURVE('',#17745,#17765,#9875,.T.);
#22156=EDGE_CURVE('',#18026,#18036,#9876,.T.);
#22157=EDGE_CURVE('',#17747,#18036,#597,.T.);
#22158=EDGE_CURVE('',#18036,#18037,#9877,.T.);
#22159=EDGE_CURVE('',#18037,#18038,#598,.T.);
#22160=EDGE_CURVE('',#18038,#18039,#9878,.T.);
#22161=EDGE_CURVE('',#18039,#18040,#9879,.T.);
#22162=EDGE_CURVE('',#18040,#18041,#9880,.T.);
#22163=EDGE_CURVE('',#18041,#18042,#599,.T.);
#22164=EDGE_CURVE('',#18042,#18043,#9881,.T.);
#22165=EDGE_CURVE('',#18043,#18044,#600,.T.);
#22166=EDGE_CURVE('',#18044,#17750,#9882,.T.);
#22167=EDGE_CURVE('',#18045,#17751,#9883,.T.);
#22168=EDGE_CURVE('',#18046,#18045,#601,.T.);
#22169=EDGE_CURVE('',#18047,#18046,#9884,.T.);
#22170=EDGE_CURVE('',#18048,#18047,#602,.T.);
#22171=EDGE_CURVE('',#18049,#18048,#9885,.T.);
#22172=EDGE_CURVE('',#18050,#18049,#9886,.T.);
#22173=EDGE_CURVE('',#18051,#18050,#9887,.T.);
#22174=EDGE_CURVE('',#18052,#18051,#603,.T.);
#22175=EDGE_CURVE('',#18053,#18052,#9888,.T.);
#22176=EDGE_CURVE('',#17748,#18053,#604,.T.);
#22177=EDGE_CURVE('',#18053,#18027,#9889,.T.);
#22178=EDGE_CURVE('',#17750,#17768,#9890,.T.);
#22179=EDGE_CURVE('',#17751,#17767,#9891,.T.);
#22180=EDGE_CURVE('',#17752,#18054,#9892,.T.);
#22181=EDGE_CURVE('',#18054,#17753,#9893,.T.);
#22182=EDGE_CURVE('',#17863,#18055,#9894,.T.);
#22183=EDGE_CURVE('',#18055,#17752,#9895,.T.);
#22184=EDGE_CURVE('',#18056,#18057,#9896,.T.);
#22185=EDGE_CURVE('',#17754,#18056,#9897,.T.);
#22186=EDGE_CURVE('',#17755,#18057,#9898,.T.);
#22187=EDGE_CURVE('',#17778,#18058,#137,.T.);
#22188=EDGE_CURVE('',#18058,#18059,#9899,.T.);
#22189=EDGE_CURVE('',#17755,#18059,#138,.T.);
#22190=EDGE_CURVE('',#18054,#18060,#9900,.T.);
#22191=EDGE_CURVE('',#18060,#17778,#9901,.T.);
#22192=EDGE_CURVE('',#17874,#17873,#9902,.T.);
#22193=EDGE_CURVE('',#17901,#17891,#9903,.T.);
#22194=EDGE_CURVE('',#17779,#17900,#9904,.T.);
#22195=EDGE_CURVE('',#17937,#17927,#9905,.T.);
#22196=EDGE_CURVE('',#17910,#17936,#9906,.T.);
#22197=EDGE_CURVE('',#17973,#17963,#9907,.T.);
#22198=EDGE_CURVE('',#17946,#17972,#9908,.T.);
#22199=EDGE_CURVE('',#18009,#17999,#9909,.T.);
#22200=EDGE_CURVE('',#17982,#18008,#9910,.T.);
#22201=EDGE_CURVE('',#18045,#18035,#9911,.T.);
#22202=EDGE_CURVE('',#18018,#18044,#9912,.T.);
#22203=EDGE_CURVE('',#17780,#17899,#9913,.T.);
#22204=EDGE_CURVE('',#17781,#17898,#9914,.T.);
#22205=EDGE_CURVE('',#17782,#17897,#9915,.T.);
#22206=EDGE_CURVE('',#17783,#17896,#9916,.T.);
#22207=EDGE_CURVE('',#17784,#17895,#9917,.T.);
#22208=EDGE_CURVE('',#17785,#17894,#9918,.T.);
#22209=EDGE_CURVE('',#17786,#17893,#9919,.T.);
#22210=EDGE_CURVE('',#17864,#18061,#9920,.T.);
#22211=EDGE_CURVE('',#17788,#18061,#9921,.T.);
#22212=EDGE_CURVE('',#17811,#18062,#9922,.T.);
#22213=EDGE_CURVE('',#18062,#18063,#9923,.T.);
#22214=EDGE_CURVE('',#17812,#18063,#9924,.T.);
#22215=EDGE_CURVE('',#17788,#18064,#9925,.T.);
#22216=EDGE_CURVE('',#18064,#18065,#9926,.T.);
#22217=EDGE_CURVE('',#17789,#18065,#9927,.T.);
#22218=EDGE_CURVE('',#17810,#18066,#9928,.T.);
#22219=EDGE_CURVE('',#18066,#18067,#9929,.T.);
#22220=EDGE_CURVE('',#17811,#18067,#9930,.T.);
#22221=EDGE_CURVE('',#17789,#18068,#9931,.T.);
#22222=EDGE_CURVE('',#18068,#18069,#9932,.T.);
#22223=EDGE_CURVE('',#17790,#18069,#9933,.T.);
#22224=EDGE_CURVE('',#17809,#18070,#9934,.T.);
#22225=EDGE_CURVE('',#18070,#18071,#9935,.T.);
#22226=EDGE_CURVE('',#17810,#18071,#9936,.T.);
#22227=EDGE_CURVE('',#17790,#18072,#9937,.T.);
#22228=EDGE_CURVE('',#18072,#18073,#9938,.T.);
#22229=EDGE_CURVE('',#17791,#18073,#9939,.T.);
#22230=EDGE_CURVE('',#17808,#18074,#9940,.T.);
#22231=EDGE_CURVE('',#18074,#18075,#9941,.T.);
#22232=EDGE_CURVE('',#17809,#18075,#9942,.T.);
#22233=EDGE_CURVE('',#17791,#18076,#9943,.T.);
#22234=EDGE_CURVE('',#18076,#18077,#9944,.T.);
#22235=EDGE_CURVE('',#17792,#18077,#9945,.T.);
#22236=EDGE_CURVE('',#17807,#18078,#9946,.T.);
#22237=EDGE_CURVE('',#18078,#18079,#9947,.T.);
#22238=EDGE_CURVE('',#17808,#18079,#9948,.T.);
#22239=EDGE_CURVE('',#17792,#18080,#9949,.T.);
#22240=EDGE_CURVE('',#18080,#18081,#9950,.T.);
#22241=EDGE_CURVE('',#17793,#18081,#9951,.T.);
#22242=EDGE_CURVE('',#17806,#18082,#9952,.T.);
#22243=EDGE_CURVE('',#18082,#18083,#9953,.T.);
#22244=EDGE_CURVE('',#17807,#18083,#9954,.T.);
#22245=EDGE_CURVE('',#17793,#18084,#9955,.T.);
#22246=EDGE_CURVE('',#18084,#18085,#9956,.T.);
#22247=EDGE_CURVE('',#17794,#18085,#9957,.T.);
#22248=EDGE_CURVE('',#17805,#18086,#9958,.T.);
#22249=EDGE_CURVE('',#18086,#18087,#9959,.T.);
#22250=EDGE_CURVE('',#17806,#18087,#9960,.T.);
#22251=EDGE_CURVE('',#17794,#18088,#9961,.T.);
#22252=EDGE_CURVE('',#18088,#18089,#9962,.T.);
#22253=EDGE_CURVE('',#17795,#18089,#9963,.T.);
#22254=EDGE_CURVE('',#17804,#18090,#9964,.T.);
#22255=EDGE_CURVE('',#18090,#18091,#9965,.T.);
#22256=EDGE_CURVE('',#17805,#18091,#9966,.T.);
#22257=EDGE_CURVE('',#17795,#18092,#9967,.T.);
#22258=EDGE_CURVE('',#18092,#18093,#9968,.T.);
#22259=EDGE_CURVE('',#17796,#18093,#9969,.T.);
#22260=EDGE_CURVE('',#17803,#18094,#9970,.T.);
#22261=EDGE_CURVE('',#18094,#18095,#9971,.T.);
#22262=EDGE_CURVE('',#17804,#18095,#9972,.T.);
#22263=EDGE_CURVE('',#17796,#18096,#9973,.T.);
#22264=EDGE_CURVE('',#18096,#18097,#9974,.T.);
#22265=EDGE_CURVE('',#17797,#18097,#9975,.T.);
#22266=EDGE_CURVE('',#17802,#18098,#9976,.T.);
#22267=EDGE_CURVE('',#18098,#18099,#9977,.T.);
#22268=EDGE_CURVE('',#17803,#18099,#9978,.T.);
#22269=EDGE_CURVE('',#17797,#18100,#9979,.T.);
#22270=EDGE_CURVE('',#18100,#18101,#9980,.T.);
#22271=EDGE_CURVE('',#17798,#18101,#9981,.T.);
#22272=EDGE_CURVE('',#17801,#18102,#9982,.T.);
#22273=EDGE_CURVE('',#18102,#18103,#9983,.T.);
#22274=EDGE_CURVE('',#17802,#18103,#9984,.T.);
#22275=EDGE_CURVE('',#17798,#18104,#9985,.T.);
#22276=EDGE_CURVE('',#18104,#18105,#9986,.T.);
#22277=EDGE_CURVE('',#17799,#18105,#9987,.T.);
#22278=EDGE_CURVE('',#17800,#18106,#9988,.T.);
#22279=EDGE_CURVE('',#18106,#18107,#9989,.T.);
#22280=EDGE_CURVE('',#17801,#18107,#9990,.T.);
#22281=EDGE_CURVE('',#17799,#18108,#9991,.T.);
#22282=EDGE_CURVE('',#18108,#18109,#9992,.T.);
#22283=EDGE_CURVE('',#17800,#18109,#9993,.T.);
#22284=EDGE_CURVE('',#18063,#18110,#9994,.T.);
#22285=EDGE_CURVE('',#17813,#18110,#9995,.T.);
#22286=EDGE_CURVE('',#18110,#18111,#9996,.T.);
#22287=EDGE_CURVE('',#17814,#18111,#9997,.T.);
#22288=EDGE_CURVE('',#17837,#18112,#9998,.T.);
#22289=EDGE_CURVE('',#18112,#18113,#9999,.T.);
#22290=EDGE_CURVE('',#17838,#18113,#10000,.T.);
#22291=EDGE_CURVE('',#17814,#18114,#10001,.T.);
#22292=EDGE_CURVE('',#18114,#18115,#10002,.T.);
#22293=EDGE_CURVE('',#17815,#18115,#10003,.T.);
#22294=EDGE_CURVE('',#17836,#18116,#10004,.T.);
#22295=EDGE_CURVE('',#18116,#18117,#10005,.T.);
#22296=EDGE_CURVE('',#17837,#18117,#10006,.T.);
#22297=EDGE_CURVE('',#17815,#18118,#10007,.T.);
#22298=EDGE_CURVE('',#18118,#18119,#10008,.T.);
#22299=EDGE_CURVE('',#17816,#18119,#10009,.T.);
#22300=EDGE_CURVE('',#17835,#18120,#10010,.T.);
#22301=EDGE_CURVE('',#18120,#18121,#10011,.T.);
#22302=EDGE_CURVE('',#17836,#18121,#10012,.T.);
#22303=EDGE_CURVE('',#17816,#18122,#10013,.T.);
#22304=EDGE_CURVE('',#18122,#18123,#10014,.T.);
#22305=EDGE_CURVE('',#17817,#18123,#10015,.T.);
#22306=EDGE_CURVE('',#17834,#18124,#10016,.T.);
#22307=EDGE_CURVE('',#18124,#18125,#10017,.T.);
#22308=EDGE_CURVE('',#17835,#18125,#10018,.T.);
#22309=EDGE_CURVE('',#17817,#18126,#10019,.T.);
#22310=EDGE_CURVE('',#18126,#18127,#10020,.T.);
#22311=EDGE_CURVE('',#17818,#18127,#10021,.T.);
#22312=EDGE_CURVE('',#17833,#18128,#10022,.T.);
#22313=EDGE_CURVE('',#18128,#18129,#10023,.T.);
#22314=EDGE_CURVE('',#17834,#18129,#10024,.T.);
#22315=EDGE_CURVE('',#17818,#18130,#10025,.T.);
#22316=EDGE_CURVE('',#18130,#18131,#10026,.T.);
#22317=EDGE_CURVE('',#17819,#18131,#10027,.T.);
#22318=EDGE_CURVE('',#17832,#18132,#10028,.T.);
#22319=EDGE_CURVE('',#18132,#18133,#10029,.T.);
#22320=EDGE_CURVE('',#17833,#18133,#10030,.T.);
#22321=EDGE_CURVE('',#17819,#18134,#10031,.T.);
#22322=EDGE_CURVE('',#18134,#18135,#10032,.T.);
#22323=EDGE_CURVE('',#17820,#18135,#10033,.T.);
#22324=EDGE_CURVE('',#17831,#18136,#10034,.T.);
#22325=EDGE_CURVE('',#18136,#18137,#10035,.T.);
#22326=EDGE_CURVE('',#17832,#18137,#10036,.T.);
#22327=EDGE_CURVE('',#17820,#18138,#10037,.T.);
#22328=EDGE_CURVE('',#18138,#18139,#10038,.T.);
#22329=EDGE_CURVE('',#17821,#18139,#10039,.T.);
#22330=EDGE_CURVE('',#17830,#18140,#10040,.T.);
#22331=EDGE_CURVE('',#18140,#18141,#10041,.T.);
#22332=EDGE_CURVE('',#17831,#18141,#10042,.T.);
#22333=EDGE_CURVE('',#17821,#18142,#10043,.T.);
#22334=EDGE_CURVE('',#18142,#18143,#10044,.T.);
#22335=EDGE_CURVE('',#17822,#18143,#10045,.T.);
#22336=EDGE_CURVE('',#17829,#18144,#10046,.T.);
#22337=EDGE_CURVE('',#18144,#18145,#10047,.T.);
#22338=EDGE_CURVE('',#17830,#18145,#10048,.T.);
#22339=EDGE_CURVE('',#17822,#18146,#10049,.T.);
#22340=EDGE_CURVE('',#18146,#18147,#10050,.T.);
#22341=EDGE_CURVE('',#17823,#18147,#10051,.T.);
#22342=EDGE_CURVE('',#17828,#18148,#10052,.T.);
#22343=EDGE_CURVE('',#18148,#18149,#10053,.T.);
#22344=EDGE_CURVE('',#17829,#18149,#10054,.T.);
#22345=EDGE_CURVE('',#17823,#18150,#10055,.T.);
#22346=EDGE_CURVE('',#18150,#18151,#10056,.T.);
#22347=EDGE_CURVE('',#17824,#18151,#10057,.T.);
#22348=EDGE_CURVE('',#17827,#18152,#10058,.T.);
#22349=EDGE_CURVE('',#18152,#18153,#10059,.T.);
#22350=EDGE_CURVE('',#17828,#18153,#10060,.T.);
#22351=EDGE_CURVE('',#17824,#18154,#10061,.T.);
#22352=EDGE_CURVE('',#18154,#18155,#10062,.T.);
#22353=EDGE_CURVE('',#17825,#18155,#10063,.T.);
#22354=EDGE_CURVE('',#17826,#18156,#10064,.T.);
#22355=EDGE_CURVE('',#18156,#18157,#10065,.T.);
#22356=EDGE_CURVE('',#17827,#18157,#10066,.T.);
#22357=EDGE_CURVE('',#17825,#18158,#10067,.T.);
#22358=EDGE_CURVE('',#18158,#18159,#10068,.T.);
#22359=EDGE_CURVE('',#17826,#18159,#10069,.T.);
#22360=EDGE_CURVE('',#18113,#18160,#10070,.T.);
#22361=EDGE_CURVE('',#17839,#18160,#10071,.T.);
#22362=EDGE_CURVE('',#18160,#18161,#10072,.T.);
#22363=EDGE_CURVE('',#17840,#18161,#10073,.T.);
#22364=EDGE_CURVE('',#17840,#18162,#10074,.T.);
#22365=EDGE_CURVE('',#18162,#18163,#10075,.T.);
#22366=EDGE_CURVE('',#17841,#18163,#10076,.T.);
#22367=EDGE_CURVE('',#17862,#18164,#10077,.T.);
#22368=EDGE_CURVE('',#18164,#18165,#10078,.T.);
#22369=EDGE_CURVE('',#17863,#18165,#10079,.T.);
#22370=EDGE_CURVE('',#17841,#18166,#10080,.T.);
#22371=EDGE_CURVE('',#18166,#18167,#10081,.T.);
#22372=EDGE_CURVE('',#17842,#18167,#10082,.T.);
#22373=EDGE_CURVE('',#17861,#18168,#10083,.T.);
#22374=EDGE_CURVE('',#18168,#18169,#10084,.T.);
#22375=EDGE_CURVE('',#17862,#18169,#10085,.T.);
#22376=EDGE_CURVE('',#17842,#18170,#10086,.T.);
#22377=EDGE_CURVE('',#18170,#18171,#10087,.T.);
#22378=EDGE_CURVE('',#17843,#18171,#10088,.T.);
#22379=EDGE_CURVE('',#17860,#18172,#10089,.T.);
#22380=EDGE_CURVE('',#18172,#18173,#10090,.T.);
#22381=EDGE_CURVE('',#17861,#18173,#10091,.T.);
#22382=EDGE_CURVE('',#17843,#18174,#10092,.T.);
#22383=EDGE_CURVE('',#18174,#18175,#10093,.T.);
#22384=EDGE_CURVE('',#17844,#18175,#10094,.T.);
#22385=EDGE_CURVE('',#17859,#18176,#10095,.T.);
#22386=EDGE_CURVE('',#18176,#18177,#10096,.T.);
#22387=EDGE_CURVE('',#17860,#18177,#10097,.T.);
#22388=EDGE_CURVE('',#17844,#18178,#10098,.T.);
#22389=EDGE_CURVE('',#18178,#18179,#10099,.T.);
#22390=EDGE_CURVE('',#17845,#18179,#10100,.T.);
#22391=EDGE_CURVE('',#17858,#18180,#10101,.T.);
#22392=EDGE_CURVE('',#18180,#18181,#10102,.T.);
#22393=EDGE_CURVE('',#17859,#18181,#10103,.T.);
#22394=EDGE_CURVE('',#17845,#18182,#10104,.T.);
#22395=EDGE_CURVE('',#18182,#18183,#10105,.T.);
#22396=EDGE_CURVE('',#17846,#18183,#10106,.T.);
#22397=EDGE_CURVE('',#17857,#18184,#10107,.T.);
#22398=EDGE_CURVE('',#18184,#18185,#10108,.T.);
#22399=EDGE_CURVE('',#17858,#18185,#10109,.T.);
#22400=EDGE_CURVE('',#17846,#18186,#10110,.T.);
#22401=EDGE_CURVE('',#18186,#18187,#10111,.T.);
#22402=EDGE_CURVE('',#17847,#18187,#10112,.T.);
#22403=EDGE_CURVE('',#17856,#18188,#10113,.T.);
#22404=EDGE_CURVE('',#18188,#18189,#10114,.T.);
#22405=EDGE_CURVE('',#17857,#18189,#10115,.T.);
#22406=EDGE_CURVE('',#17847,#18190,#10116,.T.);
#22407=EDGE_CURVE('',#18190,#18191,#10117,.T.);
#22408=EDGE_CURVE('',#17848,#18191,#10118,.T.);
#22409=EDGE_CURVE('',#17855,#18192,#10119,.T.);
#22410=EDGE_CURVE('',#18192,#18193,#10120,.T.);
#22411=EDGE_CURVE('',#17856,#18193,#10121,.T.);
#22412=EDGE_CURVE('',#17848,#18194,#10122,.T.);
#22413=EDGE_CURVE('',#18194,#18195,#10123,.T.);
#22414=EDGE_CURVE('',#17849,#18195,#10124,.T.);
#22415=EDGE_CURVE('',#17854,#18196,#10125,.T.);
#22416=EDGE_CURVE('',#18196,#18197,#10126,.T.);
#22417=EDGE_CURVE('',#17855,#18197,#10127,.T.);
#22418=EDGE_CURVE('',#17849,#18198,#10128,.T.);
#22419=EDGE_CURVE('',#18198,#18199,#10129,.T.);
#22420=EDGE_CURVE('',#17850,#18199,#10130,.T.);
#22421=EDGE_CURVE('',#17853,#18200,#10131,.T.);
#22422=EDGE_CURVE('',#18200,#18201,#10132,.T.);
#22423=EDGE_CURVE('',#17854,#18201,#10133,.T.);
#22424=EDGE_CURVE('',#17850,#18202,#10134,.T.);
#22425=EDGE_CURVE('',#18202,#18203,#10135,.T.);
#22426=EDGE_CURVE('',#17851,#18203,#10136,.T.);
#22427=EDGE_CURVE('',#17852,#18204,#10137,.T.);
#22428=EDGE_CURVE('',#18204,#18205,#10138,.T.);
#22429=EDGE_CURVE('',#17853,#18205,#10139,.T.);
#22430=EDGE_CURVE('',#17851,#18206,#10140,.T.);
#22431=EDGE_CURVE('',#18206,#18207,#10141,.T.);
#22432=EDGE_CURVE('',#17852,#18207,#10142,.T.);
#22433=EDGE_CURVE('',#17864,#18056,#10143,.T.);
#22434=EDGE_CURVE('',#17875,#17872,#10144,.T.);
#22435=EDGE_CURVE('',#17876,#17871,#10145,.T.);
#22436=EDGE_CURVE('',#17877,#17870,#10146,.T.);
#22437=EDGE_CURVE('',#17878,#17869,#10147,.T.);
#22438=EDGE_CURVE('',#17879,#17868,#10148,.T.);
#22439=EDGE_CURVE('',#17880,#17867,#10149,.T.);
#22440=EDGE_CURVE('',#17881,#17866,#10150,.T.);
#22441=EDGE_CURVE('',#17902,#17890,#10151,.T.);
#22442=EDGE_CURVE('',#17903,#17889,#10152,.T.);
#22443=EDGE_CURVE('',#17904,#17888,#10153,.T.);
#22444=EDGE_CURVE('',#17905,#17887,#10154,.T.);
#22445=EDGE_CURVE('',#17906,#17886,#10155,.T.);
#22446=EDGE_CURVE('',#17907,#17885,#10156,.T.);
#22447=EDGE_CURVE('',#17908,#17884,#10157,.T.);
#22448=EDGE_CURVE('',#17911,#17935,#10158,.T.);
#22449=EDGE_CURVE('',#17912,#17934,#10159,.T.);
#22450=EDGE_CURVE('',#17913,#17933,#10160,.T.);
#22451=EDGE_CURVE('',#17914,#17932,#10161,.T.);
#22452=EDGE_CURVE('',#17915,#17931,#10162,.T.);
#22453=EDGE_CURVE('',#17916,#17930,#10163,.T.);
#22454=EDGE_CURVE('',#17917,#17929,#10164,.T.);
#22455=EDGE_CURVE('',#17938,#17926,#10165,.T.);
#22456=EDGE_CURVE('',#17939,#17925,#10166,.T.);
#22457=EDGE_CURVE('',#17940,#17924,#10167,.T.);
#22458=EDGE_CURVE('',#17941,#17923,#10168,.T.);
#22459=EDGE_CURVE('',#17942,#17922,#10169,.T.);
#22460=EDGE_CURVE('',#17943,#17921,#10170,.T.);
#22461=EDGE_CURVE('',#17944,#17920,#10171,.T.);
#22462=EDGE_CURVE('',#17947,#17971,#10172,.T.);
#22463=EDGE_CURVE('',#17948,#17970,#10173,.T.);
#22464=EDGE_CURVE('',#17949,#17969,#10174,.T.);
#22465=EDGE_CURVE('',#17950,#17968,#10175,.T.);
#22466=EDGE_CURVE('',#17951,#17967,#10176,.T.);
#22467=EDGE_CURVE('',#17952,#17966,#10177,.T.);
#22468=EDGE_CURVE('',#17953,#17965,#10178,.T.);
#22469=EDGE_CURVE('',#17974,#17962,#10179,.T.);
#22470=EDGE_CURVE('',#17975,#17961,#10180,.T.);
#22471=EDGE_CURVE('',#17976,#17960,#10181,.T.);
#22472=EDGE_CURVE('',#17977,#17959,#10182,.T.);
#22473=EDGE_CURVE('',#17978,#17958,#10183,.T.);
#22474=EDGE_CURVE('',#17979,#17957,#10184,.T.);
#22475=EDGE_CURVE('',#17980,#17956,#10185,.T.);
#22476=EDGE_CURVE('',#17983,#18007,#10186,.T.);
#22477=EDGE_CURVE('',#17984,#18006,#10187,.T.);
#22478=EDGE_CURVE('',#17985,#18005,#10188,.T.);
#22479=EDGE_CURVE('',#17986,#18004,#10189,.T.);
#22480=EDGE_CURVE('',#17987,#18003,#10190,.T.);
#22481=EDGE_CURVE('',#17988,#18002,#10191,.T.);
#22482=EDGE_CURVE('',#17989,#18001,#10192,.T.);
#22483=EDGE_CURVE('',#18010,#17998,#10193,.T.);
#22484=EDGE_CURVE('',#18011,#17997,#10194,.T.);
#22485=EDGE_CURVE('',#18012,#17996,#10195,.T.);
#22486=EDGE_CURVE('',#18013,#17995,#10196,.T.);
#22487=EDGE_CURVE('',#18014,#17994,#10197,.T.);
#22488=EDGE_CURVE('',#18015,#17993,#10198,.T.);
#22489=EDGE_CURVE('',#18016,#17992,#10199,.T.);
#22490=EDGE_CURVE('',#18019,#18043,#10200,.T.);
#22491=EDGE_CURVE('',#18020,#18042,#10201,.T.);
#22492=EDGE_CURVE('',#18021,#18041,#10202,.T.);
#22493=EDGE_CURVE('',#18022,#18040,#10203,.T.);
#22494=EDGE_CURVE('',#18023,#18039,#10204,.T.);
#22495=EDGE_CURVE('',#18024,#18038,#10205,.T.);
#22496=EDGE_CURVE('',#18025,#18037,#10206,.T.);
#22497=EDGE_CURVE('',#18046,#18034,#10207,.T.);
#22498=EDGE_CURVE('',#18047,#18033,#10208,.T.);
#22499=EDGE_CURVE('',#18048,#18032,#10209,.T.);
#22500=EDGE_CURVE('',#18049,#18031,#10210,.T.);
#22501=EDGE_CURVE('',#18050,#18030,#10211,.T.);
#22502=EDGE_CURVE('',#18051,#18029,#10212,.T.);
#22503=EDGE_CURVE('',#18052,#18028,#10213,.T.);
#22504=EDGE_CURVE('',#18055,#18208,#10214,.T.);
#22505=EDGE_CURVE('',#18208,#18054,#10215,.T.);
#22506=EDGE_CURVE('',#18165,#18209,#605,.T.);
#22507=EDGE_CURVE('',#18209,#18210,#10216,.T.);
#22508=EDGE_CURVE('',#18210,#18211,#606,.T.);
#22509=EDGE_CURVE('',#18211,#18212,#10217,.T.);
#22510=EDGE_CURVE('',#18212,#18213,#10218,.T.);
#22511=EDGE_CURVE('',#18213,#18214,#10219,.T.);
#22512=EDGE_CURVE('',#18214,#18215,#607,.T.);
#22513=EDGE_CURVE('',#18215,#18216,#10220,.T.);
#22514=EDGE_CURVE('',#18216,#18217,#608,.T.);
#22515=EDGE_CURVE('',#18217,#18055,#10221,.T.);
#22516=EDGE_CURVE('',#18218,#18219,#10222,.T.);
#22517=EDGE_CURVE('',#18220,#18218,#10223,.T.);
#22518=EDGE_CURVE('',#18221,#18220,#10224,.T.);
#22519=EDGE_CURVE('',#18222,#18221,#10225,.T.);
#22520=EDGE_CURVE('',#18223,#18222,#10226,.T.);
#22521=EDGE_CURVE('',#18224,#18223,#10227,.T.);
#22522=EDGE_CURVE('',#18225,#18224,#10228,.T.);
#22523=EDGE_CURVE('',#18226,#18225,#10229,.T.);
#22524=EDGE_CURVE('',#18227,#18226,#10230,.T.);
#22525=EDGE_CURVE('',#18228,#18227,#10231,.T.);
#22526=EDGE_CURVE('',#18229,#18228,#10232,.T.);
#22527=EDGE_CURVE('',#18230,#18229,#10233,.T.);
#22528=EDGE_CURVE('',#18231,#18230,#10234,.T.);
#22529=EDGE_CURVE('',#18232,#18231,#10235,.T.);
#22530=EDGE_CURVE('',#18233,#18232,#10236,.T.);
#22531=EDGE_CURVE('',#18234,#18233,#10237,.T.);
#22532=EDGE_CURVE('',#18235,#18234,#10238,.T.);
#22533=EDGE_CURVE('',#18236,#18235,#10239,.T.);
#22534=EDGE_CURVE('',#18237,#18236,#10240,.T.);
#22535=EDGE_CURVE('',#18238,#18237,#10241,.T.);
#22536=EDGE_CURVE('',#18239,#18238,#10242,.T.);
#22537=EDGE_CURVE('',#18240,#18239,#10243,.T.);
#22538=EDGE_CURVE('',#18241,#18240,#10244,.T.);
#22539=EDGE_CURVE('',#18242,#18241,#10245,.T.);
#22540=EDGE_CURVE('',#18243,#18242,#10246,.T.);
#22541=EDGE_CURVE('',#18056,#18243,#10247,.T.);
#22542=EDGE_CURVE('',#18057,#18219,#10248,.T.);
#22543=EDGE_CURVE('',#18059,#18244,#10249,.T.);
#22544=EDGE_CURVE('',#18057,#18244,#139,.T.);
#22545=EDGE_CURVE('',#18060,#18245,#140,.T.);
#22546=EDGE_CURVE('',#18245,#18058,#10250,.T.);
#22547=EDGE_CURVE('',#18246,#18245,#10251,.T.);
#22548=EDGE_CURVE('',#18247,#18246,#10252,.T.);
#22549=EDGE_CURVE('',#18248,#18247,#10253,.T.);
#22550=EDGE_CURVE('',#18249,#18248,#10254,.T.);
#22551=EDGE_CURVE('',#18244,#18249,#10255,.T.);
#22552=EDGE_CURVE('',#18250,#18251,#609,.T.);
#22553=EDGE_CURVE('',#18251,#18250,#610,.T.);
#22554=EDGE_CURVE('',#18252,#18208,#10256,.T.);
#22555=EDGE_CURVE('',#18253,#18252,#10257,.T.);
#22556=EDGE_CURVE('',#18254,#18253,#10258,.T.);
#22557=EDGE_CURVE('',#18255,#18254,#10259,.T.);
#22558=EDGE_CURVE('',#18256,#18255,#10260,.T.);
#22559=EDGE_CURVE('',#18257,#18256,#10261,.T.);
#22560=EDGE_CURVE('',#18258,#18257,#10262,.T.);
#22561=EDGE_CURVE('',#18259,#18258,#10263,.T.);
#22562=EDGE_CURVE('',#18260,#18259,#10264,.T.);
#22563=EDGE_CURVE('',#18261,#18260,#10265,.T.);
#22564=EDGE_CURVE('',#18262,#18261,#10266,.T.);
#22565=EDGE_CURVE('',#18263,#18262,#10267,.T.);
#22566=EDGE_CURVE('',#18264,#18263,#10268,.T.);
#22567=EDGE_CURVE('',#18265,#18264,#10269,.T.);
#22568=EDGE_CURVE('',#18266,#18265,#10270,.T.);
#22569=EDGE_CURVE('',#18267,#18266,#10271,.T.);
#22570=EDGE_CURVE('',#18268,#18267,#10272,.T.);
#22571=EDGE_CURVE('',#18269,#18268,#10273,.T.);
#22572=EDGE_CURVE('',#18270,#18269,#10274,.T.);
#22573=EDGE_CURVE('',#18271,#18270,#10275,.T.);
#22574=EDGE_CURVE('',#18272,#18271,#10276,.T.);
#22575=EDGE_CURVE('',#18273,#18272,#10277,.T.);
#22576=EDGE_CURVE('',#18274,#18273,#10278,.T.);
#22577=EDGE_CURVE('',#18275,#18274,#10279,.T.);
#22578=EDGE_CURVE('',#18275,#18276,#10280,.T.);
#22579=EDGE_CURVE('',#18276,#18060,#10281,.T.);
#22580=EDGE_CURVE('',#18061,#18243,#10282,.T.);
#22581=EDGE_CURVE('',#18277,#18061,#10283,.T.);
#22582=EDGE_CURVE('',#18278,#18277,#611,.T.);
#22583=EDGE_CURVE('',#18279,#18278,#10284,.T.);
#22584=EDGE_CURVE('',#18280,#18279,#612,.T.);
#22585=EDGE_CURVE('',#18281,#18280,#10285,.T.);
#22586=EDGE_CURVE('',#18282,#18281,#10286,.T.);
#22587=EDGE_CURVE('',#18283,#18282,#10287,.T.);
#22588=EDGE_CURVE('',#18284,#18283,#613,.T.);
#22589=EDGE_CURVE('',#18285,#18284,#10288,.T.);
#22590=EDGE_CURVE('',#18064,#18285,#614,.T.);
#22591=EDGE_CURVE('',#18067,#18286,#615,.T.);
#22592=EDGE_CURVE('',#18286,#18287,#10289,.T.);
#22593=EDGE_CURVE('',#18287,#18288,#616,.T.);
#22594=EDGE_CURVE('',#18288,#18289,#10290,.T.);
#22595=EDGE_CURVE('',#18289,#18290,#10291,.T.);
#22596=EDGE_CURVE('',#18290,#18291,#10292,.T.);
#22597=EDGE_CURVE('',#18291,#18292,#617,.T.);
#22598=EDGE_CURVE('',#18292,#18293,#10293,.T.);
#22599=EDGE_CURVE('',#18293,#18294,#618,.T.);
#22600=EDGE_CURVE('',#18294,#18062,#10294,.T.);
#22601=EDGE_CURVE('',#18062,#18220,#10295,.T.);
#22602=EDGE_CURVE('',#18063,#18218,#10296,.T.);
#22603=EDGE_CURVE('',#18285,#18295,#10297,.T.);
#22604=EDGE_CURVE('',#18065,#18295,#619,.T.);
#22605=EDGE_CURVE('',#18295,#18296,#10298,.T.);
#22606=EDGE_CURVE('',#18296,#18297,#620,.T.);
#22607=EDGE_CURVE('',#18297,#18298,#10299,.T.);
#22608=EDGE_CURVE('',#18298,#18299,#10300,.T.);
#22609=EDGE_CURVE('',#18299,#18300,#10301,.T.);
#22610=EDGE_CURVE('',#18300,#18301,#621,.T.);
#22611=EDGE_CURVE('',#18301,#18302,#10302,.T.);
#22612=EDGE_CURVE('',#18302,#18303,#622,.T.);
#22613=EDGE_CURVE('',#18303,#18068,#10303,.T.);
#22614=EDGE_CURVE('',#18304,#18071,#10304,.T.);
#22615=EDGE_CURVE('',#18305,#18304,#623,.T.);
#22616=EDGE_CURVE('',#18306,#18305,#10305,.T.);
#22617=EDGE_CURVE('',#18307,#18306,#624,.T.);
#22618=EDGE_CURVE('',#18308,#18307,#10306,.T.);
#22619=EDGE_CURVE('',#18309,#18308,#10307,.T.);
#22620=EDGE_CURVE('',#18310,#18309,#10308,.T.);
#22621=EDGE_CURVE('',#18311,#18310,#625,.T.);
#22622=EDGE_CURVE('',#18312,#18311,#10309,.T.);
#22623=EDGE_CURVE('',#18066,#18312,#626,.T.);
#22624=EDGE_CURVE('',#18312,#18286,#10310,.T.);
#22625=EDGE_CURVE('',#18068,#18242,#10311,.T.);
#22626=EDGE_CURVE('',#18069,#18241,#10312,.T.);
#22627=EDGE_CURVE('',#18313,#18069,#10313,.T.);
#22628=EDGE_CURVE('',#18314,#18313,#627,.T.);
#22629=EDGE_CURVE('',#18315,#18314,#10314,.T.);
#22630=EDGE_CURVE('',#18316,#18315,#628,.T.);
#22631=EDGE_CURVE('',#18317,#18316,#10315,.T.);
#22632=EDGE_CURVE('',#18318,#18317,#10316,.T.);
#22633=EDGE_CURVE('',#18319,#18318,#10317,.T.);
#22634=EDGE_CURVE('',#18320,#18319,#629,.T.);
#22635=EDGE_CURVE('',#18321,#18320,#10318,.T.);
#22636=EDGE_CURVE('',#18072,#18321,#630,.T.);
#22637=EDGE_CURVE('',#18075,#18322,#631,.T.);
#22638=EDGE_CURVE('',#18322,#18323,#10319,.T.);
#22639=EDGE_CURVE('',#18323,#18324,#632,.T.);
#22640=EDGE_CURVE('',#18324,#18325,#10320,.T.);
#22641=EDGE_CURVE('',#18325,#18326,#10321,.T.);
#22642=EDGE_CURVE('',#18326,#18327,#10322,.T.);
#22643=EDGE_CURVE('',#18327,#18328,#633,.T.);
#22644=EDGE_CURVE('',#18328,#18329,#10323,.T.);
#22645=EDGE_CURVE('',#18329,#18330,#634,.T.);
#22646=EDGE_CURVE('',#18330,#18070,#10324,.T.);
#22647=EDGE_CURVE('',#18070,#18222,#10325,.T.);
#22648=EDGE_CURVE('',#18071,#18221,#10326,.T.);
#22649=EDGE_CURVE('',#18321,#18331,#10327,.T.);
#22650=EDGE_CURVE('',#18073,#18331,#635,.T.);
#22651=EDGE_CURVE('',#18331,#18332,#10328,.T.);
#22652=EDGE_CURVE('',#18332,#18333,#636,.T.);
#22653=EDGE_CURVE('',#18333,#18334,#10329,.T.);
#22654=EDGE_CURVE('',#18334,#18335,#10330,.T.);
#22655=EDGE_CURVE('',#18335,#18336,#10331,.T.);
#22656=EDGE_CURVE('',#18336,#18337,#637,.T.);
#22657=EDGE_CURVE('',#18337,#18338,#10332,.T.);
#22658=EDGE_CURVE('',#18338,#18339,#638,.T.);
#22659=EDGE_CURVE('',#18339,#18076,#10333,.T.);
#22660=EDGE_CURVE('',#18340,#18079,#10334,.T.);
#22661=EDGE_CURVE('',#18341,#18340,#639,.T.);
#22662=EDGE_CURVE('',#18342,#18341,#10335,.T.);
#22663=EDGE_CURVE('',#18343,#18342,#640,.T.);
#22664=EDGE_CURVE('',#18344,#18343,#10336,.T.);
#22665=EDGE_CURVE('',#18345,#18344,#10337,.T.);
#22666=EDGE_CURVE('',#18346,#18345,#10338,.T.);
#22667=EDGE_CURVE('',#18347,#18346,#641,.T.);
#22668=EDGE_CURVE('',#18348,#18347,#10339,.T.);
#22669=EDGE_CURVE('',#18074,#18348,#642,.T.);
#22670=EDGE_CURVE('',#18348,#18322,#10340,.T.);
#22671=EDGE_CURVE('',#18076,#18240,#10341,.T.);
#22672=EDGE_CURVE('',#18077,#18239,#10342,.T.);
#22673=EDGE_CURVE('',#18349,#18077,#10343,.T.);
#22674=EDGE_CURVE('',#18350,#18349,#643,.T.);
#22675=EDGE_CURVE('',#18351,#18350,#10344,.T.);
#22676=EDGE_CURVE('',#18352,#18351,#644,.T.);
#22677=EDGE_CURVE('',#18353,#18352,#10345,.T.);
#22678=EDGE_CURVE('',#18354,#18353,#10346,.T.);
#22679=EDGE_CURVE('',#18355,#18354,#10347,.T.);
#22680=EDGE_CURVE('',#18356,#18355,#645,.T.);
#22681=EDGE_CURVE('',#18357,#18356,#10348,.T.);
#22682=EDGE_CURVE('',#18080,#18357,#646,.T.);
#22683=EDGE_CURVE('',#18083,#18358,#647,.T.);
#22684=EDGE_CURVE('',#18358,#18359,#10349,.T.);
#22685=EDGE_CURVE('',#18359,#18360,#648,.T.);
#22686=EDGE_CURVE('',#18360,#18361,#10350,.T.);
#22687=EDGE_CURVE('',#18361,#18362,#10351,.T.);
#22688=EDGE_CURVE('',#18362,#18363,#10352,.T.);
#22689=EDGE_CURVE('',#18363,#18364,#649,.T.);
#22690=EDGE_CURVE('',#18364,#18365,#10353,.T.);
#22691=EDGE_CURVE('',#18365,#18366,#650,.T.);
#22692=EDGE_CURVE('',#18366,#18078,#10354,.T.);
#22693=EDGE_CURVE('',#18078,#18224,#10355,.T.);
#22694=EDGE_CURVE('',#18079,#18223,#10356,.T.);
#22695=EDGE_CURVE('',#18357,#18367,#10357,.T.);
#22696=EDGE_CURVE('',#18081,#18367,#651,.T.);
#22697=EDGE_CURVE('',#18367,#18368,#10358,.T.);
#22698=EDGE_CURVE('',#18368,#18369,#652,.T.);
#22699=EDGE_CURVE('',#18369,#18370,#10359,.T.);
#22700=EDGE_CURVE('',#18370,#18371,#10360,.T.);
#22701=EDGE_CURVE('',#18371,#18372,#10361,.T.);
#22702=EDGE_CURVE('',#18372,#18373,#653,.T.);
#22703=EDGE_CURVE('',#18373,#18374,#10362,.T.);
#22704=EDGE_CURVE('',#18374,#18375,#654,.T.);
#22705=EDGE_CURVE('',#18375,#18084,#10363,.T.);
#22706=EDGE_CURVE('',#18376,#18087,#10364,.T.);
#22707=EDGE_CURVE('',#18377,#18376,#655,.T.);
#22708=EDGE_CURVE('',#18378,#18377,#10365,.T.);
#22709=EDGE_CURVE('',#18379,#18378,#656,.T.);
#22710=EDGE_CURVE('',#18380,#18379,#10366,.T.);
#22711=EDGE_CURVE('',#18381,#18380,#10367,.T.);
#22712=EDGE_CURVE('',#18382,#18381,#10368,.T.);
#22713=EDGE_CURVE('',#18383,#18382,#657,.T.);
#22714=EDGE_CURVE('',#18384,#18383,#10369,.T.);
#22715=EDGE_CURVE('',#18082,#18384,#658,.T.);
#22716=EDGE_CURVE('',#18384,#18358,#10370,.T.);
#22717=EDGE_CURVE('',#18084,#18238,#10371,.T.);
#22718=EDGE_CURVE('',#18085,#18237,#10372,.T.);
#22719=EDGE_CURVE('',#18385,#18085,#10373,.T.);
#22720=EDGE_CURVE('',#18386,#18385,#659,.T.);
#22721=EDGE_CURVE('',#18387,#18386,#10374,.T.);
#22722=EDGE_CURVE('',#18388,#18387,#660,.T.);
#22723=EDGE_CURVE('',#18389,#18388,#10375,.T.);
#22724=EDGE_CURVE('',#18390,#18389,#10376,.T.);
#22725=EDGE_CURVE('',#18391,#18390,#10377,.T.);
#22726=EDGE_CURVE('',#18392,#18391,#661,.T.);
#22727=EDGE_CURVE('',#18393,#18392,#10378,.T.);
#22728=EDGE_CURVE('',#18088,#18393,#662,.T.);
#22729=EDGE_CURVE('',#18091,#18394,#663,.T.);
#22730=EDGE_CURVE('',#18394,#18395,#10379,.T.);
#22731=EDGE_CURVE('',#18395,#18396,#664,.T.);
#22732=EDGE_CURVE('',#18396,#18397,#10380,.T.);
#22733=EDGE_CURVE('',#18397,#18398,#10381,.T.);
#22734=EDGE_CURVE('',#18398,#18399,#10382,.T.);
#22735=EDGE_CURVE('',#18399,#18400,#665,.T.);
#22736=EDGE_CURVE('',#18400,#18401,#10383,.T.);
#22737=EDGE_CURVE('',#18401,#18402,#666,.T.);
#22738=EDGE_CURVE('',#18402,#18086,#10384,.T.);
#22739=EDGE_CURVE('',#18086,#18226,#10385,.T.);
#22740=EDGE_CURVE('',#18087,#18225,#10386,.T.);
#22741=EDGE_CURVE('',#18393,#18403,#10387,.T.);
#22742=EDGE_CURVE('',#18089,#18403,#667,.T.);
#22743=EDGE_CURVE('',#18403,#18404,#10388,.T.);
#22744=EDGE_CURVE('',#18404,#18405,#668,.T.);
#22745=EDGE_CURVE('',#18405,#18406,#10389,.T.);
#22746=EDGE_CURVE('',#18406,#18407,#10390,.T.);
#22747=EDGE_CURVE('',#18407,#18408,#10391,.T.);
#22748=EDGE_CURVE('',#18408,#18409,#669,.T.);
#22749=EDGE_CURVE('',#18409,#18410,#10392,.T.);
#22750=EDGE_CURVE('',#18410,#18411,#670,.T.);
#22751=EDGE_CURVE('',#18411,#18092,#10393,.T.);
#22752=EDGE_CURVE('',#18412,#18095,#10394,.T.);
#22753=EDGE_CURVE('',#18413,#18412,#671,.T.);
#22754=EDGE_CURVE('',#18414,#18413,#10395,.T.);
#22755=EDGE_CURVE('',#18415,#18414,#672,.T.);
#22756=EDGE_CURVE('',#18416,#18415,#10396,.T.);
#22757=EDGE_CURVE('',#18417,#18416,#10397,.T.);
#22758=EDGE_CURVE('',#18418,#18417,#10398,.T.);
#22759=EDGE_CURVE('',#18419,#18418,#673,.T.);
#22760=EDGE_CURVE('',#18420,#18419,#10399,.T.);
#22761=EDGE_CURVE('',#18090,#18420,#674,.T.);
#22762=EDGE_CURVE('',#18420,#18394,#10400,.T.);
#22763=EDGE_CURVE('',#18092,#18236,#10401,.T.);
#22764=EDGE_CURVE('',#18093,#18235,#10402,.T.);
#22765=EDGE_CURVE('',#18421,#18093,#10403,.T.);
#22766=EDGE_CURVE('',#18422,#18421,#675,.T.);
#22767=EDGE_CURVE('',#18423,#18422,#10404,.T.);
#22768=EDGE_CURVE('',#18424,#18423,#676,.T.);
#22769=EDGE_CURVE('',#18425,#18424,#10405,.T.);
#22770=EDGE_CURVE('',#18426,#18425,#10406,.T.);
#22771=EDGE_CURVE('',#18427,#18426,#10407,.T.);
#22772=EDGE_CURVE('',#18428,#18427,#677,.T.);
#22773=EDGE_CURVE('',#18429,#18428,#10408,.T.);
#22774=EDGE_CURVE('',#18096,#18429,#678,.T.);
#22775=EDGE_CURVE('',#18099,#18430,#679,.T.);
#22776=EDGE_CURVE('',#18430,#18431,#10409,.T.);
#22777=EDGE_CURVE('',#18431,#18432,#680,.T.);
#22778=EDGE_CURVE('',#18432,#18433,#10410,.T.);
#22779=EDGE_CURVE('',#18433,#18434,#10411,.T.);
#22780=EDGE_CURVE('',#18434,#18435,#10412,.T.);
#22781=EDGE_CURVE('',#18435,#18436,#681,.T.);
#22782=EDGE_CURVE('',#18436,#18437,#10413,.T.);
#22783=EDGE_CURVE('',#18437,#18438,#682,.T.);
#22784=EDGE_CURVE('',#18438,#18094,#10414,.T.);
#22785=EDGE_CURVE('',#18094,#18228,#10415,.T.);
#22786=EDGE_CURVE('',#18095,#18227,#10416,.T.);
#22787=EDGE_CURVE('',#18429,#18439,#10417,.T.);
#22788=EDGE_CURVE('',#18097,#18439,#683,.T.);
#22789=EDGE_CURVE('',#18439,#18440,#10418,.T.);
#22790=EDGE_CURVE('',#18440,#18441,#684,.T.);
#22791=EDGE_CURVE('',#18441,#18442,#10419,.T.);
#22792=EDGE_CURVE('',#18442,#18443,#10420,.T.);
#22793=EDGE_CURVE('',#18443,#18444,#10421,.T.);
#22794=EDGE_CURVE('',#18444,#18445,#685,.T.);
#22795=EDGE_CURVE('',#18445,#18446,#10422,.T.);
#22796=EDGE_CURVE('',#18446,#18447,#686,.T.);
#22797=EDGE_CURVE('',#18447,#18100,#10423,.T.);
#22798=EDGE_CURVE('',#18448,#18103,#10424,.T.);
#22799=EDGE_CURVE('',#18449,#18448,#687,.T.);
#22800=EDGE_CURVE('',#18450,#18449,#10425,.T.);
#22801=EDGE_CURVE('',#18451,#18450,#688,.T.);
#22802=EDGE_CURVE('',#18452,#18451,#10426,.T.);
#22803=EDGE_CURVE('',#18453,#18452,#10427,.T.);
#22804=EDGE_CURVE('',#18454,#18453,#10428,.T.);
#22805=EDGE_CURVE('',#18455,#18454,#689,.T.);
#22806=EDGE_CURVE('',#18456,#18455,#10429,.T.);
#22807=EDGE_CURVE('',#18098,#18456,#690,.T.);
#22808=EDGE_CURVE('',#18456,#18430,#10430,.T.);
#22809=EDGE_CURVE('',#18100,#18234,#10431,.T.);
#22810=EDGE_CURVE('',#18101,#18233,#10432,.T.);
#22811=EDGE_CURVE('',#18457,#18101,#10433,.T.);
#22812=EDGE_CURVE('',#18458,#18457,#691,.T.);
#22813=EDGE_CURVE('',#18459,#18458,#10434,.T.);
#22814=EDGE_CURVE('',#18460,#18459,#692,.T.);
#22815=EDGE_CURVE('',#18461,#18460,#10435,.T.);
#22816=EDGE_CURVE('',#18462,#18461,#10436,.T.);
#22817=EDGE_CURVE('',#18463,#18462,#10437,.T.);
#22818=EDGE_CURVE('',#18464,#18463,#693,.T.);
#22819=EDGE_CURVE('',#18465,#18464,#10438,.T.);
#22820=EDGE_CURVE('',#18104,#18465,#694,.T.);
#22821=EDGE_CURVE('',#18107,#18466,#695,.T.);
#22822=EDGE_CURVE('',#18466,#18467,#10439,.T.);
#22823=EDGE_CURVE('',#18467,#18468,#696,.T.);
#22824=EDGE_CURVE('',#18468,#18469,#10440,.T.);
#22825=EDGE_CURVE('',#18469,#18470,#10441,.T.);
#22826=EDGE_CURVE('',#18470,#18471,#10442,.T.);
#22827=EDGE_CURVE('',#18471,#18472,#697,.T.);
#22828=EDGE_CURVE('',#18472,#18473,#10443,.T.);
#22829=EDGE_CURVE('',#18473,#18474,#698,.T.);
#22830=EDGE_CURVE('',#18474,#18102,#10444,.T.);
#22831=EDGE_CURVE('',#18102,#18230,#10445,.T.);
#22832=EDGE_CURVE('',#18103,#18229,#10446,.T.);
#22833=EDGE_CURVE('',#18465,#18475,#10447,.T.);
#22834=EDGE_CURVE('',#18105,#18475,#699,.T.);
#22835=EDGE_CURVE('',#18475,#18476,#10448,.T.);
#22836=EDGE_CURVE('',#18476,#18477,#700,.T.);
#22837=EDGE_CURVE('',#18477,#18478,#10449,.T.);
#22838=EDGE_CURVE('',#18478,#18479,#10450,.T.);
#22839=EDGE_CURVE('',#18479,#18480,#10451,.T.);
#22840=EDGE_CURVE('',#18480,#18481,#701,.T.);
#22841=EDGE_CURVE('',#18481,#18482,#10452,.T.);
#22842=EDGE_CURVE('',#18482,#18483,#702,.T.);
#22843=EDGE_CURVE('',#18483,#18108,#10453,.T.);
#22844=EDGE_CURVE('',#18484,#18109,#10454,.T.);
#22845=EDGE_CURVE('',#18485,#18484,#703,.T.);
#22846=EDGE_CURVE('',#18486,#18485,#10455,.T.);
#22847=EDGE_CURVE('',#18487,#18486,#704,.T.);
#22848=EDGE_CURVE('',#18488,#18487,#10456,.T.);
#22849=EDGE_CURVE('',#18489,#18488,#10457,.T.);
#22850=EDGE_CURVE('',#18490,#18489,#10458,.T.);
#22851=EDGE_CURVE('',#18491,#18490,#705,.T.);
#22852=EDGE_CURVE('',#18492,#18491,#10459,.T.);
#22853=EDGE_CURVE('',#18106,#18492,#706,.T.);
#22854=EDGE_CURVE('',#18492,#18466,#10460,.T.);
#22855=EDGE_CURVE('',#18108,#18232,#10461,.T.);
#22856=EDGE_CURVE('',#18109,#18231,#10462,.T.);
#22857=EDGE_CURVE('',#18218,#18493,#10463,.T.);
#22858=EDGE_CURVE('',#18110,#18493,#10464,.T.);
#22859=EDGE_CURVE('',#18493,#18494,#10465,.T.);
#22860=EDGE_CURVE('',#18111,#18494,#10466,.T.);
#22861=EDGE_CURVE('',#18495,#18111,#10467,.T.);
#22862=EDGE_CURVE('',#18496,#18495,#707,.T.);
#22863=EDGE_CURVE('',#18497,#18496,#10468,.T.);
#22864=EDGE_CURVE('',#18498,#18497,#708,.T.);
#22865=EDGE_CURVE('',#18499,#18498,#10469,.T.);
#22866=EDGE_CURVE('',#18500,#18499,#10470,.T.);
#22867=EDGE_CURVE('',#18501,#18500,#10471,.T.);
#22868=EDGE_CURVE('',#18502,#18501,#709,.T.);
#22869=EDGE_CURVE('',#18503,#18502,#10472,.T.);
#22870=EDGE_CURVE('',#18114,#18503,#710,.T.);
#22871=EDGE_CURVE('',#18117,#18504,#711,.T.);
#22872=EDGE_CURVE('',#18504,#18505,#10473,.T.);
#22873=EDGE_CURVE('',#18505,#18506,#712,.T.);
#22874=EDGE_CURVE('',#18506,#18507,#10474,.T.);
#22875=EDGE_CURVE('',#18507,#18508,#10475,.T.);
#22876=EDGE_CURVE('',#18508,#18509,#10476,.T.);
#22877=EDGE_CURVE('',#18509,#18510,#713,.T.);
#22878=EDGE_CURVE('',#18510,#18511,#10477,.T.);
#22879=EDGE_CURVE('',#18511,#18512,#714,.T.);
#22880=EDGE_CURVE('',#18512,#18112,#10478,.T.);
#22881=EDGE_CURVE('',#18112,#18513,#10479,.T.);
#22882=EDGE_CURVE('',#18513,#18514,#10480,.T.);
#22883=EDGE_CURVE('',#18113,#18514,#10481,.T.);
#22884=EDGE_CURVE('',#18503,#18515,#10482,.T.);
#22885=EDGE_CURVE('',#18115,#18515,#715,.T.);
#22886=EDGE_CURVE('',#18515,#18516,#10483,.T.);
#22887=EDGE_CURVE('',#18516,#18517,#716,.T.);
#22888=EDGE_CURVE('',#18517,#18518,#10484,.T.);
#22889=EDGE_CURVE('',#18518,#18519,#10485,.T.);
#22890=EDGE_CURVE('',#18519,#18520,#10486,.T.);
#22891=EDGE_CURVE('',#18520,#18521,#717,.T.);
#22892=EDGE_CURVE('',#18521,#18522,#10487,.T.);
#22893=EDGE_CURVE('',#18522,#18523,#718,.T.);
#22894=EDGE_CURVE('',#18523,#18118,#10488,.T.);
#22895=EDGE_CURVE('',#18524,#18121,#10489,.T.);
#22896=EDGE_CURVE('',#18525,#18524,#719,.T.);
#22897=EDGE_CURVE('',#18526,#18525,#10490,.T.);
#22898=EDGE_CURVE('',#18527,#18526,#720,.T.);
#22899=EDGE_CURVE('',#18528,#18527,#10491,.T.);
#22900=EDGE_CURVE('',#18529,#18528,#10492,.T.);
#22901=EDGE_CURVE('',#18530,#18529,#10493,.T.);
#22902=EDGE_CURVE('',#18531,#18530,#721,.T.);
#22903=EDGE_CURVE('',#18532,#18531,#10494,.T.);
#22904=EDGE_CURVE('',#18116,#18532,#722,.T.);
#22905=EDGE_CURVE('',#18532,#18504,#10495,.T.);
#22906=EDGE_CURVE('',#18118,#18533,#10496,.T.);
#22907=EDGE_CURVE('',#18533,#18534,#10497,.T.);
#22908=EDGE_CURVE('',#18119,#18534,#10498,.T.);
#22909=EDGE_CURVE('',#18535,#18119,#10499,.T.);
#22910=EDGE_CURVE('',#18536,#18535,#723,.T.);
#22911=EDGE_CURVE('',#18537,#18536,#10500,.T.);
#22912=EDGE_CURVE('',#18538,#18537,#724,.T.);
#22913=EDGE_CURVE('',#18539,#18538,#10501,.T.);
#22914=EDGE_CURVE('',#18540,#18539,#10502,.T.);
#22915=EDGE_CURVE('',#18541,#18540,#10503,.T.);
#22916=EDGE_CURVE('',#18542,#18541,#725,.T.);
#22917=EDGE_CURVE('',#18543,#18542,#10504,.T.);
#22918=EDGE_CURVE('',#18122,#18543,#726,.T.);
#22919=EDGE_CURVE('',#18125,#18544,#727,.T.);
#22920=EDGE_CURVE('',#18544,#18545,#10505,.T.);
#22921=EDGE_CURVE('',#18545,#18546,#728,.T.);
#22922=EDGE_CURVE('',#18546,#18547,#10506,.T.);
#22923=EDGE_CURVE('',#18547,#18548,#10507,.T.);
#22924=EDGE_CURVE('',#18548,#18549,#10508,.T.);
#22925=EDGE_CURVE('',#18549,#18550,#729,.T.);
#22926=EDGE_CURVE('',#18550,#18551,#10509,.T.);
#22927=EDGE_CURVE('',#18551,#18552,#730,.T.);
#22928=EDGE_CURVE('',#18552,#18120,#10510,.T.);
#22929=EDGE_CURVE('',#18120,#18553,#10511,.T.);
#22930=EDGE_CURVE('',#18553,#18554,#10512,.T.);
#22931=EDGE_CURVE('',#18121,#18554,#10513,.T.);
#22932=EDGE_CURVE('',#18543,#18555,#10514,.T.);
#22933=EDGE_CURVE('',#18123,#18555,#731,.T.);
#22934=EDGE_CURVE('',#18555,#18556,#10515,.T.);
#22935=EDGE_CURVE('',#18556,#18557,#732,.T.);
#22936=EDGE_CURVE('',#18557,#18558,#10516,.T.);
#22937=EDGE_CURVE('',#18558,#18559,#10517,.T.);
#22938=EDGE_CURVE('',#18559,#18560,#10518,.T.);
#22939=EDGE_CURVE('',#18560,#18561,#733,.T.);
#22940=EDGE_CURVE('',#18561,#18562,#10519,.T.);
#22941=EDGE_CURVE('',#18562,#18563,#734,.T.);
#22942=EDGE_CURVE('',#18563,#18126,#10520,.T.);
#22943=EDGE_CURVE('',#18564,#18129,#10521,.T.);
#22944=EDGE_CURVE('',#18565,#18564,#735,.T.);
#22945=EDGE_CURVE('',#18566,#18565,#10522,.T.);
#22946=EDGE_CURVE('',#18567,#18566,#736,.T.);
#22947=EDGE_CURVE('',#18568,#18567,#10523,.T.);
#22948=EDGE_CURVE('',#18569,#18568,#10524,.T.);
#22949=EDGE_CURVE('',#18570,#18569,#10525,.T.);
#22950=EDGE_CURVE('',#18571,#18570,#737,.T.);
#22951=EDGE_CURVE('',#18572,#18571,#10526,.T.);
#22952=EDGE_CURVE('',#18124,#18572,#738,.T.);
#22953=EDGE_CURVE('',#18572,#18544,#10527,.T.);
#22954=EDGE_CURVE('',#18126,#18573,#10528,.T.);
#22955=EDGE_CURVE('',#18573,#18574,#10529,.T.);
#22956=EDGE_CURVE('',#18127,#18574,#10530,.T.);
#22957=EDGE_CURVE('',#18575,#18127,#10531,.T.);
#22958=EDGE_CURVE('',#18576,#18575,#739,.T.);
#22959=EDGE_CURVE('',#18577,#18576,#10532,.T.);
#22960=EDGE_CURVE('',#18578,#18577,#740,.T.);
#22961=EDGE_CURVE('',#18579,#18578,#10533,.T.);
#22962=EDGE_CURVE('',#18580,#18579,#10534,.T.);
#22963=EDGE_CURVE('',#18581,#18580,#10535,.T.);
#22964=EDGE_CURVE('',#18582,#18581,#741,.T.);
#22965=EDGE_CURVE('',#18583,#18582,#10536,.T.);
#22966=EDGE_CURVE('',#18130,#18583,#742,.T.);
#22967=EDGE_CURVE('',#18133,#18584,#743,.T.);
#22968=EDGE_CURVE('',#18584,#18585,#10537,.T.);
#22969=EDGE_CURVE('',#18585,#18586,#744,.T.);
#22970=EDGE_CURVE('',#18586,#18587,#10538,.T.);
#22971=EDGE_CURVE('',#18587,#18588,#10539,.T.);
#22972=EDGE_CURVE('',#18588,#18589,#10540,.T.);
#22973=EDGE_CURVE('',#18589,#18590,#745,.T.);
#22974=EDGE_CURVE('',#18590,#18591,#10541,.T.);
#22975=EDGE_CURVE('',#18591,#18592,#746,.T.);
#22976=EDGE_CURVE('',#18592,#18128,#10542,.T.);
#22977=EDGE_CURVE('',#18128,#18593,#10543,.T.);
#22978=EDGE_CURVE('',#18593,#18594,#10544,.T.);
#22979=EDGE_CURVE('',#18129,#18594,#10545,.T.);
#22980=EDGE_CURVE('',#18583,#18595,#10546,.T.);
#22981=EDGE_CURVE('',#18131,#18595,#747,.T.);
#22982=EDGE_CURVE('',#18595,#18596,#10547,.T.);
#22983=EDGE_CURVE('',#18596,#18597,#748,.T.);
#22984=EDGE_CURVE('',#18597,#18598,#10548,.T.);
#22985=EDGE_CURVE('',#18598,#18599,#10549,.T.);
#22986=EDGE_CURVE('',#18599,#18600,#10550,.T.);
#22987=EDGE_CURVE('',#18600,#18601,#749,.T.);
#22988=EDGE_CURVE('',#18601,#18602,#10551,.T.);
#22989=EDGE_CURVE('',#18602,#18603,#750,.T.);
#22990=EDGE_CURVE('',#18603,#18134,#10552,.T.);
#22991=EDGE_CURVE('',#18604,#18137,#10553,.T.);
#22992=EDGE_CURVE('',#18605,#18604,#751,.T.);
#22993=EDGE_CURVE('',#18606,#18605,#10554,.T.);
#22994=EDGE_CURVE('',#18607,#18606,#752,.T.);
#22995=EDGE_CURVE('',#18608,#18607,#10555,.T.);
#22996=EDGE_CURVE('',#18609,#18608,#10556,.T.);
#22997=EDGE_CURVE('',#18610,#18609,#10557,.T.);
#22998=EDGE_CURVE('',#18611,#18610,#753,.T.);
#22999=EDGE_CURVE('',#18612,#18611,#10558,.T.);
#23000=EDGE_CURVE('',#18132,#18612,#754,.T.);
#23001=EDGE_CURVE('',#18612,#18584,#10559,.T.);
#23002=EDGE_CURVE('',#18134,#18613,#10560,.T.);
#23003=EDGE_CURVE('',#18613,#18614,#10561,.T.);
#23004=EDGE_CURVE('',#18135,#18614,#10562,.T.);
#23005=EDGE_CURVE('',#18615,#18135,#10563,.T.);
#23006=EDGE_CURVE('',#18616,#18615,#755,.T.);
#23007=EDGE_CURVE('',#18617,#18616,#10564,.T.);
#23008=EDGE_CURVE('',#18618,#18617,#756,.T.);
#23009=EDGE_CURVE('',#18619,#18618,#10565,.T.);
#23010=EDGE_CURVE('',#18620,#18619,#10566,.T.);
#23011=EDGE_CURVE('',#18621,#18620,#10567,.T.);
#23012=EDGE_CURVE('',#18622,#18621,#757,.T.);
#23013=EDGE_CURVE('',#18623,#18622,#10568,.T.);
#23014=EDGE_CURVE('',#18138,#18623,#758,.T.);
#23015=EDGE_CURVE('',#18141,#18624,#759,.T.);
#23016=EDGE_CURVE('',#18624,#18625,#10569,.T.);
#23017=EDGE_CURVE('',#18625,#18626,#760,.T.);
#23018=EDGE_CURVE('',#18626,#18627,#10570,.T.);
#23019=EDGE_CURVE('',#18627,#18628,#10571,.T.);
#23020=EDGE_CURVE('',#18628,#18629,#10572,.T.);
#23021=EDGE_CURVE('',#18629,#18630,#761,.T.);
#23022=EDGE_CURVE('',#18630,#18631,#10573,.T.);
#23023=EDGE_CURVE('',#18631,#18632,#762,.T.);
#23024=EDGE_CURVE('',#18632,#18136,#10574,.T.);
#23025=EDGE_CURVE('',#18136,#18633,#10575,.T.);
#23026=EDGE_CURVE('',#18633,#18634,#10576,.T.);
#23027=EDGE_CURVE('',#18137,#18634,#10577,.T.);
#23028=EDGE_CURVE('',#18623,#18635,#10578,.T.);
#23029=EDGE_CURVE('',#18139,#18635,#763,.T.);
#23030=EDGE_CURVE('',#18635,#18636,#10579,.T.);
#23031=EDGE_CURVE('',#18636,#18637,#764,.T.);
#23032=EDGE_CURVE('',#18637,#18638,#10580,.T.);
#23033=EDGE_CURVE('',#18638,#18639,#10581,.T.);
#23034=EDGE_CURVE('',#18639,#18640,#10582,.T.);
#23035=EDGE_CURVE('',#18640,#18641,#765,.T.);
#23036=EDGE_CURVE('',#18641,#18642,#10583,.T.);
#23037=EDGE_CURVE('',#18642,#18643,#766,.T.);
#23038=EDGE_CURVE('',#18643,#18142,#10584,.T.);
#23039=EDGE_CURVE('',#18644,#18145,#10585,.T.);
#23040=EDGE_CURVE('',#18645,#18644,#767,.T.);
#23041=EDGE_CURVE('',#18646,#18645,#10586,.T.);
#23042=EDGE_CURVE('',#18647,#18646,#768,.T.);
#23043=EDGE_CURVE('',#18648,#18647,#10587,.T.);
#23044=EDGE_CURVE('',#18649,#18648,#10588,.T.);
#23045=EDGE_CURVE('',#18650,#18649,#10589,.T.);
#23046=EDGE_CURVE('',#18651,#18650,#769,.T.);
#23047=EDGE_CURVE('',#18652,#18651,#10590,.T.);
#23048=EDGE_CURVE('',#18140,#18652,#770,.T.);
#23049=EDGE_CURVE('',#18652,#18624,#10591,.T.);
#23050=EDGE_CURVE('',#18142,#18653,#10592,.T.);
#23051=EDGE_CURVE('',#18653,#18654,#10593,.T.);
#23052=EDGE_CURVE('',#18143,#18654,#10594,.T.);
#23053=EDGE_CURVE('',#18655,#18143,#10595,.T.);
#23054=EDGE_CURVE('',#18656,#18655,#771,.T.);
#23055=EDGE_CURVE('',#18657,#18656,#10596,.T.);
#23056=EDGE_CURVE('',#18658,#18657,#772,.T.);
#23057=EDGE_CURVE('',#18659,#18658,#10597,.T.);
#23058=EDGE_CURVE('',#18660,#18659,#10598,.T.);
#23059=EDGE_CURVE('',#18661,#18660,#10599,.T.);
#23060=EDGE_CURVE('',#18662,#18661,#773,.T.);
#23061=EDGE_CURVE('',#18663,#18662,#10600,.T.);
#23062=EDGE_CURVE('',#18146,#18663,#774,.T.);
#23063=EDGE_CURVE('',#18149,#18664,#775,.T.);
#23064=EDGE_CURVE('',#18664,#18665,#10601,.T.);
#23065=EDGE_CURVE('',#18665,#18666,#776,.T.);
#23066=EDGE_CURVE('',#18666,#18667,#10602,.T.);
#23067=EDGE_CURVE('',#18667,#18668,#10603,.T.);
#23068=EDGE_CURVE('',#18668,#18669,#10604,.T.);
#23069=EDGE_CURVE('',#18669,#18670,#777,.T.);
#23070=EDGE_CURVE('',#18670,#18671,#10605,.T.);
#23071=EDGE_CURVE('',#18671,#18672,#778,.T.);
#23072=EDGE_CURVE('',#18672,#18144,#10606,.T.);
#23073=EDGE_CURVE('',#18144,#18673,#10607,.T.);
#23074=EDGE_CURVE('',#18673,#18674,#10608,.T.);
#23075=EDGE_CURVE('',#18145,#18674,#10609,.T.);
#23076=EDGE_CURVE('',#18663,#18675,#10610,.T.);
#23077=EDGE_CURVE('',#18147,#18675,#779,.T.);
#23078=EDGE_CURVE('',#18675,#18676,#10611,.T.);
#23079=EDGE_CURVE('',#18676,#18677,#780,.T.);
#23080=EDGE_CURVE('',#18677,#18678,#10612,.T.);
#23081=EDGE_CURVE('',#18678,#18679,#10613,.T.);
#23082=EDGE_CURVE('',#18679,#18680,#10614,.T.);
#23083=EDGE_CURVE('',#18680,#18681,#781,.T.);
#23084=EDGE_CURVE('',#18681,#18682,#10615,.T.);
#23085=EDGE_CURVE('',#18682,#18683,#782,.T.);
#23086=EDGE_CURVE('',#18683,#18150,#10616,.T.);
#23087=EDGE_CURVE('',#18684,#18153,#10617,.T.);
#23088=EDGE_CURVE('',#18685,#18684,#783,.T.);
#23089=EDGE_CURVE('',#18686,#18685,#10618,.T.);
#23090=EDGE_CURVE('',#18687,#18686,#784,.T.);
#23091=EDGE_CURVE('',#18688,#18687,#10619,.T.);
#23092=EDGE_CURVE('',#18689,#18688,#10620,.T.);
#23093=EDGE_CURVE('',#18690,#18689,#10621,.T.);
#23094=EDGE_CURVE('',#18691,#18690,#785,.T.);
#23095=EDGE_CURVE('',#18692,#18691,#10622,.T.);
#23096=EDGE_CURVE('',#18148,#18692,#786,.T.);
#23097=EDGE_CURVE('',#18692,#18664,#10623,.T.);
#23098=EDGE_CURVE('',#18150,#18693,#10624,.T.);
#23099=EDGE_CURVE('',#18693,#18694,#10625,.T.);
#23100=EDGE_CURVE('',#18151,#18694,#10626,.T.);
#23101=EDGE_CURVE('',#18695,#18151,#10627,.T.);
#23102=EDGE_CURVE('',#18696,#18695,#787,.T.);
#23103=EDGE_CURVE('',#18697,#18696,#10628,.T.);
#23104=EDGE_CURVE('',#18698,#18697,#788,.T.);
#23105=EDGE_CURVE('',#18699,#18698,#10629,.T.);
#23106=EDGE_CURVE('',#18700,#18699,#10630,.T.);
#23107=EDGE_CURVE('',#18701,#18700,#10631,.T.);
#23108=EDGE_CURVE('',#18702,#18701,#789,.T.);
#23109=EDGE_CURVE('',#18703,#18702,#10632,.T.);
#23110=EDGE_CURVE('',#18154,#18703,#790,.T.);
#23111=EDGE_CURVE('',#18157,#18704,#791,.T.);
#23112=EDGE_CURVE('',#18704,#18705,#10633,.T.);
#23113=EDGE_CURVE('',#18705,#18706,#792,.T.);
#23114=EDGE_CURVE('',#18706,#18707,#10634,.T.);
#23115=EDGE_CURVE('',#18707,#18708,#10635,.T.);
#23116=EDGE_CURVE('',#18708,#18709,#10636,.T.);
#23117=EDGE_CURVE('',#18709,#18710,#793,.T.);
#23118=EDGE_CURVE('',#18710,#18711,#10637,.T.);
#23119=EDGE_CURVE('',#18711,#18712,#794,.T.);
#23120=EDGE_CURVE('',#18712,#18152,#10638,.T.);
#23121=EDGE_CURVE('',#18152,#18713,#10639,.T.);
#23122=EDGE_CURVE('',#18713,#18714,#10640,.T.);
#23123=EDGE_CURVE('',#18153,#18714,#10641,.T.);
#23124=EDGE_CURVE('',#18703,#18715,#10642,.T.);
#23125=EDGE_CURVE('',#18155,#18715,#795,.T.);
#23126=EDGE_CURVE('',#18715,#18716,#10643,.T.);
#23127=EDGE_CURVE('',#18716,#18717,#796,.T.);
#23128=EDGE_CURVE('',#18717,#18718,#10644,.T.);
#23129=EDGE_CURVE('',#18718,#18719,#10645,.T.);
#23130=EDGE_CURVE('',#18719,#18720,#10646,.T.);
#23131=EDGE_CURVE('',#18720,#18721,#797,.T.);
#23132=EDGE_CURVE('',#18721,#18722,#10647,.T.);
#23133=EDGE_CURVE('',#18722,#18723,#798,.T.);
#23134=EDGE_CURVE('',#18723,#18158,#10648,.T.);
#23135=EDGE_CURVE('',#18724,#18159,#10649,.T.);
#23136=EDGE_CURVE('',#18725,#18724,#799,.T.);
#23137=EDGE_CURVE('',#18726,#18725,#10650,.T.);
#23138=EDGE_CURVE('',#18727,#18726,#800,.T.);
#23139=EDGE_CURVE('',#18728,#18727,#10651,.T.);
#23140=EDGE_CURVE('',#18729,#18728,#10652,.T.);
#23141=EDGE_CURVE('',#18730,#18729,#10653,.T.);
#23142=EDGE_CURVE('',#18731,#18730,#801,.T.);
#23143=EDGE_CURVE('',#18732,#18731,#10654,.T.);
#23144=EDGE_CURVE('',#18156,#18732,#802,.T.);
#23145=EDGE_CURVE('',#18732,#18704,#10655,.T.);
#23146=EDGE_CURVE('',#18158,#18733,#10656,.T.);
#23147=EDGE_CURVE('',#18733,#18734,#10657,.T.);
#23148=EDGE_CURVE('',#18159,#18734,#10658,.T.);
#23149=EDGE_CURVE('',#18514,#18275,#10659,.T.);
#23150=EDGE_CURVE('',#18160,#18275,#10660,.T.);
#23151=EDGE_CURVE('',#18161,#18274,#10661,.T.);
#23152=EDGE_CURVE('',#18735,#18161,#10662,.T.);
#23153=EDGE_CURVE('',#18736,#18735,#803,.T.);
#23154=EDGE_CURVE('',#18737,#18736,#10663,.T.);
#23155=EDGE_CURVE('',#18738,#18737,#804,.T.);
#23156=EDGE_CURVE('',#18739,#18738,#10664,.T.);
#23157=EDGE_CURVE('',#18740,#18739,#10665,.T.);
#23158=EDGE_CURVE('',#18741,#18740,#10666,.T.);
#23159=EDGE_CURVE('',#18742,#18741,#805,.T.);
#23160=EDGE_CURVE('',#18743,#18742,#10667,.T.);
#23161=EDGE_CURVE('',#18162,#18743,#806,.T.);
#23162=EDGE_CURVE('',#18743,#18744,#10668,.T.);
#23163=EDGE_CURVE('',#18163,#18744,#807,.T.);
#23164=EDGE_CURVE('',#18744,#18745,#10669,.T.);
#23165=EDGE_CURVE('',#18745,#18746,#808,.T.);
#23166=EDGE_CURVE('',#18746,#18747,#10670,.T.);
#23167=EDGE_CURVE('',#18747,#18748,#10671,.T.);
#23168=EDGE_CURVE('',#18748,#18749,#10672,.T.);
#23169=EDGE_CURVE('',#18749,#18750,#809,.T.);
#23170=EDGE_CURVE('',#18750,#18751,#10673,.T.);
#23171=EDGE_CURVE('',#18751,#18752,#810,.T.);
#23172=EDGE_CURVE('',#18752,#18166,#10674,.T.);
#23173=EDGE_CURVE('',#18753,#18169,#10675,.T.);
#23174=EDGE_CURVE('',#18754,#18753,#811,.T.);
#23175=EDGE_CURVE('',#18755,#18754,#10676,.T.);
#23176=EDGE_CURVE('',#18756,#18755,#812,.T.);
#23177=EDGE_CURVE('',#18757,#18756,#10677,.T.);
#23178=EDGE_CURVE('',#18758,#18757,#10678,.T.);
#23179=EDGE_CURVE('',#18759,#18758,#10679,.T.);
#23180=EDGE_CURVE('',#18760,#18759,#813,.T.);
#23181=EDGE_CURVE('',#18761,#18760,#10680,.T.);
#23182=EDGE_CURVE('',#18164,#18761,#814,.T.);
#23183=EDGE_CURVE('',#18761,#18209,#10681,.T.);
#23184=EDGE_CURVE('',#18166,#18273,#10682,.T.);
#23185=EDGE_CURVE('',#18167,#18272,#10683,.T.);
#23186=EDGE_CURVE('',#18762,#18167,#10684,.T.);
#23187=EDGE_CURVE('',#18763,#18762,#815,.T.);
#23188=EDGE_CURVE('',#18764,#18763,#10685,.T.);
#23189=EDGE_CURVE('',#18765,#18764,#816,.T.);
#23190=EDGE_CURVE('',#18766,#18765,#10686,.T.);
#23191=EDGE_CURVE('',#18767,#18766,#10687,.T.);
#23192=EDGE_CURVE('',#18768,#18767,#10688,.T.);
#23193=EDGE_CURVE('',#18769,#18768,#817,.T.);
#23194=EDGE_CURVE('',#18770,#18769,#10689,.T.);
#23195=EDGE_CURVE('',#18170,#18770,#818,.T.);
#23196=EDGE_CURVE('',#18173,#18771,#819,.T.);
#23197=EDGE_CURVE('',#18771,#18772,#10690,.T.);
#23198=EDGE_CURVE('',#18772,#18773,#820,.T.);
#23199=EDGE_CURVE('',#18773,#18774,#10691,.T.);
#23200=EDGE_CURVE('',#18774,#18775,#10692,.T.);
#23201=EDGE_CURVE('',#18775,#18776,#10693,.T.);
#23202=EDGE_CURVE('',#18776,#18777,#821,.T.);
#23203=EDGE_CURVE('',#18777,#18778,#10694,.T.);
#23204=EDGE_CURVE('',#18778,#18779,#822,.T.);
#23205=EDGE_CURVE('',#18779,#18168,#10695,.T.);
#23206=EDGE_CURVE('',#18168,#18253,#10696,.T.);
#23207=EDGE_CURVE('',#18169,#18252,#10697,.T.);
#23208=EDGE_CURVE('',#18770,#18780,#10698,.T.);
#23209=EDGE_CURVE('',#18171,#18780,#823,.T.);
#23210=EDGE_CURVE('',#18780,#18781,#10699,.T.);
#23211=EDGE_CURVE('',#18781,#18782,#824,.T.);
#23212=EDGE_CURVE('',#18782,#18783,#10700,.T.);
#23213=EDGE_CURVE('',#18783,#18784,#10701,.T.);
#23214=EDGE_CURVE('',#18784,#18785,#10702,.T.);
#23215=EDGE_CURVE('',#18785,#18786,#825,.T.);
#23216=EDGE_CURVE('',#18786,#18787,#10703,.T.);
#23217=EDGE_CURVE('',#18787,#18788,#826,.T.);
#23218=EDGE_CURVE('',#18788,#18174,#10704,.T.);
#23219=EDGE_CURVE('',#18789,#18177,#10705,.T.);
#23220=EDGE_CURVE('',#18790,#18789,#827,.T.);
#23221=EDGE_CURVE('',#18791,#18790,#10706,.T.);
#23222=EDGE_CURVE('',#18792,#18791,#828,.T.);
#23223=EDGE_CURVE('',#18793,#18792,#10707,.T.);
#23224=EDGE_CURVE('',#18794,#18793,#10708,.T.);
#23225=EDGE_CURVE('',#18795,#18794,#10709,.T.);
#23226=EDGE_CURVE('',#18796,#18795,#829,.T.);
#23227=EDGE_CURVE('',#18797,#18796,#10710,.T.);
#23228=EDGE_CURVE('',#18172,#18797,#830,.T.);
#23229=EDGE_CURVE('',#18797,#18771,#10711,.T.);
#23230=EDGE_CURVE('',#18174,#18271,#10712,.T.);
#23231=EDGE_CURVE('',#18175,#18270,#10713,.T.);
#23232=EDGE_CURVE('',#18798,#18175,#10714,.T.);
#23233=EDGE_CURVE('',#18799,#18798,#831,.T.);
#23234=EDGE_CURVE('',#18800,#18799,#10715,.T.);
#23235=EDGE_CURVE('',#18801,#18800,#832,.T.);
#23236=EDGE_CURVE('',#18802,#18801,#10716,.T.);
#23237=EDGE_CURVE('',#18803,#18802,#10717,.T.);
#23238=EDGE_CURVE('',#18804,#18803,#10718,.T.);
#23239=EDGE_CURVE('',#18805,#18804,#833,.T.);
#23240=EDGE_CURVE('',#18806,#18805,#10719,.T.);
#23241=EDGE_CURVE('',#18178,#18806,#834,.T.);
#23242=EDGE_CURVE('',#18181,#18807,#835,.T.);
#23243=EDGE_CURVE('',#18807,#18808,#10720,.T.);
#23244=EDGE_CURVE('',#18808,#18809,#836,.T.);
#23245=EDGE_CURVE('',#18809,#18810,#10721,.T.);
#23246=EDGE_CURVE('',#18810,#18811,#10722,.T.);
#23247=EDGE_CURVE('',#18811,#18812,#10723,.T.);
#23248=EDGE_CURVE('',#18812,#18813,#837,.T.);
#23249=EDGE_CURVE('',#18813,#18814,#10724,.T.);
#23250=EDGE_CURVE('',#18814,#18815,#838,.T.);
#23251=EDGE_CURVE('',#18815,#18176,#10725,.T.);
#23252=EDGE_CURVE('',#18176,#18255,#10726,.T.);
#23253=EDGE_CURVE('',#18177,#18254,#10727,.T.);
#23254=EDGE_CURVE('',#18806,#18816,#10728,.T.);
#23255=EDGE_CURVE('',#18179,#18816,#839,.T.);
#23256=EDGE_CURVE('',#18816,#18817,#10729,.T.);
#23257=EDGE_CURVE('',#18817,#18818,#840,.T.);
#23258=EDGE_CURVE('',#18818,#18819,#10730,.T.);
#23259=EDGE_CURVE('',#18819,#18820,#10731,.T.);
#23260=EDGE_CURVE('',#18820,#18821,#10732,.T.);
#23261=EDGE_CURVE('',#18821,#18822,#841,.T.);
#23262=EDGE_CURVE('',#18822,#18823,#10733,.T.);
#23263=EDGE_CURVE('',#18823,#18824,#842,.T.);
#23264=EDGE_CURVE('',#18824,#18182,#10734,.T.);
#23265=EDGE_CURVE('',#18825,#18185,#10735,.T.);
#23266=EDGE_CURVE('',#18826,#18825,#843,.T.);
#23267=EDGE_CURVE('',#18827,#18826,#10736,.T.);
#23268=EDGE_CURVE('',#18828,#18827,#844,.T.);
#23269=EDGE_CURVE('',#18829,#18828,#10737,.T.);
#23270=EDGE_CURVE('',#18830,#18829,#10738,.T.);
#23271=EDGE_CURVE('',#18831,#18830,#10739,.T.);
#23272=EDGE_CURVE('',#18832,#18831,#845,.T.);
#23273=EDGE_CURVE('',#18833,#18832,#10740,.T.);
#23274=EDGE_CURVE('',#18180,#18833,#846,.T.);
#23275=EDGE_CURVE('',#18833,#18807,#10741,.T.);
#23276=EDGE_CURVE('',#18182,#18269,#10742,.T.);
#23277=EDGE_CURVE('',#18183,#18268,#10743,.T.);
#23278=EDGE_CURVE('',#18834,#18183,#10744,.T.);
#23279=EDGE_CURVE('',#18835,#18834,#847,.T.);
#23280=EDGE_CURVE('',#18836,#18835,#10745,.T.);
#23281=EDGE_CURVE('',#18837,#18836,#848,.T.);
#23282=EDGE_CURVE('',#18838,#18837,#10746,.T.);
#23283=EDGE_CURVE('',#18839,#18838,#10747,.T.);
#23284=EDGE_CURVE('',#18840,#18839,#10748,.T.);
#23285=EDGE_CURVE('',#18841,#18840,#849,.T.);
#23286=EDGE_CURVE('',#18842,#18841,#10749,.T.);
#23287=EDGE_CURVE('',#18186,#18842,#850,.T.);
#23288=EDGE_CURVE('',#18189,#18843,#851,.T.);
#23289=EDGE_CURVE('',#18843,#18844,#10750,.T.);
#23290=EDGE_CURVE('',#18844,#18845,#852,.T.);
#23291=EDGE_CURVE('',#18845,#18846,#10751,.T.);
#23292=EDGE_CURVE('',#18846,#18847,#10752,.T.);
#23293=EDGE_CURVE('',#18847,#18848,#10753,.T.);
#23294=EDGE_CURVE('',#18848,#18849,#853,.T.);
#23295=EDGE_CURVE('',#18849,#18850,#10754,.T.);
#23296=EDGE_CURVE('',#18850,#18851,#854,.T.);
#23297=EDGE_CURVE('',#18851,#18184,#10755,.T.);
#23298=EDGE_CURVE('',#18184,#18257,#10756,.T.);
#23299=EDGE_CURVE('',#18185,#18256,#10757,.T.);
#23300=EDGE_CURVE('',#18842,#18852,#10758,.T.);
#23301=EDGE_CURVE('',#18187,#18852,#855,.T.);
#23302=EDGE_CURVE('',#18852,#18853,#10759,.T.);
#23303=EDGE_CURVE('',#18853,#18854,#856,.T.);
#23304=EDGE_CURVE('',#18854,#18855,#10760,.T.);
#23305=EDGE_CURVE('',#18855,#18856,#10761,.T.);
#23306=EDGE_CURVE('',#18856,#18857,#10762,.T.);
#23307=EDGE_CURVE('',#18857,#18858,#857,.T.);
#23308=EDGE_CURVE('',#18858,#18859,#10763,.T.);
#23309=EDGE_CURVE('',#18859,#18860,#858,.T.);
#23310=EDGE_CURVE('',#18860,#18190,#10764,.T.);
#23311=EDGE_CURVE('',#18861,#18193,#10765,.T.);
#23312=EDGE_CURVE('',#18862,#18861,#859,.T.);
#23313=EDGE_CURVE('',#18863,#18862,#10766,.T.);
#23314=EDGE_CURVE('',#18864,#18863,#860,.T.);
#23315=EDGE_CURVE('',#18865,#18864,#10767,.T.);
#23316=EDGE_CURVE('',#18866,#18865,#10768,.T.);
#23317=EDGE_CURVE('',#18867,#18866,#10769,.T.);
#23318=EDGE_CURVE('',#18868,#18867,#861,.T.);
#23319=EDGE_CURVE('',#18869,#18868,#10770,.T.);
#23320=EDGE_CURVE('',#18188,#18869,#862,.T.);
#23321=EDGE_CURVE('',#18869,#18843,#10771,.T.);
#23322=EDGE_CURVE('',#18190,#18267,#10772,.T.);
#23323=EDGE_CURVE('',#18191,#18266,#10773,.T.);
#23324=EDGE_CURVE('',#18870,#18191,#10774,.T.);
#23325=EDGE_CURVE('',#18871,#18870,#863,.T.);
#23326=EDGE_CURVE('',#18872,#18871,#10775,.T.);
#23327=EDGE_CURVE('',#18873,#18872,#864,.T.);
#23328=EDGE_CURVE('',#18874,#18873,#10776,.T.);
#23329=EDGE_CURVE('',#18875,#18874,#10777,.T.);
#23330=EDGE_CURVE('',#18876,#18875,#10778,.T.);
#23331=EDGE_CURVE('',#18877,#18876,#865,.T.);
#23332=EDGE_CURVE('',#18878,#18877,#10779,.T.);
#23333=EDGE_CURVE('',#18194,#18878,#866,.T.);
#23334=EDGE_CURVE('',#18197,#18879,#867,.T.);
#23335=EDGE_CURVE('',#18879,#18880,#10780,.T.);
#23336=EDGE_CURVE('',#18880,#18881,#868,.T.);
#23337=EDGE_CURVE('',#18881,#18882,#10781,.T.);
#23338=EDGE_CURVE('',#18882,#18883,#10782,.T.);
#23339=EDGE_CURVE('',#18883,#18884,#10783,.T.);
#23340=EDGE_CURVE('',#18884,#18885,#869,.T.);
#23341=EDGE_CURVE('',#18885,#18886,#10784,.T.);
#23342=EDGE_CURVE('',#18886,#18887,#870,.T.);
#23343=EDGE_CURVE('',#18887,#18192,#10785,.T.);
#23344=EDGE_CURVE('',#18192,#18259,#10786,.T.);
#23345=EDGE_CURVE('',#18193,#18258,#10787,.T.);
#23346=EDGE_CURVE('',#18878,#18888,#10788,.T.);
#23347=EDGE_CURVE('',#18195,#18888,#871,.T.);
#23348=EDGE_CURVE('',#18888,#18889,#10789,.T.);
#23349=EDGE_CURVE('',#18889,#18890,#872,.T.);
#23350=EDGE_CURVE('',#18890,#18891,#10790,.T.);
#23351=EDGE_CURVE('',#18891,#18892,#10791,.T.);
#23352=EDGE_CURVE('',#18892,#18893,#10792,.T.);
#23353=EDGE_CURVE('',#18893,#18894,#873,.T.);
#23354=EDGE_CURVE('',#18894,#18895,#10793,.T.);
#23355=EDGE_CURVE('',#18895,#18896,#874,.T.);
#23356=EDGE_CURVE('',#18896,#18198,#10794,.T.);
#23357=EDGE_CURVE('',#18897,#18201,#10795,.T.);
#23358=EDGE_CURVE('',#18898,#18897,#875,.T.);
#23359=EDGE_CURVE('',#18899,#18898,#10796,.T.);
#23360=EDGE_CURVE('',#18900,#18899,#876,.T.);
#23361=EDGE_CURVE('',#18901,#18900,#10797,.T.);
#23362=EDGE_CURVE('',#18902,#18901,#10798,.T.);
#23363=EDGE_CURVE('',#18903,#18902,#10799,.T.);
#23364=EDGE_CURVE('',#18904,#18903,#877,.T.);
#23365=EDGE_CURVE('',#18905,#18904,#10800,.T.);
#23366=EDGE_CURVE('',#18196,#18905,#878,.T.);
#23367=EDGE_CURVE('',#18905,#18879,#10801,.T.);
#23368=EDGE_CURVE('',#18198,#18265,#10802,.T.);
#23369=EDGE_CURVE('',#18199,#18264,#10803,.T.);
#23370=EDGE_CURVE('',#18906,#18199,#10804,.T.);
#23371=EDGE_CURVE('',#18907,#18906,#879,.T.);
#23372=EDGE_CURVE('',#18908,#18907,#10805,.T.);
#23373=EDGE_CURVE('',#18909,#18908,#880,.T.);
#23374=EDGE_CURVE('',#18910,#18909,#10806,.T.);
#23375=EDGE_CURVE('',#18911,#18910,#10807,.T.);
#23376=EDGE_CURVE('',#18912,#18911,#10808,.T.);
#23377=EDGE_CURVE('',#18913,#18912,#881,.T.);
#23378=EDGE_CURVE('',#18914,#18913,#10809,.T.);
#23379=EDGE_CURVE('',#18202,#18914,#882,.T.);
#23380=EDGE_CURVE('',#18205,#18915,#883,.T.);
#23381=EDGE_CURVE('',#18915,#18916,#10810,.T.);
#23382=EDGE_CURVE('',#18916,#18917,#884,.T.);
#23383=EDGE_CURVE('',#18917,#18918,#10811,.T.);
#23384=EDGE_CURVE('',#18918,#18919,#10812,.T.);
#23385=EDGE_CURVE('',#18919,#18920,#10813,.T.);
#23386=EDGE_CURVE('',#18920,#18921,#885,.T.);
#23387=EDGE_CURVE('',#18921,#18922,#10814,.T.);
#23388=EDGE_CURVE('',#18922,#18923,#886,.T.);
#23389=EDGE_CURVE('',#18923,#18200,#10815,.T.);
#23390=EDGE_CURVE('',#18200,#18261,#10816,.T.);
#23391=EDGE_CURVE('',#18201,#18260,#10817,.T.);
#23392=EDGE_CURVE('',#18914,#18924,#10818,.T.);
#23393=EDGE_CURVE('',#18203,#18924,#887,.T.);
#23394=EDGE_CURVE('',#18924,#18925,#10819,.T.);
#23395=EDGE_CURVE('',#18925,#18926,#888,.T.);
#23396=EDGE_CURVE('',#18926,#18927,#10820,.T.);
#23397=EDGE_CURVE('',#18927,#18928,#10821,.T.);
#23398=EDGE_CURVE('',#18928,#18929,#10822,.T.);
#23399=EDGE_CURVE('',#18929,#18930,#889,.T.);
#23400=EDGE_CURVE('',#18930,#18931,#10823,.T.);
#23401=EDGE_CURVE('',#18931,#18932,#890,.T.);
#23402=EDGE_CURVE('',#18932,#18206,#10824,.T.);
#23403=EDGE_CURVE('',#18933,#18207,#10825,.T.);
#23404=EDGE_CURVE('',#18934,#18933,#891,.T.);
#23405=EDGE_CURVE('',#18935,#18934,#10826,.T.);
#23406=EDGE_CURVE('',#18936,#18935,#892,.T.);
#23407=EDGE_CURVE('',#18937,#18936,#10827,.T.);
#23408=EDGE_CURVE('',#18938,#18937,#10828,.T.);
#23409=EDGE_CURVE('',#18939,#18938,#10829,.T.);
#23410=EDGE_CURVE('',#18940,#18939,#893,.T.);
#23411=EDGE_CURVE('',#18941,#18940,#10830,.T.);
#23412=EDGE_CURVE('',#18204,#18941,#894,.T.);
#23413=EDGE_CURVE('',#18941,#18915,#10831,.T.);
#23414=EDGE_CURVE('',#18206,#18263,#10832,.T.);
#23415=EDGE_CURVE('',#18207,#18262,#10833,.T.);
#23416=EDGE_CURVE('',#18753,#18217,#10834,.T.);
#23417=EDGE_CURVE('',#18754,#18216,#10835,.T.);
#23418=EDGE_CURVE('',#18755,#18215,#10836,.T.);
#23419=EDGE_CURVE('',#18756,#18214,#10837,.T.);
#23420=EDGE_CURVE('',#18757,#18213,#10838,.T.);
#23421=EDGE_CURVE('',#18758,#18212,#10839,.T.);
#23422=EDGE_CURVE('',#18759,#18211,#10840,.T.);
#23423=EDGE_CURVE('',#18760,#18210,#10841,.T.);
#23424=EDGE_CURVE('',#18493,#18942,#10842,.T.);
#23425=EDGE_CURVE('',#18219,#18942,#10843,.T.);
#23426=EDGE_CURVE('',#18219,#18249,#141,.T.);
#23427=EDGE_CURVE('',#18304,#18294,#10844,.T.);
#23428=EDGE_CURVE('',#18277,#18303,#10845,.T.);
#23429=EDGE_CURVE('',#18340,#18330,#10846,.T.);
#23430=EDGE_CURVE('',#18313,#18339,#10847,.T.);
#23431=EDGE_CURVE('',#18376,#18366,#10848,.T.);
#23432=EDGE_CURVE('',#18349,#18375,#10849,.T.);
#23433=EDGE_CURVE('',#18412,#18402,#10850,.T.);
#23434=EDGE_CURVE('',#18385,#18411,#10851,.T.);
#23435=EDGE_CURVE('',#18448,#18438,#10852,.T.);
#23436=EDGE_CURVE('',#18421,#18447,#10853,.T.);
#23437=EDGE_CURVE('',#18484,#18474,#10854,.T.);
#23438=EDGE_CURVE('',#18457,#18483,#10855,.T.);
#23439=EDGE_CURVE('',#18276,#18246,#142,.T.);
#23440=EDGE_CURVE('',#18943,#18247,#143,.T.);
#23441=EDGE_CURVE('',#18943,#18276,#10856,.T.);
#23442=EDGE_CURVE('',#18942,#18248,#144,.T.);
#23443=EDGE_CURVE('',#18942,#18943,#10857,.T.);
#23444=EDGE_CURVE('',#18251,#18944,#10858,.T.);
#23445=EDGE_CURVE('',#18945,#18944,#895,.T.);
#23446=EDGE_CURVE('',#18944,#18945,#896,.T.);
#23447=EDGE_CURVE('',#18514,#18943,#10859,.T.);
#23448=EDGE_CURVE('',#18735,#18752,#10860,.T.);
#23449=EDGE_CURVE('',#18789,#18779,#10861,.T.);
#23450=EDGE_CURVE('',#18762,#18788,#10862,.T.);
#23451=EDGE_CURVE('',#18825,#18815,#10863,.T.);
#23452=EDGE_CURVE('',#18798,#18824,#10864,.T.);
#23453=EDGE_CURVE('',#18861,#18851,#10865,.T.);
#23454=EDGE_CURVE('',#18834,#18860,#10866,.T.);
#23455=EDGE_CURVE('',#18897,#18887,#10867,.T.);
#23456=EDGE_CURVE('',#18870,#18896,#10868,.T.);
#23457=EDGE_CURVE('',#18933,#18923,#10869,.T.);
#23458=EDGE_CURVE('',#18906,#18932,#10870,.T.);
#23459=EDGE_CURVE('',#18278,#18302,#10871,.T.);
#23460=EDGE_CURVE('',#18279,#18301,#10872,.T.);
#23461=EDGE_CURVE('',#18280,#18300,#10873,.T.);
#23462=EDGE_CURVE('',#18281,#18299,#10874,.T.);
#23463=EDGE_CURVE('',#18282,#18298,#10875,.T.);
#23464=EDGE_CURVE('',#18283,#18297,#10876,.T.);
#23465=EDGE_CURVE('',#18284,#18296,#10877,.T.);
#23466=EDGE_CURVE('',#18305,#18293,#10878,.T.);
#23467=EDGE_CURVE('',#18306,#18292,#10879,.T.);
#23468=EDGE_CURVE('',#18307,#18291,#10880,.T.);
#23469=EDGE_CURVE('',#18308,#18290,#10881,.T.);
#23470=EDGE_CURVE('',#18309,#18289,#10882,.T.);
#23471=EDGE_CURVE('',#18310,#18288,#10883,.T.);
#23472=EDGE_CURVE('',#18311,#18287,#10884,.T.);
#23473=EDGE_CURVE('',#18314,#18338,#10885,.T.);
#23474=EDGE_CURVE('',#18315,#18337,#10886,.T.);
#23475=EDGE_CURVE('',#18316,#18336,#10887,.T.);
#23476=EDGE_CURVE('',#18317,#18335,#10888,.T.);
#23477=EDGE_CURVE('',#18318,#18334,#10889,.T.);
#23478=EDGE_CURVE('',#18319,#18333,#10890,.T.);
#23479=EDGE_CURVE('',#18320,#18332,#10891,.T.);
#23480=EDGE_CURVE('',#18341,#18329,#10892,.T.);
#23481=EDGE_CURVE('',#18342,#18328,#10893,.T.);
#23482=EDGE_CURVE('',#18343,#18327,#10894,.T.);
#23483=EDGE_CURVE('',#18344,#18326,#10895,.T.);
#23484=EDGE_CURVE('',#18345,#18325,#10896,.T.);
#23485=EDGE_CURVE('',#18346,#18324,#10897,.T.);
#23486=EDGE_CURVE('',#18347,#18323,#10898,.T.);
#23487=EDGE_CURVE('',#18350,#18374,#10899,.T.);
#23488=EDGE_CURVE('',#18351,#18373,#10900,.T.);
#23489=EDGE_CURVE('',#18352,#18372,#10901,.T.);
#23490=EDGE_CURVE('',#18353,#18371,#10902,.T.);
#23491=EDGE_CURVE('',#18354,#18370,#10903,.T.);
#23492=EDGE_CURVE('',#18355,#18369,#10904,.T.);
#23493=EDGE_CURVE('',#18356,#18368,#10905,.T.);
#23494=EDGE_CURVE('',#18377,#18365,#10906,.T.);
#23495=EDGE_CURVE('',#18378,#18364,#10907,.T.);
#23496=EDGE_CURVE('',#18379,#18363,#10908,.T.);
#23497=EDGE_CURVE('',#18380,#18362,#10909,.T.);
#23498=EDGE_CURVE('',#18381,#18361,#10910,.T.);
#23499=EDGE_CURVE('',#18382,#18360,#10911,.T.);
#23500=EDGE_CURVE('',#18383,#18359,#10912,.T.);
#23501=EDGE_CURVE('',#18386,#18410,#10913,.T.);
#23502=EDGE_CURVE('',#18387,#18409,#10914,.T.);
#23503=EDGE_CURVE('',#18388,#18408,#10915,.T.);
#23504=EDGE_CURVE('',#18389,#18407,#10916,.T.);
#23505=EDGE_CURVE('',#18390,#18406,#10917,.T.);
#23506=EDGE_CURVE('',#18391,#18405,#10918,.T.);
#23507=EDGE_CURVE('',#18392,#18404,#10919,.T.);
#23508=EDGE_CURVE('',#18413,#18401,#10920,.T.);
#23509=EDGE_CURVE('',#18414,#18400,#10921,.T.);
#23510=EDGE_CURVE('',#18415,#18399,#10922,.T.);
#23511=EDGE_CURVE('',#18416,#18398,#10923,.T.);
#23512=EDGE_CURVE('',#18417,#18397,#10924,.T.);
#23513=EDGE_CURVE('',#18418,#18396,#10925,.T.);
#23514=EDGE_CURVE('',#18419,#18395,#10926,.T.);
#23515=EDGE_CURVE('',#18422,#18446,#10927,.T.);
#23516=EDGE_CURVE('',#18423,#18445,#10928,.T.);
#23517=EDGE_CURVE('',#18424,#18444,#10929,.T.);
#23518=EDGE_CURVE('',#18425,#18443,#10930,.T.);
#23519=EDGE_CURVE('',#18426,#18442,#10931,.T.);
#23520=EDGE_CURVE('',#18427,#18441,#10932,.T.);
#23521=EDGE_CURVE('',#18428,#18440,#10933,.T.);
#23522=EDGE_CURVE('',#18449,#18437,#10934,.T.);
#23523=EDGE_CURVE('',#18450,#18436,#10935,.T.);
#23524=EDGE_CURVE('',#18451,#18435,#10936,.T.);
#23525=EDGE_CURVE('',#18452,#18434,#10937,.T.);
#23526=EDGE_CURVE('',#18453,#18433,#10938,.T.);
#23527=EDGE_CURVE('',#18454,#18432,#10939,.T.);
#23528=EDGE_CURVE('',#18455,#18431,#10940,.T.);
#23529=EDGE_CURVE('',#18458,#18482,#10941,.T.);
#23530=EDGE_CURVE('',#18459,#18481,#10942,.T.);
#23531=EDGE_CURVE('',#18460,#18480,#10943,.T.);
#23532=EDGE_CURVE('',#18461,#18479,#10944,.T.);
#23533=EDGE_CURVE('',#18462,#18478,#10945,.T.);
#23534=EDGE_CURVE('',#18463,#18477,#10946,.T.);
#23535=EDGE_CURVE('',#18464,#18476,#10947,.T.);
#23536=EDGE_CURVE('',#18485,#18473,#10948,.T.);
#23537=EDGE_CURVE('',#18486,#18472,#10949,.T.);
#23538=EDGE_CURVE('',#18487,#18471,#10950,.T.);
#23539=EDGE_CURVE('',#18488,#18470,#10951,.T.);
#23540=EDGE_CURVE('',#18489,#18469,#10952,.T.);
#23541=EDGE_CURVE('',#18490,#18468,#10953,.T.);
#23542=EDGE_CURVE('',#18491,#18467,#10954,.T.);
#23543=EDGE_CURVE('',#18554,#18513,#10955,.T.);
#23544=EDGE_CURVE('',#18594,#18553,#10956,.T.);
#23545=EDGE_CURVE('',#18634,#18593,#10957,.T.);
#23546=EDGE_CURVE('',#18674,#18633,#10958,.T.);
#23547=EDGE_CURVE('',#18714,#18673,#10959,.T.);
#23548=EDGE_CURVE('',#18734,#18713,#10960,.T.);
#23549=EDGE_CURVE('',#18694,#18733,#10961,.T.);
#23550=EDGE_CURVE('',#18654,#18693,#10962,.T.);
#23551=EDGE_CURVE('',#18614,#18653,#10963,.T.);
#23552=EDGE_CURVE('',#18574,#18613,#10964,.T.);
#23553=EDGE_CURVE('',#18534,#18573,#10965,.T.);
#23554=EDGE_CURVE('',#18494,#18533,#10966,.T.);
#23555=EDGE_CURVE('',#18495,#18523,#10967,.T.);
#23556=EDGE_CURVE('',#18496,#18522,#10968,.T.);
#23557=EDGE_CURVE('',#18497,#18521,#10969,.T.);
#23558=EDGE_CURVE('',#18498,#18520,#10970,.T.);
#23559=EDGE_CURVE('',#18499,#18519,#10971,.T.);
#23560=EDGE_CURVE('',#18500,#18518,#10972,.T.);
#23561=EDGE_CURVE('',#18501,#18517,#10973,.T.);
#23562=EDGE_CURVE('',#18502,#18516,#10974,.T.);
#23563=EDGE_CURVE('',#18524,#18512,#10975,.T.);
#23564=EDGE_CURVE('',#18525,#18511,#10976,.T.);
#23565=EDGE_CURVE('',#18526,#18510,#10977,.T.);
#23566=EDGE_CURVE('',#18527,#18509,#10978,.T.);
#23567=EDGE_CURVE('',#18528,#18508,#10979,.T.);
#23568=EDGE_CURVE('',#18529,#18507,#10980,.T.);
#23569=EDGE_CURVE('',#18530,#18506,#10981,.T.);
#23570=EDGE_CURVE('',#18531,#18505,#10982,.T.);
#23571=EDGE_CURVE('',#18535,#18563,#10983,.T.);
#23572=EDGE_CURVE('',#18536,#18562,#10984,.T.);
#23573=EDGE_CURVE('',#18537,#18561,#10985,.T.);
#23574=EDGE_CURVE('',#18538,#18560,#10986,.T.);
#23575=EDGE_CURVE('',#18539,#18559,#10987,.T.);
#23576=EDGE_CURVE('',#18540,#18558,#10988,.T.);
#23577=EDGE_CURVE('',#18541,#18557,#10989,.T.);
#23578=EDGE_CURVE('',#18542,#18556,#10990,.T.);
#23579=EDGE_CURVE('',#18564,#18552,#10991,.T.);
#23580=EDGE_CURVE('',#18565,#18551,#10992,.T.);
#23581=EDGE_CURVE('',#18566,#18550,#10993,.T.);
#23582=EDGE_CURVE('',#18567,#18549,#10994,.T.);
#23583=EDGE_CURVE('',#18568,#18548,#10995,.T.);
#23584=EDGE_CURVE('',#18569,#18547,#10996,.T.);
#23585=EDGE_CURVE('',#18570,#18546,#10997,.T.);
#23586=EDGE_CURVE('',#18571,#18545,#10998,.T.);
#23587=EDGE_CURVE('',#18575,#18603,#10999,.T.);
#23588=EDGE_CURVE('',#18576,#18602,#11000,.T.);
#23589=EDGE_CURVE('',#18577,#18601,#11001,.T.);
#23590=EDGE_CURVE('',#18578,#18600,#11002,.T.);
#23591=EDGE_CURVE('',#18579,#18599,#11003,.T.);
#23592=EDGE_CURVE('',#18580,#18598,#11004,.T.);
#23593=EDGE_CURVE('',#18581,#18597,#11005,.T.);
#23594=EDGE_CURVE('',#18582,#18596,#11006,.T.);
#23595=EDGE_CURVE('',#18604,#18592,#11007,.T.);
#23596=EDGE_CURVE('',#18605,#18591,#11008,.T.);
#23597=EDGE_CURVE('',#18606,#18590,#11009,.T.);
#23598=EDGE_CURVE('',#18607,#18589,#11010,.T.);
#23599=EDGE_CURVE('',#18608,#18588,#11011,.T.);
#23600=EDGE_CURVE('',#18609,#18587,#11012,.T.);
#23601=EDGE_CURVE('',#18610,#18586,#11013,.T.);
#23602=EDGE_CURVE('',#18611,#18585,#11014,.T.);
#23603=EDGE_CURVE('',#18615,#18643,#11015,.T.);
#23604=EDGE_CURVE('',#18616,#18642,#11016,.T.);
#23605=EDGE_CURVE('',#18617,#18641,#11017,.T.);
#23606=EDGE_CURVE('',#18618,#18640,#11018,.T.);
#23607=EDGE_CURVE('',#18619,#18639,#11019,.T.);
#23608=EDGE_CURVE('',#18620,#18638,#11020,.T.);
#23609=EDGE_CURVE('',#18621,#18637,#11021,.T.);
#23610=EDGE_CURVE('',#18622,#18636,#11022,.T.);
#23611=EDGE_CURVE('',#18644,#18632,#11023,.T.);
#23612=EDGE_CURVE('',#18645,#18631,#11024,.T.);
#23613=EDGE_CURVE('',#18646,#18630,#11025,.T.);
#23614=EDGE_CURVE('',#18647,#18629,#11026,.T.);
#23615=EDGE_CURVE('',#18648,#18628,#11027,.T.);
#23616=EDGE_CURVE('',#18649,#18627,#11028,.T.);
#23617=EDGE_CURVE('',#18650,#18626,#11029,.T.);
#23618=EDGE_CURVE('',#18651,#18625,#11030,.T.);
#23619=EDGE_CURVE('',#18655,#18683,#11031,.T.);
#23620=EDGE_CURVE('',#18656,#18682,#11032,.T.);
#23621=EDGE_CURVE('',#18657,#18681,#11033,.T.);
#23622=EDGE_CURVE('',#18658,#18680,#11034,.T.);
#23623=EDGE_CURVE('',#18659,#18679,#11035,.T.);
#23624=EDGE_CURVE('',#18660,#18678,#11036,.T.);
#23625=EDGE_CURVE('',#18661,#18677,#11037,.T.);
#23626=EDGE_CURVE('',#18662,#18676,#11038,.T.);
#23627=EDGE_CURVE('',#18684,#18672,#11039,.T.);
#23628=EDGE_CURVE('',#18685,#18671,#11040,.T.);
#23629=EDGE_CURVE('',#18686,#18670,#11041,.T.);
#23630=EDGE_CURVE('',#18687,#18669,#11042,.T.);
#23631=EDGE_CURVE('',#18688,#18668,#11043,.T.);
#23632=EDGE_CURVE('',#18689,#18667,#11044,.T.);
#23633=EDGE_CURVE('',#18690,#18666,#11045,.T.);
#23634=EDGE_CURVE('',#18691,#18665,#11046,.T.);
#23635=EDGE_CURVE('',#18695,#18723,#11047,.T.);
#23636=EDGE_CURVE('',#18696,#18722,#11048,.T.);
#23637=EDGE_CURVE('',#18697,#18721,#11049,.T.);
#23638=EDGE_CURVE('',#18698,#18720,#11050,.T.);
#23639=EDGE_CURVE('',#18699,#18719,#11051,.T.);
#23640=EDGE_CURVE('',#18700,#18718,#11052,.T.);
#23641=EDGE_CURVE('',#18701,#18717,#11053,.T.);
#23642=EDGE_CURVE('',#18702,#18716,#11054,.T.);
#23643=EDGE_CURVE('',#18724,#18712,#11055,.T.);
#23644=EDGE_CURVE('',#18725,#18711,#11056,.T.);
#23645=EDGE_CURVE('',#18726,#18710,#11057,.T.);
#23646=EDGE_CURVE('',#18727,#18709,#11058,.T.);
#23647=EDGE_CURVE('',#18728,#18708,#11059,.T.);
#23648=EDGE_CURVE('',#18729,#18707,#11060,.T.);
#23649=EDGE_CURVE('',#18730,#18706,#11061,.T.);
#23650=EDGE_CURVE('',#18731,#18705,#11062,.T.);
#23651=EDGE_CURVE('',#18736,#18751,#11063,.T.);
#23652=EDGE_CURVE('',#18737,#18750,#11064,.T.);
#23653=EDGE_CURVE('',#18738,#18749,#11065,.T.);
#23654=EDGE_CURVE('',#18739,#18748,#11066,.T.);
#23655=EDGE_CURVE('',#18740,#18747,#11067,.T.);
#23656=EDGE_CURVE('',#18741,#18746,#11068,.T.);
#23657=EDGE_CURVE('',#18742,#18745,#11069,.T.);
#23658=EDGE_CURVE('',#18763,#18787,#11070,.T.);
#23659=EDGE_CURVE('',#18764,#18786,#11071,.T.);
#23660=EDGE_CURVE('',#18765,#18785,#11072,.T.);
#23661=EDGE_CURVE('',#18766,#18784,#11073,.T.);
#23662=EDGE_CURVE('',#18767,#18783,#11074,.T.);
#23663=EDGE_CURVE('',#18768,#18782,#11075,.T.);
#23664=EDGE_CURVE('',#18769,#18781,#11076,.T.);
#23665=EDGE_CURVE('',#18790,#18778,#11077,.T.);
#23666=EDGE_CURVE('',#18791,#18777,#11078,.T.);
#23667=EDGE_CURVE('',#18792,#18776,#11079,.T.);
#23668=EDGE_CURVE('',#18793,#18775,#11080,.T.);
#23669=EDGE_CURVE('',#18794,#18774,#11081,.T.);
#23670=EDGE_CURVE('',#18795,#18773,#11082,.T.);
#23671=EDGE_CURVE('',#18796,#18772,#11083,.T.);
#23672=EDGE_CURVE('',#18799,#18823,#11084,.T.);
#23673=EDGE_CURVE('',#18800,#18822,#11085,.T.);
#23674=EDGE_CURVE('',#18801,#18821,#11086,.T.);
#23675=EDGE_CURVE('',#18802,#18820,#11087,.T.);
#23676=EDGE_CURVE('',#18803,#18819,#11088,.T.);
#23677=EDGE_CURVE('',#18804,#18818,#11089,.T.);
#23678=EDGE_CURVE('',#18805,#18817,#11090,.T.);
#23679=EDGE_CURVE('',#18826,#18814,#11091,.T.);
#23680=EDGE_CURVE('',#18827,#18813,#11092,.T.);
#23681=EDGE_CURVE('',#18828,#18812,#11093,.T.);
#23682=EDGE_CURVE('',#18829,#18811,#11094,.T.);
#23683=EDGE_CURVE('',#18830,#18810,#11095,.T.);
#23684=EDGE_CURVE('',#18831,#18809,#11096,.T.);
#23685=EDGE_CURVE('',#18832,#18808,#11097,.T.);
#23686=EDGE_CURVE('',#18835,#18859,#11098,.T.);
#23687=EDGE_CURVE('',#18836,#18858,#11099,.T.);
#23688=EDGE_CURVE('',#18837,#18857,#11100,.T.);
#23689=EDGE_CURVE('',#18838,#18856,#11101,.T.);
#23690=EDGE_CURVE('',#18839,#18855,#11102,.T.);
#23691=EDGE_CURVE('',#18840,#18854,#11103,.T.);
#23692=EDGE_CURVE('',#18841,#18853,#11104,.T.);
#23693=EDGE_CURVE('',#18862,#18850,#11105,.T.);
#23694=EDGE_CURVE('',#18863,#18849,#11106,.T.);
#23695=EDGE_CURVE('',#18864,#18848,#11107,.T.);
#23696=EDGE_CURVE('',#18865,#18847,#11108,.T.);
#23697=EDGE_CURVE('',#18866,#18846,#11109,.T.);
#23698=EDGE_CURVE('',#18867,#18845,#11110,.T.);
#23699=EDGE_CURVE('',#18868,#18844,#11111,.T.);
#23700=EDGE_CURVE('',#18871,#18895,#11112,.T.);
#23701=EDGE_CURVE('',#18872,#18894,#11113,.T.);
#23702=EDGE_CURVE('',#18873,#18893,#11114,.T.);
#23703=EDGE_CURVE('',#18874,#18892,#11115,.T.);
#23704=EDGE_CURVE('',#18875,#18891,#11116,.T.);
#23705=EDGE_CURVE('',#18876,#18890,#11117,.T.);
#23706=EDGE_CURVE('',#18877,#18889,#11118,.T.);
#23707=EDGE_CURVE('',#18898,#18886,#11119,.T.);
#23708=EDGE_CURVE('',#18899,#18885,#11120,.T.);
#23709=EDGE_CURVE('',#18900,#18884,#11121,.T.);
#23710=EDGE_CURVE('',#18901,#18883,#11122,.T.);
#23711=EDGE_CURVE('',#18902,#18882,#11123,.T.);
#23712=EDGE_CURVE('',#18903,#18881,#11124,.T.);
#23713=EDGE_CURVE('',#18904,#18880,#11125,.T.);
#23714=EDGE_CURVE('',#18907,#18931,#11126,.T.);
#23715=EDGE_CURVE('',#18908,#18930,#11127,.T.);
#23716=EDGE_CURVE('',#18909,#18929,#11128,.T.);
#23717=EDGE_CURVE('',#18910,#18928,#11129,.T.);
#23718=EDGE_CURVE('',#18911,#18927,#11130,.T.);
#23719=EDGE_CURVE('',#18912,#18926,#11131,.T.);
#23720=EDGE_CURVE('',#18913,#18925,#11132,.T.);
#23721=EDGE_CURVE('',#18934,#18922,#11133,.T.);
#23722=EDGE_CURVE('',#18935,#18921,#11134,.T.);
#23723=EDGE_CURVE('',#18936,#18920,#11135,.T.);
#23724=EDGE_CURVE('',#18937,#18919,#11136,.T.);
#23725=EDGE_CURVE('',#18938,#18918,#11137,.T.);
#23726=EDGE_CURVE('',#18939,#18917,#11138,.T.);
#23727=EDGE_CURVE('',#18940,#18916,#11139,.T.);
#23728=EDGE_CURVE('',#18946,#18947,#11140,.T.);
#23729=EDGE_CURVE('',#18948,#18946,#11141,.T.);
#23730=EDGE_CURVE('',#18949,#18948,#11142,.T.);
#23731=EDGE_CURVE('',#18947,#18949,#11143,.T.);
#23732=EDGE_CURVE('',#18949,#18950,#11144,.T.);
#23733=EDGE_CURVE('',#18951,#18950,#11145,.T.);
#23734=EDGE_CURVE('',#18952,#18951,#11146,.T.);
#23735=EDGE_CURVE('',#18953,#18952,#11147,.T.);
#23736=EDGE_CURVE('',#18954,#18953,#11148,.T.);
#23737=EDGE_CURVE('',#18955,#18954,#11149,.T.);
#23738=EDGE_CURVE('',#18956,#18955,#11150,.T.);
#23739=EDGE_CURVE('',#18957,#18956,#11151,.T.);
#23740=EDGE_CURVE('',#18947,#18957,#11152,.T.);
#23741=EDGE_CURVE('',#18948,#18958,#11153,.T.);
#23742=EDGE_CURVE('',#18950,#18958,#11154,.T.);
#23743=EDGE_CURVE('',#18946,#18959,#11155,.T.);
#23744=EDGE_CURVE('',#18960,#18959,#11156,.T.);
#23745=EDGE_CURVE('',#18961,#18960,#11157,.T.);
#23746=EDGE_CURVE('',#18958,#18961,#11158,.T.);
#23747=EDGE_CURVE('',#18959,#18957,#11159,.T.);
#23748=EDGE_CURVE('',#18957,#18962,#11160,.T.);
#23749=EDGE_CURVE('',#18963,#18956,#11161,.T.);
#23750=EDGE_CURVE('',#18962,#18963,#11162,.T.);
#23751=EDGE_CURVE('',#18964,#18951,#11163,.T.);
#23752=EDGE_CURVE('',#18950,#18965,#11164,.T.);
#23753=EDGE_CURVE('',#18964,#18965,#11165,.T.);
#23754=EDGE_CURVE('',#18955,#18966,#11166,.T.);
#23755=EDGE_CURVE('',#18966,#18967,#11167,.T.);
#23756=EDGE_CURVE('',#18956,#18967,#11168,.T.);
#23757=EDGE_CURVE('',#18951,#18968,#11169,.T.);
#23758=EDGE_CURVE('',#18968,#18969,#11170,.T.);
#23759=EDGE_CURVE('',#18952,#18969,#11171,.T.);
#23760=EDGE_CURVE('',#18970,#18955,#11172,.T.);
#23761=EDGE_CURVE('',#18971,#18954,#11173,.T.);
#23762=EDGE_CURVE('',#18970,#18971,#11174,.T.);
#23763=EDGE_CURVE('',#18972,#18953,#11175,.T.);
#23764=EDGE_CURVE('',#18973,#18952,#11176,.T.);
#23765=EDGE_CURVE('',#18972,#18973,#11177,.T.);
#23766=EDGE_CURVE('',#18953,#18974,#11178,.T.);
#23767=EDGE_CURVE('',#18974,#18975,#11179,.T.);
#23768=EDGE_CURVE('',#18954,#18975,#11180,.T.);
#23769=EDGE_CURVE('',#18958,#18976,#11181,.T.);
#23770=EDGE_CURVE('',#18965,#18976,#11182,.T.);
#23771=EDGE_CURVE('',#18977,#18961,#11183,.T.);
#23772=EDGE_CURVE('',#18976,#18977,#11184,.T.);
#23773=EDGE_CURVE('',#18978,#18960,#11185,.T.);
#23774=EDGE_CURVE('',#18959,#18979,#11186,.T.);
#23775=EDGE_CURVE('',#18978,#18979,#11187,.T.);
#23776=EDGE_CURVE('',#18960,#18980,#11188,.T.);
#23777=EDGE_CURVE('',#18980,#18981,#11189,.T.);
#23778=EDGE_CURVE('',#18961,#18981,#11190,.T.);
#23779=EDGE_CURVE('',#18979,#18962,#11191,.T.);
#23780=EDGE_CURVE('',#18962,#18982,#11192,.T.);
#23781=EDGE_CURVE('',#18963,#18983,#11193,.T.);
#23782=EDGE_CURVE('',#18982,#18983,#11194,.T.);
#23783=EDGE_CURVE('',#18967,#18984,#897,.T.);
#23784=EDGE_CURVE('',#18984,#18985,#11195,.T.);
#23785=EDGE_CURVE('',#18985,#18986,#898,.T.);
#23786=EDGE_CURVE('',#18986,#18987,#11196,.T.);
#23787=EDGE_CURVE('',#18987,#18988,#11197,.T.);
#23788=EDGE_CURVE('',#18988,#18989,#11198,.T.);
#23789=EDGE_CURVE('',#18989,#18990,#899,.T.);
#23790=EDGE_CURVE('',#18990,#18991,#11199,.T.);
#23791=EDGE_CURVE('',#18991,#18992,#900,.T.);
#23792=EDGE_CURVE('',#18992,#18963,#11200,.T.);
#23793=EDGE_CURVE('',#18993,#18964,#11201,.T.);
#23794=EDGE_CURVE('',#18994,#18993,#901,.T.);
#23795=EDGE_CURVE('',#18995,#18994,#11202,.T.);
#23796=EDGE_CURVE('',#18996,#18995,#902,.T.);
#23797=EDGE_CURVE('',#18997,#18996,#11203,.T.);
#23798=EDGE_CURVE('',#18998,#18997,#11204,.T.);
#23799=EDGE_CURVE('',#18999,#18998,#11205,.T.);
#23800=EDGE_CURVE('',#19000,#18999,#903,.T.);
#23801=EDGE_CURVE('',#19001,#19000,#11206,.T.);
#23802=EDGE_CURVE('',#18968,#19001,#904,.T.);
#23803=EDGE_CURVE('',#18964,#19002,#11207,.T.);
#23804=EDGE_CURVE('',#18965,#19003,#11208,.T.);
#23805=EDGE_CURVE('',#19002,#19003,#11209,.T.);
#23806=EDGE_CURVE('',#19004,#18970,#11210,.T.);
#23807=EDGE_CURVE('',#19005,#19004,#905,.T.);
#23808=EDGE_CURVE('',#19006,#19005,#11211,.T.);
#23809=EDGE_CURVE('',#19007,#19006,#906,.T.);
#23810=EDGE_CURVE('',#19008,#19007,#11212,.T.);
#23811=EDGE_CURVE('',#19009,#19008,#11213,.T.);
#23812=EDGE_CURVE('',#19010,#19009,#11214,.T.);
#23813=EDGE_CURVE('',#19011,#19010,#907,.T.);
#23814=EDGE_CURVE('',#19012,#19011,#11215,.T.);
#23815=EDGE_CURVE('',#18966,#19012,#908,.T.);
#23816=EDGE_CURVE('',#19012,#18984,#11216,.T.);
#23817=EDGE_CURVE('',#19001,#19013,#11217,.T.);
#23818=EDGE_CURVE('',#18969,#19013,#909,.T.);
#23819=EDGE_CURVE('',#19013,#19014,#11218,.T.);
#23820=EDGE_CURVE('',#19014,#19015,#910,.T.);
#23821=EDGE_CURVE('',#19015,#19016,#11219,.T.);
#23822=EDGE_CURVE('',#19016,#19017,#11220,.T.);
#23823=EDGE_CURVE('',#19017,#19018,#11221,.T.);
#23824=EDGE_CURVE('',#19018,#19019,#911,.T.);
#23825=EDGE_CURVE('',#19019,#19020,#11222,.T.);
#23826=EDGE_CURVE('',#19020,#19021,#912,.T.);
#23827=EDGE_CURVE('',#19021,#18973,#11223,.T.);
#23828=EDGE_CURVE('',#18970,#19022,#11224,.T.);
#23829=EDGE_CURVE('',#18971,#19023,#11225,.T.);
#23830=EDGE_CURVE('',#19022,#19023,#11226,.T.);
#23831=EDGE_CURVE('',#18975,#19024,#913,.T.);
#23832=EDGE_CURVE('',#19024,#19025,#11227,.T.);
#23833=EDGE_CURVE('',#19025,#19026,#914,.T.);
#23834=EDGE_CURVE('',#19026,#19027,#11228,.T.);
#23835=EDGE_CURVE('',#19027,#19028,#11229,.T.);
#23836=EDGE_CURVE('',#19028,#19029,#11230,.T.);
#23837=EDGE_CURVE('',#19029,#19030,#915,.T.);
#23838=EDGE_CURVE('',#19030,#19031,#11231,.T.);
#23839=EDGE_CURVE('',#19031,#19032,#916,.T.);
#23840=EDGE_CURVE('',#19032,#18971,#11232,.T.);
#23841=EDGE_CURVE('',#19033,#18972,#11233,.T.);
#23842=EDGE_CURVE('',#19034,#19033,#917,.T.);
#23843=EDGE_CURVE('',#19035,#19034,#11234,.T.);
#23844=EDGE_CURVE('',#19036,#19035,#918,.T.);
#23845=EDGE_CURVE('',#19037,#19036,#11235,.T.);
#23846=EDGE_CURVE('',#19038,#19037,#11236,.T.);
#23847=EDGE_CURVE('',#19039,#19038,#11237,.T.);
#23848=EDGE_CURVE('',#19040,#19039,#919,.T.);
#23849=EDGE_CURVE('',#19041,#19040,#11238,.T.);
#23850=EDGE_CURVE('',#18974,#19041,#920,.T.);
#23851=EDGE_CURVE('',#18972,#19042,#11239,.T.);
#23852=EDGE_CURVE('',#18973,#19043,#11240,.T.);
#23853=EDGE_CURVE('',#19042,#19043,#11241,.T.);
#23854=EDGE_CURVE('',#19041,#19024,#11242,.T.);
#23855=EDGE_CURVE('',#18976,#19044,#11243,.T.);
#23856=EDGE_CURVE('',#19003,#19044,#11244,.T.);
#23857=EDGE_CURVE('',#18977,#19045,#11245,.T.);
#23858=EDGE_CURVE('',#19044,#19045,#11246,.T.);
#23859=EDGE_CURVE('',#18981,#19046,#921,.T.);
#23860=EDGE_CURVE('',#19046,#19047,#11247,.T.);
#23861=EDGE_CURVE('',#19047,#19048,#922,.T.);
#23862=EDGE_CURVE('',#19048,#19049,#11248,.T.);
#23863=EDGE_CURVE('',#19049,#19050,#11249,.T.);
#23864=EDGE_CURVE('',#19050,#19051,#11250,.T.);
#23865=EDGE_CURVE('',#19051,#19052,#923,.T.);
#23866=EDGE_CURVE('',#19052,#19053,#11251,.T.);
#23867=EDGE_CURVE('',#19053,#19054,#924,.T.);
#23868=EDGE_CURVE('',#19054,#18977,#11252,.T.);
#23869=EDGE_CURVE('',#19055,#18978,#11253,.T.);
#23870=EDGE_CURVE('',#19056,#19055,#925,.T.);
#23871=EDGE_CURVE('',#19057,#19056,#11254,.T.);
#23872=EDGE_CURVE('',#19058,#19057,#926,.T.);
#23873=EDGE_CURVE('',#19059,#19058,#11255,.T.);
#23874=EDGE_CURVE('',#19060,#19059,#11256,.T.);
#23875=EDGE_CURVE('',#19061,#19060,#11257,.T.);
#23876=EDGE_CURVE('',#19062,#19061,#927,.T.);
#23877=EDGE_CURVE('',#19063,#19062,#11258,.T.);
#23878=EDGE_CURVE('',#18980,#19063,#928,.T.);
#23879=EDGE_CURVE('',#18978,#19064,#11259,.T.);
#23880=EDGE_CURVE('',#18979,#19065,#11260,.T.);
#23881=EDGE_CURVE('',#19064,#19065,#11261,.T.);
#23882=EDGE_CURVE('',#19063,#19046,#11262,.T.);
#23883=EDGE_CURVE('',#19065,#18982,#11263,.T.);
#23884=EDGE_CURVE('',#18983,#19022,#11264,.T.);
#23885=EDGE_CURVE('',#19023,#19042,#11265,.T.);
#23886=EDGE_CURVE('',#19043,#19002,#11266,.T.);
#23887=EDGE_CURVE('',#19003,#19066,#11267,.T.);
#23888=EDGE_CURVE('',#19067,#19066,#11268,.T.);
#23889=EDGE_CURVE('',#18982,#19067,#11269,.T.);
#23890=EDGE_CURVE('',#19004,#18992,#11270,.T.);
#23891=EDGE_CURVE('',#19005,#18991,#11271,.T.);
#23892=EDGE_CURVE('',#19006,#18990,#11272,.T.);
#23893=EDGE_CURVE('',#19007,#18989,#11273,.T.);
#23894=EDGE_CURVE('',#19008,#18988,#11274,.T.);
#23895=EDGE_CURVE('',#19009,#18987,#11275,.T.);
#23896=EDGE_CURVE('',#19010,#18986,#11276,.T.);
#23897=EDGE_CURVE('',#19011,#18985,#11277,.T.);
#23898=EDGE_CURVE('',#18993,#19021,#11278,.T.);
#23899=EDGE_CURVE('',#18994,#19020,#11279,.T.);
#23900=EDGE_CURVE('',#18995,#19019,#11280,.T.);
#23901=EDGE_CURVE('',#18996,#19018,#11281,.T.);
#23902=EDGE_CURVE('',#18997,#19017,#11282,.T.);
#23903=EDGE_CURVE('',#18998,#19016,#11283,.T.);
#23904=EDGE_CURVE('',#18999,#19015,#11284,.T.);
#23905=EDGE_CURVE('',#19000,#19014,#11285,.T.);
#23906=EDGE_CURVE('',#19033,#19032,#11286,.T.);
#23907=EDGE_CURVE('',#19034,#19031,#11287,.T.);
#23908=EDGE_CURVE('',#19035,#19030,#11288,.T.);
#23909=EDGE_CURVE('',#19036,#19029,#11289,.T.);
#23910=EDGE_CURVE('',#19037,#19028,#11290,.T.);
#23911=EDGE_CURVE('',#19038,#19027,#11291,.T.);
#23912=EDGE_CURVE('',#19039,#19026,#11292,.T.);
#23913=EDGE_CURVE('',#19040,#19025,#11293,.T.);
#23914=EDGE_CURVE('',#19044,#19068,#11294,.T.);
#23915=EDGE_CURVE('',#19066,#19068,#11295,.T.);
#23916=EDGE_CURVE('',#19045,#19064,#11296,.T.);
#23917=EDGE_CURVE('',#19065,#19069,#11297,.T.);
#23918=EDGE_CURVE('',#19068,#19069,#11298,.T.);
#23919=EDGE_CURVE('',#19055,#19054,#11299,.T.);
#23920=EDGE_CURVE('',#19056,#19053,#11300,.T.);
#23921=EDGE_CURVE('',#19057,#19052,#11301,.T.);
#23922=EDGE_CURVE('',#19058,#19051,#11302,.T.);
#23923=EDGE_CURVE('',#19059,#19050,#11303,.T.);
#23924=EDGE_CURVE('',#19060,#19049,#11304,.T.);
#23925=EDGE_CURVE('',#19061,#19048,#11305,.T.);
#23926=EDGE_CURVE('',#19062,#19047,#11306,.T.);
#23927=EDGE_CURVE('',#19069,#19067,#11307,.T.);
#23928=EDGE_CURVE('',#19070,#19071,#929,.T.);
#23929=EDGE_CURVE('',#19071,#19070,#930,.T.);
#23930=EDGE_CURVE('',#19072,#19073,#931,.T.);
#23931=EDGE_CURVE('',#19073,#19072,#932,.T.);
#23932=EDGE_CURVE('',#19073,#19071,#11308,.T.);
#23933=EDGE_CURVE('',#19074,#19075,#11309,.T.);
#23934=EDGE_CURVE('',#19075,#19076,#933,.T.);
#23935=EDGE_CURVE('',#19076,#19077,#11310,.T.);
#23936=EDGE_CURVE('',#19077,#19078,#934,.T.);
#23937=EDGE_CURVE('',#19078,#19079,#11311,.T.);
#23938=EDGE_CURVE('',#19079,#19080,#935,.T.);
#23939=EDGE_CURVE('',#19080,#19081,#11312,.T.);
#23940=EDGE_CURVE('',#19074,#19081,#936,.T.);
#23941=EDGE_CURVE('',#19082,#19083,#937,.T.);
#23942=EDGE_CURVE('',#19083,#19082,#938,.T.);
#23943=EDGE_CURVE('',#19084,#19085,#939,.T.);
#23944=EDGE_CURVE('',#19085,#19084,#940,.T.);
#23945=EDGE_CURVE('',#19086,#19087,#941,.T.);
#23946=EDGE_CURVE('',#19087,#19086,#942,.T.);
#23947=EDGE_CURVE('',#19088,#19089,#943,.T.);
#23948=EDGE_CURVE('',#19089,#19088,#944,.T.);
#23949=EDGE_CURVE('',#19090,#19091,#11313,.T.);
#23950=EDGE_CURVE('',#19091,#19075,#11314,.T.);
#23951=EDGE_CURVE('',#19090,#19074,#11315,.T.);
#23952=EDGE_CURVE('',#19092,#19081,#11316,.T.);
#23953=EDGE_CURVE('',#19090,#19092,#945,.T.);
#23954=EDGE_CURVE('',#19091,#19093,#946,.T.);
#23955=EDGE_CURVE('',#19093,#19076,#11317,.T.);
#23956=EDGE_CURVE('',#19094,#19092,#11318,.T.);
#23957=EDGE_CURVE('',#19094,#19080,#11319,.T.);
#23958=EDGE_CURVE('',#19093,#19095,#11320,.T.);
#23959=EDGE_CURVE('',#19095,#19077,#11321,.T.);
#23960=EDGE_CURVE('',#19096,#19094,#947,.T.);
#23961=EDGE_CURVE('',#19096,#19079,#11322,.T.);
#23962=EDGE_CURVE('',#19095,#19097,#948,.T.);
#23963=EDGE_CURVE('',#19097,#19078,#11323,.T.);
#23964=EDGE_CURVE('',#19097,#19096,#11324,.T.);
#23965=EDGE_CURVE('',#19083,#19098,#11325,.T.);
#23966=EDGE_CURVE('',#19099,#19098,#949,.T.);
#23967=EDGE_CURVE('',#19098,#19099,#950,.T.);
#23968=EDGE_CURVE('',#19085,#19100,#11326,.T.);
#23969=EDGE_CURVE('',#19101,#19100,#951,.T.);
#23970=EDGE_CURVE('',#19100,#19101,#952,.T.);
#23971=EDGE_CURVE('',#19087,#19102,#11327,.T.);
#23972=EDGE_CURVE('',#19103,#19102,#953,.T.);
#23973=EDGE_CURVE('',#19102,#19103,#954,.T.);
#23974=EDGE_CURVE('',#19089,#19104,#11328,.T.);
#23975=EDGE_CURVE('',#19105,#19104,#955,.T.);
#23976=EDGE_CURVE('',#19104,#19105,#956,.T.);
#23977=EDGE_CURVE('',#19106,#19107,#11329,.T.);
#23978=EDGE_CURVE('',#19107,#19091,#11330,.T.);
#23979=EDGE_CURVE('',#19106,#19090,#11331,.T.);
#23980=EDGE_CURVE('',#19108,#19092,#11332,.T.);
#23981=EDGE_CURVE('',#19106,#19108,#957,.T.);
#23982=EDGE_CURVE('',#19107,#19109,#958,.T.);
#23983=EDGE_CURVE('',#19109,#19093,#11333,.T.);
#23984=EDGE_CURVE('',#19110,#19111,#11334,.T.);
#23985=EDGE_CURVE('',#19112,#19111,#11335,.T.);
#23986=EDGE_CURVE('',#19113,#19112,#11336,.T.);
#23987=EDGE_CURVE('',#19113,#19114,#11337,.T.);
#23988=EDGE_CURVE('',#19114,#19115,#11338,.T.);
#23989=EDGE_CURVE('',#19116,#19115,#11339,.T.);
#23990=EDGE_CURVE('',#19117,#19116,#11340,.T.);
#23991=EDGE_CURVE('',#19117,#19118,#11341,.T.);
#23992=EDGE_CURVE('',#19118,#19108,#11342,.T.);
#23993=EDGE_CURVE('',#19110,#19094,#11343,.T.);
#23994=EDGE_CURVE('',#19109,#19119,#11344,.T.);
#23995=EDGE_CURVE('',#19120,#19119,#11345,.T.);
#23996=EDGE_CURVE('',#19120,#19121,#11346,.T.);
#23997=EDGE_CURVE('',#19121,#19122,#11347,.T.);
#23998=EDGE_CURVE('',#19122,#19123,#11348,.T.);
#23999=EDGE_CURVE('',#19124,#19123,#11349,.T.);
#24000=EDGE_CURVE('',#19124,#19125,#11350,.T.);
#24001=EDGE_CURVE('',#19125,#19126,#11351,.T.);
#24002=EDGE_CURVE('',#19126,#19127,#11352,.T.);
#24003=EDGE_CURVE('',#19127,#19095,#11353,.T.);
#24004=EDGE_CURVE('',#19128,#19110,#959,.T.);
#24005=EDGE_CURVE('',#19128,#19096,#11354,.T.);
#24006=EDGE_CURVE('',#19127,#19129,#960,.T.);
#24007=EDGE_CURVE('',#19129,#19097,#11355,.T.);
#24008=EDGE_CURVE('',#19129,#19128,#11356,.T.);
#24009=EDGE_CURVE('',#19100,#19130,#961,.T.);
#24010=EDGE_CURVE('',#19131,#19130,#962,.T.);
#24011=EDGE_CURVE('',#19130,#19131,#963,.T.);
#24012=EDGE_CURVE('',#19115,#19118,#11357,.T.);
#24013=EDGE_CURVE('',#19111,#19114,#11358,.T.);
#24014=EDGE_CURVE('',#19123,#19126,#11359,.T.);
#24015=EDGE_CURVE('',#19119,#19122,#11360,.T.);
#24016=EDGE_CURVE('',#19132,#19133,#11361,.T.);
#24017=EDGE_CURVE('',#19132,#19134,#964,.T.);
#24018=EDGE_CURVE('',#19134,#19135,#11362,.T.);
#24019=EDGE_CURVE('',#19135,#19136,#965,.T.);
#24020=EDGE_CURVE('',#19136,#19112,#11363,.T.);
#24021=EDGE_CURVE('',#19111,#19137,#11364,.T.);
#24022=EDGE_CURVE('',#19137,#19138,#966,.T.);
#24023=EDGE_CURVE('',#19139,#19138,#11365,.T.);
#24024=EDGE_CURVE('',#19139,#19140,#967,.T.);
#24025=EDGE_CURVE('',#19141,#19140,#11366,.T.);
#24026=EDGE_CURVE('',#19141,#19142,#968,.T.);
#24027=EDGE_CURVE('',#19142,#19143,#11367,.T.);
#24028=EDGE_CURVE('',#19143,#19144,#11368,.T.);
#24029=EDGE_CURVE('',#19144,#19145,#11369,.T.);
#24030=EDGE_CURVE('',#19145,#19146,#969,.T.);
#24031=EDGE_CURVE('',#19147,#19146,#11370,.T.);
#24032=EDGE_CURVE('',#19133,#19147,#970,.T.);
#24033=EDGE_CURVE('',#19148,#19113,#11371,.T.);
#24034=EDGE_CURVE('',#19148,#19136,#11372,.T.);
#24035=EDGE_CURVE('',#19149,#19150,#11373,.T.);
#24036=EDGE_CURVE('',#19150,#19151,#971,.T.);
#24037=EDGE_CURVE('',#19151,#19152,#11374,.T.);
#24038=EDGE_CURVE('',#19153,#19152,#972,.T.);
#24039=EDGE_CURVE('',#19154,#19153,#11375,.T.);
#24040=EDGE_CURVE('',#19155,#19154,#11376,.T.);
#24041=EDGE_CURVE('',#19156,#19155,#11377,.T.);
#24042=EDGE_CURVE('',#19157,#19156,#973,.T.);
#24043=EDGE_CURVE('',#19157,#19158,#11378,.T.);
#24044=EDGE_CURVE('',#19159,#19158,#974,.T.);
#24045=EDGE_CURVE('',#19159,#19160,#11379,.T.);
#24046=EDGE_CURVE('',#19161,#19160,#975,.T.);
#24047=EDGE_CURVE('',#19114,#19161,#11380,.T.);
#24048=EDGE_CURVE('',#19162,#19148,#976,.T.);
#24049=EDGE_CURVE('',#19163,#19162,#11381,.T.);
#24050=EDGE_CURVE('',#19149,#19163,#977,.T.);
#24051=EDGE_CURVE('',#19164,#19165,#11382,.T.);
#24052=EDGE_CURVE('',#19165,#19166,#978,.T.);
#24053=EDGE_CURVE('',#19166,#19167,#11383,.T.);
#24054=EDGE_CURVE('',#19168,#19167,#979,.T.);
#24055=EDGE_CURVE('',#19169,#19168,#11384,.T.);
#24056=EDGE_CURVE('',#19170,#19169,#11385,.T.);
#24057=EDGE_CURVE('',#19171,#19170,#11386,.T.);
#24058=EDGE_CURVE('',#19172,#19171,#980,.T.);
#24059=EDGE_CURVE('',#19172,#19173,#11387,.T.);
#24060=EDGE_CURVE('',#19174,#19173,#981,.T.);
#24061=EDGE_CURVE('',#19174,#19175,#11388,.T.);
#24062=EDGE_CURVE('',#19176,#19175,#982,.T.);
#24063=EDGE_CURVE('',#19118,#19176,#11389,.T.);
#24064=EDGE_CURVE('',#19177,#19117,#11390,.T.);
#24065=EDGE_CURVE('',#19178,#19177,#983,.T.);
#24066=EDGE_CURVE('',#19179,#19178,#11391,.T.);
#24067=EDGE_CURVE('',#19164,#19179,#984,.T.);
#24068=EDGE_CURVE('',#19180,#19181,#11392,.T.);
#24069=EDGE_CURVE('',#19180,#19182,#985,.T.);
#24070=EDGE_CURVE('',#19182,#19183,#11393,.T.);
#24071=EDGE_CURVE('',#19183,#19184,#986,.T.);
#24072=EDGE_CURVE('',#19184,#19116,#11394,.T.);
#24073=EDGE_CURVE('',#19115,#19185,#11395,.T.);
#24074=EDGE_CURVE('',#19185,#19186,#987,.T.);
#24075=EDGE_CURVE('',#19187,#19186,#11396,.T.);
#24076=EDGE_CURVE('',#19187,#19188,#988,.T.);
#24077=EDGE_CURVE('',#19189,#19188,#11397,.T.);
#24078=EDGE_CURVE('',#19189,#19190,#989,.T.);
#24079=EDGE_CURVE('',#19190,#19191,#11398,.T.);
#24080=EDGE_CURVE('',#19191,#19192,#11399,.T.);
#24081=EDGE_CURVE('',#19192,#19193,#11400,.T.);
#24082=EDGE_CURVE('',#19193,#19194,#990,.T.);
#24083=EDGE_CURVE('',#19195,#19194,#11401,.T.);
#24084=EDGE_CURVE('',#19181,#19195,#991,.T.);
#24085=EDGE_CURVE('',#19177,#19184,#11402,.T.);
#24086=EDGE_CURVE('',#19196,#19197,#11403,.T.);
#24087=EDGE_CURVE('',#19196,#19198,#992,.T.);
#24088=EDGE_CURVE('',#19198,#19199,#11404,.T.);
#24089=EDGE_CURVE('',#19199,#19200,#993,.T.);
#24090=EDGE_CURVE('',#19200,#19120,#11405,.T.);
#24091=EDGE_CURVE('',#19119,#19201,#11406,.T.);
#24092=EDGE_CURVE('',#19201,#19202,#994,.T.);
#24093=EDGE_CURVE('',#19203,#19202,#11407,.T.);
#24094=EDGE_CURVE('',#19203,#19204,#995,.T.);
#24095=EDGE_CURVE('',#19205,#19204,#11408,.T.);
#24096=EDGE_CURVE('',#19205,#19206,#996,.T.);
#24097=EDGE_CURVE('',#19206,#19207,#11409,.T.);
#24098=EDGE_CURVE('',#19207,#19208,#11410,.T.);
#24099=EDGE_CURVE('',#19208,#19209,#11411,.T.);
#24100=EDGE_CURVE('',#19209,#19210,#997,.T.);
#24101=EDGE_CURVE('',#19211,#19210,#11412,.T.);
#24102=EDGE_CURVE('',#19197,#19211,#998,.T.);
#24103=EDGE_CURVE('',#19200,#19212,#11413,.T.);
#24104=EDGE_CURVE('',#19212,#19121,#11414,.T.);
#24105=EDGE_CURVE('',#19213,#19214,#11415,.T.);
#24106=EDGE_CURVE('',#19214,#19215,#999,.T.);
#24107=EDGE_CURVE('',#19215,#19216,#11416,.T.);
#24108=EDGE_CURVE('',#19217,#19216,#1000,.T.);
#24109=EDGE_CURVE('',#19218,#19217,#11417,.T.);
#24110=EDGE_CURVE('',#19219,#19218,#11418,.T.);
#24111=EDGE_CURVE('',#19220,#19219,#11419,.T.);
#24112=EDGE_CURVE('',#19221,#19220,#1001,.T.);
#24113=EDGE_CURVE('',#19221,#19222,#11420,.T.);
#24114=EDGE_CURVE('',#19223,#19222,#1002,.T.);
#24115=EDGE_CURVE('',#19223,#19224,#11421,.T.);
#24116=EDGE_CURVE('',#19225,#19224,#1003,.T.);
#24117=EDGE_CURVE('',#19122,#19225,#11422,.T.);
#24118=EDGE_CURVE('',#19226,#19212,#1004,.T.);
#24119=EDGE_CURVE('',#19227,#19226,#11423,.T.);
#24120=EDGE_CURVE('',#19213,#19227,#1005,.T.);
#24121=EDGE_CURVE('',#19228,#19229,#11424,.T.);
#24122=EDGE_CURVE('',#19229,#19230,#1006,.T.);
#24123=EDGE_CURVE('',#19230,#19231,#11425,.T.);
#24124=EDGE_CURVE('',#19232,#19231,#1007,.T.);
#24125=EDGE_CURVE('',#19233,#19232,#11426,.T.);
#24126=EDGE_CURVE('',#19234,#19233,#11427,.T.);
#24127=EDGE_CURVE('',#19235,#19234,#11428,.T.);
#24128=EDGE_CURVE('',#19236,#19235,#1008,.T.);
#24129=EDGE_CURVE('',#19236,#19237,#11429,.T.);
#24130=EDGE_CURVE('',#19238,#19237,#1009,.T.);
#24131=EDGE_CURVE('',#19238,#19239,#11430,.T.);
#24132=EDGE_CURVE('',#19240,#19239,#1010,.T.);
#24133=EDGE_CURVE('',#19126,#19240,#11431,.T.);
#24134=EDGE_CURVE('',#19241,#19125,#11432,.T.);
#24135=EDGE_CURVE('',#19242,#19241,#1011,.T.);
#24136=EDGE_CURVE('',#19243,#19242,#11433,.T.);
#24137=EDGE_CURVE('',#19228,#19243,#1012,.T.);
#24138=EDGE_CURVE('',#19244,#19245,#11434,.T.);
#24139=EDGE_CURVE('',#19244,#19246,#1013,.T.);
#24140=EDGE_CURVE('',#19246,#19247,#11435,.T.);
#24141=EDGE_CURVE('',#19247,#19248,#1014,.T.);
#24142=EDGE_CURVE('',#19248,#19124,#11436,.T.);
#24143=EDGE_CURVE('',#19123,#19249,#11437,.T.);
#24144=EDGE_CURVE('',#19249,#19250,#1015,.T.);
#24145=EDGE_CURVE('',#19251,#19250,#11438,.T.);
#24146=EDGE_CURVE('',#19251,#19252,#1016,.T.);
#24147=EDGE_CURVE('',#19253,#19252,#11439,.T.);
#24148=EDGE_CURVE('',#19253,#19254,#1017,.T.);
#24149=EDGE_CURVE('',#19254,#19255,#11440,.T.);
#24150=EDGE_CURVE('',#19255,#19256,#11441,.T.);
#24151=EDGE_CURVE('',#19256,#19257,#11442,.T.);
#24152=EDGE_CURVE('',#19257,#19258,#1018,.T.);
#24153=EDGE_CURVE('',#19259,#19258,#11443,.T.);
#24154=EDGE_CURVE('',#19245,#19259,#1019,.T.);
#24155=EDGE_CURVE('',#19248,#19241,#11444,.T.);
#24156=EDGE_CURVE('',#19176,#19185,#11445,.T.);
#24157=EDGE_CURVE('',#19201,#19225,#11446,.T.);
#24158=EDGE_CURVE('',#19161,#19137,#11447,.T.);
#24159=EDGE_CURVE('',#19249,#19240,#11448,.T.);
#24160=EDGE_CURVE('',#19149,#19132,#11449,.T.);
#24161=EDGE_CURVE('',#19150,#19133,#11450,.T.);
#24162=EDGE_CURVE('',#19163,#19134,#11451,.T.);
#24163=EDGE_CURVE('',#19151,#19147,#11452,.T.);
#24164=EDGE_CURVE('',#19162,#19135,#11453,.T.);
#24165=EDGE_CURVE('',#19152,#19146,#11454,.T.);
#24166=EDGE_CURVE('',#19153,#19145,#11455,.T.);
#24167=EDGE_CURVE('',#19154,#19144,#11456,.T.);
#24168=EDGE_CURVE('',#19155,#19143,#11457,.T.);
#24169=EDGE_CURVE('',#19156,#19142,#11458,.T.);
#24170=EDGE_CURVE('',#19160,#19138,#11459,.T.);
#24171=EDGE_CURVE('',#19157,#19141,#11460,.T.);
#24172=EDGE_CURVE('',#19159,#19139,#11461,.T.);
#24173=EDGE_CURVE('',#19158,#19140,#11462,.T.);
#24174=EDGE_CURVE('',#19164,#19180,#11463,.T.);
#24175=EDGE_CURVE('',#19165,#19181,#11464,.T.);
#24176=EDGE_CURVE('',#19179,#19182,#11465,.T.);
#24177=EDGE_CURVE('',#19166,#19195,#11466,.T.);
#24178=EDGE_CURVE('',#19178,#19183,#11467,.T.);
#24179=EDGE_CURVE('',#19167,#19194,#11468,.T.);
#24180=EDGE_CURVE('',#19168,#19193,#11469,.T.);
#24181=EDGE_CURVE('',#19169,#19192,#11470,.T.);
#24182=EDGE_CURVE('',#19170,#19191,#11471,.T.);
#24183=EDGE_CURVE('',#19171,#19190,#11472,.T.);
#24184=EDGE_CURVE('',#19175,#19186,#11473,.T.);
#24185=EDGE_CURVE('',#19172,#19189,#11474,.T.);
#24186=EDGE_CURVE('',#19174,#19187,#11475,.T.);
#24187=EDGE_CURVE('',#19173,#19188,#11476,.T.);
#24188=EDGE_CURVE('',#19197,#19214,#11477,.T.);
#24189=EDGE_CURVE('',#19196,#19213,#11478,.T.);
#24190=EDGE_CURVE('',#19198,#19227,#11479,.T.);
#24191=EDGE_CURVE('',#19211,#19215,#11480,.T.);
#24192=EDGE_CURVE('',#19199,#19226,#11481,.T.);
#24193=EDGE_CURVE('',#19210,#19216,#11482,.T.);
#24194=EDGE_CURVE('',#19209,#19217,#11483,.T.);
#24195=EDGE_CURVE('',#19208,#19218,#11484,.T.);
#24196=EDGE_CURVE('',#19207,#19219,#11485,.T.);
#24197=EDGE_CURVE('',#19206,#19220,#11486,.T.);
#24198=EDGE_CURVE('',#19202,#19224,#11487,.T.);
#24199=EDGE_CURVE('',#19205,#19221,#11488,.T.);
#24200=EDGE_CURVE('',#19203,#19223,#11489,.T.);
#24201=EDGE_CURVE('',#19204,#19222,#11490,.T.);
#24202=EDGE_CURVE('',#19245,#19229,#11491,.T.);
#24203=EDGE_CURVE('',#19244,#19228,#11492,.T.);
#24204=EDGE_CURVE('',#19246,#19243,#11493,.T.);
#24205=EDGE_CURVE('',#19259,#19230,#11494,.T.);
#24206=EDGE_CURVE('',#19247,#19242,#11495,.T.);
#24207=EDGE_CURVE('',#19258,#19231,#11496,.T.);
#24208=EDGE_CURVE('',#19257,#19232,#11497,.T.);
#24209=EDGE_CURVE('',#19256,#19233,#11498,.T.);
#24210=EDGE_CURVE('',#19255,#19234,#11499,.T.);
#24211=EDGE_CURVE('',#19254,#19235,#11500,.T.);
#24212=EDGE_CURVE('',#19250,#19239,#11501,.T.);
#24213=EDGE_CURVE('',#19253,#19236,#11502,.T.);
#24214=EDGE_CURVE('',#19251,#19238,#11503,.T.);
#24215=EDGE_CURVE('',#19252,#19237,#11504,.T.);
#24216=EDGE_CURVE('',#19260,#19261,#11505,.T.);
#24217=EDGE_CURVE('',#19261,#19262,#11506,.T.);
#24218=EDGE_CURVE('',#19263,#19262,#11507,.T.);
#24219=EDGE_CURVE('',#19260,#19263,#11508,.T.);
#24220=EDGE_CURVE('',#19264,#19265,#11509,.T.);
#24221=EDGE_CURVE('',#19266,#19265,#1020,.T.);
#24222=EDGE_CURVE('',#19267,#19266,#11510,.T.);
#24223=EDGE_CURVE('',#19267,#19268,#11511,.T.);
#24224=EDGE_CURVE('',#19268,#19269,#11512,.T.);
#24225=EDGE_CURVE('',#19269,#19270,#1021,.T.);
#24226=EDGE_CURVE('',#19271,#19270,#11513,.T.);
#24227=EDGE_CURVE('',#19261,#19271,#1022,.T.);
#24228=EDGE_CURVE('',#19260,#19264,#1023,.T.);
#24229=EDGE_CURVE('',#19263,#19272,#1024,.T.);
#24230=EDGE_CURVE('',#19264,#19272,#11514,.T.);
#24231=EDGE_CURVE('',#19271,#19273,#11515,.T.);
#24232=EDGE_CURVE('',#19262,#19273,#1025,.T.);
#24233=EDGE_CURVE('',#19272,#19274,#11516,.T.);
#24234=EDGE_CURVE('',#19273,#19275,#11517,.T.);
#24235=EDGE_CURVE('',#19276,#19275,#1026,.T.);
#24236=EDGE_CURVE('',#19277,#19276,#11518,.T.);
#24237=EDGE_CURVE('',#19278,#19277,#11519,.T.);
#24238=EDGE_CURVE('',#19278,#19279,#11520,.T.);
#24239=EDGE_CURVE('',#19279,#19274,#1027,.T.);
#24240=EDGE_CURVE('',#19265,#19274,#11521,.T.);
#24241=EDGE_CURVE('',#19266,#19279,#11522,.T.);
#24242=EDGE_CURVE('',#19266,#19280,#11523,.T.);
#24243=EDGE_CURVE('',#19280,#19281,#11524,.T.);
#24244=EDGE_CURVE('',#19281,#19282,#11525,.T.);
#24245=EDGE_CURVE('',#19283,#19282,#11526,.T.);
#24246=EDGE_CURVE('',#19269,#19283,#11527,.T.);
#24247=EDGE_CURVE('',#19270,#19275,#11528,.T.);
#24248=EDGE_CURVE('',#19269,#19276,#11529,.T.);
#24249=EDGE_CURVE('',#19276,#19284,#11530,.T.);
#24250=EDGE_CURVE('',#19284,#19285,#11531,.T.);
#24251=EDGE_CURVE('',#19286,#19285,#11532,.T.);
#24252=EDGE_CURVE('',#19287,#19286,#11533,.T.);
#24253=EDGE_CURVE('',#19279,#19287,#11534,.T.);
#24254=EDGE_CURVE('',#19280,#19287,#11535,.T.);
#24255=EDGE_CURVE('',#19288,#19289,#11536,.T.);
#24256=EDGE_CURVE('',#19290,#19289,#1028,.T.);
#24257=EDGE_CURVE('',#19290,#19291,#11537,.T.);
#24258=EDGE_CURVE('',#19291,#19292,#1029,.T.);
#24259=EDGE_CURVE('',#19293,#19292,#11538,.T.);
#24260=EDGE_CURVE('',#19283,#19293,#1030,.T.);
#24261=EDGE_CURVE('',#19280,#19288,#1031,.T.);
#24262=EDGE_CURVE('',#19283,#19294,#11539,.T.);
#24263=EDGE_CURVE('',#19295,#19294,#11540,.T.);
#24264=EDGE_CURVE('',#19269,#19295,#11541,.T.);
#24265=EDGE_CURVE('',#19295,#19296,#11542,.T.);
#24266=EDGE_CURVE('',#19276,#19296,#11543,.T.);
#24267=EDGE_CURVE('',#19297,#19298,#11544,.T.);
#24268=EDGE_CURVE('',#19287,#19297,#1032,.T.);
#24269=EDGE_CURVE('',#19284,#19299,#1033,.T.);
#24270=EDGE_CURVE('',#19299,#19300,#11545,.T.);
#24271=EDGE_CURVE('',#19301,#19300,#1034,.T.);
#24272=EDGE_CURVE('',#19302,#19301,#11546,.T.);
#24273=EDGE_CURVE('',#19302,#19298,#1035,.T.);
#24274=EDGE_CURVE('',#19296,#19303,#11547,.T.);
#24275=EDGE_CURVE('',#19284,#19303,#11548,.T.);
#24276=EDGE_CURVE('',#19288,#19297,#11549,.T.);
#24277=EDGE_CURVE('',#19289,#19298,#11550,.T.);
#24278=EDGE_CURVE('',#19290,#19302,#11551,.T.);
#24279=EDGE_CURVE('',#19291,#19301,#11552,.T.);
#24280=EDGE_CURVE('',#19292,#19300,#11553,.T.);
#24281=EDGE_CURVE('',#19293,#19299,#11554,.T.);
#24282=EDGE_CURVE('',#19283,#19284,#11555,.T.);
#24283=EDGE_CURVE('',#19294,#19303,#11556,.T.);
#24284=EDGE_CURVE('',#19304,#19305,#11557,.T.);
#24285=EDGE_CURVE('',#19304,#19306,#11558,.T.);
#24286=EDGE_CURVE('',#19306,#19307,#11559,.T.);
#24287=EDGE_CURVE('',#19305,#19307,#11560,.T.);
#24288=EDGE_CURVE('',#19308,#19309,#11561,.T.);
#24289=EDGE_CURVE('',#19308,#19304,#11562,.T.);
#24290=EDGE_CURVE('',#19309,#19305,#11563,.T.);
#24291=EDGE_CURVE('',#19306,#19310,#11564,.T.);
#24292=EDGE_CURVE('',#19310,#19311,#11565,.T.);
#24293=EDGE_CURVE('',#19307,#19311,#11566,.T.);
#24294=EDGE_CURVE('',#19312,#19308,#11567,.T.);
#24295=EDGE_CURVE('',#19313,#19312,#11568,.T.);
#24296=EDGE_CURVE('',#19314,#19313,#11569,.T.);
#24297=EDGE_CURVE('',#19315,#19314,#11570,.T.);
#24298=EDGE_CURVE('',#19316,#19315,#11571,.T.);
#24299=EDGE_CURVE('',#19317,#19316,#11572,.T.);
#24300=EDGE_CURVE('',#19318,#19317,#11573,.T.);
#24301=EDGE_CURVE('',#19319,#19318,#11574,.T.);
#24302=EDGE_CURVE('',#19320,#19319,#11575,.T.);
#24303=EDGE_CURVE('',#19321,#19320,#11576,.T.);
#24304=EDGE_CURVE('',#19322,#19321,#11577,.T.);
#24305=EDGE_CURVE('',#19323,#19322,#11578,.T.);
#24306=EDGE_CURVE('',#19324,#19323,#11579,.T.);
#24307=EDGE_CURVE('',#19325,#19324,#11580,.T.);
#24308=EDGE_CURVE('',#19326,#19325,#11581,.T.);
#24309=EDGE_CURVE('',#19327,#19326,#11582,.T.);
#24310=EDGE_CURVE('',#19328,#19327,#11583,.T.);
#24311=EDGE_CURVE('',#19329,#19328,#11584,.T.);
#24312=EDGE_CURVE('',#19330,#19329,#11585,.T.);
#24313=EDGE_CURVE('',#19331,#19330,#11586,.T.);
#24314=EDGE_CURVE('',#19332,#19331,#11587,.T.);
#24315=EDGE_CURVE('',#19333,#19332,#11588,.T.);
#24316=EDGE_CURVE('',#19334,#19333,#11589,.T.);
#24317=EDGE_CURVE('',#19335,#19334,#11590,.T.);
#24318=EDGE_CURVE('',#19336,#19335,#11591,.T.);
#24319=EDGE_CURVE('',#19337,#19336,#11592,.T.);
#24320=EDGE_CURVE('',#19338,#19337,#11593,.T.);
#24321=EDGE_CURVE('',#19339,#19338,#11594,.T.);
#24322=EDGE_CURVE('',#19310,#19339,#11595,.T.);
#24323=EDGE_CURVE('',#19340,#19341,#11596,.T.);
#24324=EDGE_CURVE('',#19341,#19342,#11597,.T.);
#24325=EDGE_CURVE('',#19343,#19342,#11598,.T.);
#24326=EDGE_CURVE('',#19340,#19343,#11599,.T.);
#24327=EDGE_CURVE('',#19344,#19345,#11600,.T.);
#24328=EDGE_CURVE('',#19346,#19345,#11601,.T.);
#24329=EDGE_CURVE('',#19347,#19346,#11602,.T.);
#24330=EDGE_CURVE('',#19347,#19344,#11603,.T.);
#24331=EDGE_CURVE('',#19348,#19349,#11604,.T.);
#24332=EDGE_CURVE('',#19350,#19349,#11605,.T.);
#24333=EDGE_CURVE('',#19351,#19350,#11606,.T.);
#24334=EDGE_CURVE('',#19351,#19348,#11607,.T.);
#24335=EDGE_CURVE('',#19352,#19353,#11608,.T.);
#24336=EDGE_CURVE('',#19354,#19353,#11609,.T.);
#24337=EDGE_CURVE('',#19355,#19354,#11610,.T.);
#24338=EDGE_CURVE('',#19355,#19352,#11611,.T.);
#24339=EDGE_CURVE('',#19356,#19357,#11612,.T.);
#24340=EDGE_CURVE('',#19356,#19358,#11613,.T.);
#24341=EDGE_CURVE('',#19358,#19359,#11614,.T.);
#24342=EDGE_CURVE('',#19357,#19359,#11615,.T.);
#24343=EDGE_CURVE('',#19311,#19360,#11616,.T.);
#24344=EDGE_CURVE('',#19360,#19361,#11617,.T.);
#24345=EDGE_CURVE('',#19361,#19362,#11618,.T.);
#24346=EDGE_CURVE('',#19362,#19363,#11619,.T.);
#24347=EDGE_CURVE('',#19363,#19364,#11620,.T.);
#24348=EDGE_CURVE('',#19364,#19365,#11621,.T.);
#24349=EDGE_CURVE('',#19365,#19366,#11622,.T.);
#24350=EDGE_CURVE('',#19366,#19367,#11623,.T.);
#24351=EDGE_CURVE('',#19367,#19368,#11624,.T.);
#24352=EDGE_CURVE('',#19368,#19369,#11625,.T.);
#24353=EDGE_CURVE('',#19369,#19370,#11626,.T.);
#24354=EDGE_CURVE('',#19370,#19371,#11627,.T.);
#24355=EDGE_CURVE('',#19371,#19372,#11628,.T.);
#24356=EDGE_CURVE('',#19372,#19373,#11629,.T.);
#24357=EDGE_CURVE('',#19373,#19374,#11630,.T.);
#24358=EDGE_CURVE('',#19374,#19375,#11631,.T.);
#24359=EDGE_CURVE('',#19375,#19376,#11632,.T.);
#24360=EDGE_CURVE('',#19376,#19377,#11633,.T.);
#24361=EDGE_CURVE('',#19377,#19378,#11634,.T.);
#24362=EDGE_CURVE('',#19378,#19379,#11635,.T.);
#24363=EDGE_CURVE('',#19379,#19380,#11636,.T.);
#24364=EDGE_CURVE('',#19380,#19381,#11637,.T.);
#24365=EDGE_CURVE('',#19381,#19382,#11638,.T.);
#24366=EDGE_CURVE('',#19382,#19383,#11639,.T.);
#24367=EDGE_CURVE('',#19383,#19384,#11640,.T.);
#24368=EDGE_CURVE('',#19384,#19385,#11641,.T.);
#24369=EDGE_CURVE('',#19385,#19386,#11642,.T.);
#24370=EDGE_CURVE('',#19386,#19387,#11643,.T.);
#24371=EDGE_CURVE('',#19387,#19309,#11644,.T.);
#24372=EDGE_CURVE('',#19388,#19389,#11645,.T.);
#24373=EDGE_CURVE('',#19388,#19390,#11646,.T.);
#24374=EDGE_CURVE('',#19390,#19391,#11647,.T.);
#24375=EDGE_CURVE('',#19389,#19391,#11648,.T.);
#24376=EDGE_CURVE('',#19392,#19393,#11649,.T.);
#24377=EDGE_CURVE('',#19394,#19392,#11650,.T.);
#24378=EDGE_CURVE('',#19394,#19395,#11651,.T.);
#24379=EDGE_CURVE('',#19395,#19393,#11652,.T.);
#24380=EDGE_CURVE('',#19396,#19397,#11653,.T.);
#24381=EDGE_CURVE('',#19398,#19396,#11654,.T.);
#24382=EDGE_CURVE('',#19398,#19399,#11655,.T.);
#24383=EDGE_CURVE('',#19399,#19397,#11656,.T.);
#24384=EDGE_CURVE('',#19400,#19401,#11657,.T.);
#24385=EDGE_CURVE('',#19402,#19400,#11658,.T.);
#24386=EDGE_CURVE('',#19402,#19403,#11659,.T.);
#24387=EDGE_CURVE('',#19403,#19401,#11660,.T.);
#24388=EDGE_CURVE('',#19404,#19405,#11661,.T.);
#24389=EDGE_CURVE('',#19405,#19406,#11662,.T.);
#24390=EDGE_CURVE('',#19407,#19406,#11663,.T.);
#24391=EDGE_CURVE('',#19404,#19407,#11664,.T.);
#24392=EDGE_CURVE('',#19312,#19387,#11665,.T.);
#24393=EDGE_CURVE('',#19339,#19360,#11666,.T.);
#24394=EDGE_CURVE('',#19313,#19386,#11667,.T.);
#24395=EDGE_CURVE('',#19338,#19361,#11668,.T.);
#24396=EDGE_CURVE('',#19314,#19385,#11669,.T.);
#24397=EDGE_CURVE('',#19337,#19362,#11670,.T.);
#24398=EDGE_CURVE('',#19315,#19384,#11671,.T.);
#24399=EDGE_CURVE('',#19336,#19363,#11672,.T.);
#24400=EDGE_CURVE('',#19316,#19383,#11673,.T.);
#24401=EDGE_CURVE('',#19335,#19364,#11674,.T.);
#24402=EDGE_CURVE('',#19317,#19382,#11675,.T.);
#24403=EDGE_CURVE('',#19334,#19365,#11676,.T.);
#24404=EDGE_CURVE('',#19318,#19381,#11677,.T.);
#24405=EDGE_CURVE('',#19333,#19366,#11678,.T.);
#24406=EDGE_CURVE('',#19319,#19380,#11679,.T.);
#24407=EDGE_CURVE('',#19332,#19367,#11680,.T.);
#24408=EDGE_CURVE('',#19320,#19379,#11681,.T.);
#24409=EDGE_CURVE('',#19331,#19368,#11682,.T.);
#24410=EDGE_CURVE('',#19321,#19378,#11683,.T.);
#24411=EDGE_CURVE('',#19330,#19369,#11684,.T.);
#24412=EDGE_CURVE('',#19322,#19377,#11685,.T.);
#24413=EDGE_CURVE('',#19329,#19370,#11686,.T.);
#24414=EDGE_CURVE('',#19323,#19376,#11687,.T.);
#24415=EDGE_CURVE('',#19328,#19371,#11688,.T.);
#24416=EDGE_CURVE('',#19324,#19375,#11689,.T.);
#24417=EDGE_CURVE('',#19327,#19372,#11690,.T.);
#24418=EDGE_CURVE('',#19325,#19374,#11691,.T.);
#24419=EDGE_CURVE('',#19326,#19373,#11692,.T.);
#24420=EDGE_CURVE('',#19408,#19340,#11693,.T.);
#24421=EDGE_CURVE('',#19408,#19409,#11694,.T.);
#24422=EDGE_CURVE('',#19409,#19341,#11695,.T.);
#24423=EDGE_CURVE('',#19410,#19409,#11696,.T.);
#24424=EDGE_CURVE('',#19410,#19342,#11697,.T.);
#24425=EDGE_CURVE('',#19411,#19343,#11698,.T.);
#24426=EDGE_CURVE('',#19411,#19410,#11699,.T.);
#24427=EDGE_CURVE('',#19411,#19408,#11700,.T.);
#24428=EDGE_CURVE('',#19412,#19344,#11701,.T.);
#24429=EDGE_CURVE('',#19413,#19412,#11702,.T.);
#24430=EDGE_CURVE('',#19413,#19345,#11703,.T.);
#24431=EDGE_CURVE('',#19414,#19346,#11704,.T.);
#24432=EDGE_CURVE('',#19414,#19413,#11705,.T.);
#24433=EDGE_CURVE('',#19415,#19347,#11706,.T.);
#24434=EDGE_CURVE('',#19414,#19415,#11707,.T.);
#24435=EDGE_CURVE('',#19415,#19412,#11708,.T.);
#24436=EDGE_CURVE('',#19416,#19348,#11709,.T.);
#24437=EDGE_CURVE('',#19417,#19416,#11710,.T.);
#24438=EDGE_CURVE('',#19417,#19349,#11711,.T.);
#24439=EDGE_CURVE('',#19418,#19350,#11712,.T.);
#24440=EDGE_CURVE('',#19418,#19417,#11713,.T.);
#24441=EDGE_CURVE('',#19419,#19351,#11714,.T.);
#24442=EDGE_CURVE('',#19418,#19419,#11715,.T.);
#24443=EDGE_CURVE('',#19419,#19416,#11716,.T.);
#24444=EDGE_CURVE('',#19420,#19352,#11717,.T.);
#24445=EDGE_CURVE('',#19421,#19420,#11718,.T.);
#24446=EDGE_CURVE('',#19421,#19353,#11719,.T.);
#24447=EDGE_CURVE('',#19422,#19354,#11720,.T.);
#24448=EDGE_CURVE('',#19422,#19421,#11721,.T.);
#24449=EDGE_CURVE('',#19423,#19355,#11722,.T.);
#24450=EDGE_CURVE('',#19422,#19423,#11723,.T.);
#24451=EDGE_CURVE('',#19423,#19420,#11724,.T.);
#24452=EDGE_CURVE('',#19424,#19356,#11725,.T.);
#24453=EDGE_CURVE('',#19425,#19357,#11726,.T.);
#24454=EDGE_CURVE('',#19425,#19424,#11727,.T.);
#24455=EDGE_CURVE('',#19424,#19426,#11728,.T.);
#24456=EDGE_CURVE('',#19426,#19358,#11729,.T.);
#24457=EDGE_CURVE('',#19427,#19426,#11730,.T.);
#24458=EDGE_CURVE('',#19427,#19359,#11731,.T.);
#24459=EDGE_CURVE('',#19425,#19427,#11732,.T.);
#24460=EDGE_CURVE('',#19388,#19428,#11733,.T.);
#24461=EDGE_CURVE('',#19389,#19429,#11734,.T.);
#24462=EDGE_CURVE('',#19428,#19429,#11735,.T.);
#24463=EDGE_CURVE('',#19391,#19430,#11736,.T.);
#24464=EDGE_CURVE('',#19430,#19429,#11737,.T.);
#24465=EDGE_CURVE('',#19390,#19431,#11738,.T.);
#24466=EDGE_CURVE('',#19431,#19430,#11739,.T.);
#24467=EDGE_CURVE('',#19431,#19428,#11740,.T.);
#24468=EDGE_CURVE('',#19392,#19432,#11741,.T.);
#24469=EDGE_CURVE('',#19393,#19433,#11742,.T.);
#24470=EDGE_CURVE('',#19433,#19432,#11743,.T.);
#24471=EDGE_CURVE('',#19395,#19434,#11744,.T.);
#24472=EDGE_CURVE('',#19434,#19433,#11745,.T.);
#24473=EDGE_CURVE('',#19394,#19435,#11746,.T.);
#24474=EDGE_CURVE('',#19434,#19435,#11747,.T.);
#24475=EDGE_CURVE('',#19435,#19432,#11748,.T.);
#24476=EDGE_CURVE('',#19396,#19436,#11749,.T.);
#24477=EDGE_CURVE('',#19397,#19437,#11750,.T.);
#24478=EDGE_CURVE('',#19437,#19436,#11751,.T.);
#24479=EDGE_CURVE('',#19399,#19438,#11752,.T.);
#24480=EDGE_CURVE('',#19438,#19437,#11753,.T.);
#24481=EDGE_CURVE('',#19398,#19439,#11754,.T.);
#24482=EDGE_CURVE('',#19438,#19439,#11755,.T.);
#24483=EDGE_CURVE('',#19439,#19436,#11756,.T.);
#24484=EDGE_CURVE('',#19400,#19440,#11757,.T.);
#24485=EDGE_CURVE('',#19401,#19441,#11758,.T.);
#24486=EDGE_CURVE('',#19441,#19440,#11759,.T.);
#24487=EDGE_CURVE('',#19403,#19442,#11760,.T.);
#24488=EDGE_CURVE('',#19442,#19441,#11761,.T.);
#24489=EDGE_CURVE('',#19402,#19443,#11762,.T.);
#24490=EDGE_CURVE('',#19442,#19443,#11763,.T.);
#24491=EDGE_CURVE('',#19443,#19440,#11764,.T.);
#24492=EDGE_CURVE('',#19404,#19444,#11765,.T.);
#24493=EDGE_CURVE('',#19445,#19444,#11766,.T.);
#24494=EDGE_CURVE('',#19405,#19445,#11767,.T.);
#24495=EDGE_CURVE('',#19407,#19446,#11768,.T.);
#24496=EDGE_CURVE('',#19444,#19446,#11769,.T.);
#24497=EDGE_CURVE('',#19406,#19447,#11770,.T.);
#24498=EDGE_CURVE('',#19447,#19446,#11771,.T.);
#24499=EDGE_CURVE('',#19445,#19447,#11772,.T.);
#24500=EDGE_CURVE('',#19408,#19448,#11773,.T.);
#24501=EDGE_CURVE('',#19448,#19449,#11774,.T.);
#24502=EDGE_CURVE('',#19409,#19449,#11775,.T.);
#24503=EDGE_CURVE('',#19450,#19449,#11776,.T.);
#24504=EDGE_CURVE('',#19410,#19450,#11777,.T.);
#24505=EDGE_CURVE('',#19411,#19451,#11778,.T.);
#24506=EDGE_CURVE('',#19451,#19450,#11779,.T.);
#24507=EDGE_CURVE('',#19451,#19448,#11780,.T.);
#24508=EDGE_CURVE('',#19452,#19453,#11781,.T.);
#24509=EDGE_CURVE('',#19413,#19452,#11782,.T.);
#24510=EDGE_CURVE('',#19412,#19453,#11783,.T.);
#24511=EDGE_CURVE('',#19414,#19454,#11784,.T.);
#24512=EDGE_CURVE('',#19454,#19452,#11785,.T.);
#24513=EDGE_CURVE('',#19454,#19455,#11786,.T.);
#24514=EDGE_CURVE('',#19415,#19455,#11787,.T.);
#24515=EDGE_CURVE('',#19455,#19453,#11788,.T.);
#24516=EDGE_CURVE('',#19456,#19457,#11789,.T.);
#24517=EDGE_CURVE('',#19417,#19456,#11790,.T.);
#24518=EDGE_CURVE('',#19416,#19457,#11791,.T.);
#24519=EDGE_CURVE('',#19418,#19458,#11792,.T.);
#24520=EDGE_CURVE('',#19458,#19456,#11793,.T.);
#24521=EDGE_CURVE('',#19458,#19459,#11794,.T.);
#24522=EDGE_CURVE('',#19419,#19459,#11795,.T.);
#24523=EDGE_CURVE('',#19459,#19457,#11796,.T.);
#24524=EDGE_CURVE('',#19460,#19461,#11797,.T.);
#24525=EDGE_CURVE('',#19421,#19460,#11798,.T.);
#24526=EDGE_CURVE('',#19420,#19461,#11799,.T.);
#24527=EDGE_CURVE('',#19422,#19462,#11800,.T.);
#24528=EDGE_CURVE('',#19462,#19460,#11801,.T.);
#24529=EDGE_CURVE('',#19462,#19463,#11802,.T.);
#24530=EDGE_CURVE('',#19423,#19463,#11803,.T.);
#24531=EDGE_CURVE('',#19463,#19461,#11804,.T.);
#24532=EDGE_CURVE('',#19464,#19465,#11805,.T.);
#24533=EDGE_CURVE('',#19424,#19465,#11806,.T.);
#24534=EDGE_CURVE('',#19425,#19464,#11807,.T.);
#24535=EDGE_CURVE('',#19465,#19466,#11808,.T.);
#24536=EDGE_CURVE('',#19426,#19466,#11809,.T.);
#24537=EDGE_CURVE('',#19467,#19466,#11810,.T.);
#24538=EDGE_CURVE('',#19427,#19467,#11811,.T.);
#24539=EDGE_CURVE('',#19464,#19467,#11812,.T.);
#24540=EDGE_CURVE('',#19428,#19468,#11813,.T.);
#24541=EDGE_CURVE('',#19429,#19469,#11814,.T.);
#24542=EDGE_CURVE('',#19468,#19469,#11815,.T.);
#24543=EDGE_CURVE('',#19470,#19469,#11816,.T.);
#24544=EDGE_CURVE('',#19430,#19470,#11817,.T.);
#24545=EDGE_CURVE('',#19431,#19471,#11818,.T.);
#24546=EDGE_CURVE('',#19471,#19470,#11819,.T.);
#24547=EDGE_CURVE('',#19471,#19468,#11820,.T.);
#24548=EDGE_CURVE('',#19472,#19473,#11821,.T.);
#24549=EDGE_CURVE('',#19432,#19473,#11822,.T.);
#24550=EDGE_CURVE('',#19433,#19472,#11823,.T.);
#24551=EDGE_CURVE('',#19434,#19474,#11824,.T.);
#24552=EDGE_CURVE('',#19474,#19472,#11825,.T.);
#24553=EDGE_CURVE('',#19474,#19475,#11826,.T.);
#24554=EDGE_CURVE('',#19435,#19475,#11827,.T.);
#24555=EDGE_CURVE('',#19475,#19473,#11828,.T.);
#24556=EDGE_CURVE('',#19476,#19477,#11829,.T.);
#24557=EDGE_CURVE('',#19436,#19477,#11830,.T.);
#24558=EDGE_CURVE('',#19437,#19476,#11831,.T.);
#24559=EDGE_CURVE('',#19438,#19478,#11832,.T.);
#24560=EDGE_CURVE('',#19478,#19476,#11833,.T.);
#24561=EDGE_CURVE('',#19478,#19479,#11834,.T.);
#24562=EDGE_CURVE('',#19439,#19479,#11835,.T.);
#24563=EDGE_CURVE('',#19479,#19477,#11836,.T.);
#24564=EDGE_CURVE('',#19480,#19481,#11837,.T.);
#24565=EDGE_CURVE('',#19440,#19481,#11838,.T.);
#24566=EDGE_CURVE('',#19441,#19480,#11839,.T.);
#24567=EDGE_CURVE('',#19442,#19482,#11840,.T.);
#24568=EDGE_CURVE('',#19482,#19480,#11841,.T.);
#24569=EDGE_CURVE('',#19482,#19483,#11842,.T.);
#24570=EDGE_CURVE('',#19443,#19483,#11843,.T.);
#24571=EDGE_CURVE('',#19483,#19481,#11844,.T.);
#24572=EDGE_CURVE('',#19484,#19485,#11845,.T.);
#24573=EDGE_CURVE('',#19445,#19484,#11846,.T.);
#24574=EDGE_CURVE('',#19444,#19485,#11847,.T.);
#24575=EDGE_CURVE('',#19446,#19486,#11848,.T.);
#24576=EDGE_CURVE('',#19485,#19486,#11849,.T.);
#24577=EDGE_CURVE('',#19487,#19486,#11850,.T.);
#24578=EDGE_CURVE('',#19447,#19487,#11851,.T.);
#24579=EDGE_CURVE('',#19484,#19487,#11852,.T.);
#24580=EDGE_CURVE('',#19488,#19489,#11853,.T.);
#24581=EDGE_CURVE('',#19490,#19488,#11854,.T.);
#24582=EDGE_CURVE('',#19491,#19490,#11855,.T.);
#24583=EDGE_CURVE('',#19489,#19491,#11856,.T.);
#24584=EDGE_CURVE('',#19492,#19493,#11857,.T.);
#24585=EDGE_CURVE('',#19494,#19493,#11858,.T.);
#24586=EDGE_CURVE('',#19494,#19488,#11859,.T.);
#24587=EDGE_CURVE('',#19495,#19489,#11860,.T.);
#24588=EDGE_CURVE('',#19492,#19495,#11861,.T.);
#24589=EDGE_CURVE('',#19496,#19497,#11862,.T.);
#24590=EDGE_CURVE('',#19497,#19498,#11863,.T.);
#24591=EDGE_CURVE('',#19498,#19499,#11864,.T.);
#24592=EDGE_CURVE('',#19499,#19500,#11865,.T.);
#24593=EDGE_CURVE('',#19501,#19500,#11866,.T.);
#24594=EDGE_CURVE('',#19490,#19501,#11867,.T.);
#24595=EDGE_CURVE('',#19502,#19488,#11868,.T.);
#24596=EDGE_CURVE('',#19503,#19502,#11869,.T.);
#24597=EDGE_CURVE('',#19496,#19503,#11870,.T.);
#24598=EDGE_CURVE('',#19504,#19491,#11871,.T.);
#24599=EDGE_CURVE('',#19495,#19504,#11872,.T.);
#24600=EDGE_CURVE('',#19505,#19490,#11873,.T.);
#24601=EDGE_CURVE('',#19506,#19505,#11874,.T.);
#24602=EDGE_CURVE('',#19507,#19506,#11875,.T.);
#24603=EDGE_CURVE('',#19504,#19507,#11876,.T.);
#24604=EDGE_CURVE('',#19508,#19492,#11877,.T.);
#24605=EDGE_CURVE('',#19509,#19508,#11878,.T.);
#24606=EDGE_CURVE('',#19493,#19509,#11879,.T.);
#24607=EDGE_CURVE('',#19507,#19492,#11880,.T.);
#24608=EDGE_CURVE('',#19510,#19493,#1036,.T.);
#24609=EDGE_CURVE('',#19511,#19510,#11881,.T.);
#24610=EDGE_CURVE('',#19511,#19494,#1037,.T.);
#24611=EDGE_CURVE('',#19502,#19512,#11882,.T.);
#24612=EDGE_CURVE('',#19512,#19494,#11883,.T.);
#24613=EDGE_CURVE('',#19513,#19514,#11884,.T.);
#24614=EDGE_CURVE('',#19514,#19497,#11885,.T.);
#24615=EDGE_CURVE('',#19502,#19515,#11886,.T.);
#24616=EDGE_CURVE('',#19516,#19515,#11887,.T.);
#24617=EDGE_CURVE('',#19517,#19516,#1038,.T.);
#24618=EDGE_CURVE('',#19518,#19517,#11888,.T.);
#24619=EDGE_CURVE('',#19518,#19513,#1039,.T.);
#24620=EDGE_CURVE('',#19497,#19519,#11889,.T.);
#24621=EDGE_CURVE('',#19519,#19520,#11890,.T.);
#24622=EDGE_CURVE('',#19520,#19521,#11891,.T.);
#24623=EDGE_CURVE('',#19521,#19522,#11892,.T.);
#24624=EDGE_CURVE('',#19522,#19523,#11893,.T.);
#24625=EDGE_CURVE('',#19523,#19524,#11894,.T.);
#24626=EDGE_CURVE('',#19524,#19525,#11895,.T.);
#24627=EDGE_CURVE('',#19525,#19526,#11896,.T.);
#24628=EDGE_CURVE('',#19527,#19526,#11897,.T.);
#24629=EDGE_CURVE('',#19527,#19528,#11898,.T.);
#24630=EDGE_CURVE('',#19528,#19498,#11899,.T.);
#24631=EDGE_CURVE('',#19529,#19530,#11900,.T.);
#24632=EDGE_CURVE('',#19531,#19529,#11901,.T.);
#24633=EDGE_CURVE('',#19530,#19531,#11902,.T.);
#24634=EDGE_CURVE('',#19532,#19533,#11903,.T.);
#24635=EDGE_CURVE('',#19534,#19533,#1040,.T.);
#24636=EDGE_CURVE('',#19534,#19535,#11904,.T.);
#24637=EDGE_CURVE('',#19535,#19536,#1041,.T.);
#24638=EDGE_CURVE('',#19537,#19536,#11905,.T.);
#24639=EDGE_CURVE('',#19537,#19501,#11906,.T.);
#24640=EDGE_CURVE('',#19498,#19532,#11907,.T.);
#24641=EDGE_CURVE('',#19505,#19538,#11908,.T.);
#24642=EDGE_CURVE('',#19538,#19501,#11909,.T.);
#24643=EDGE_CURVE('',#19507,#19539,#11910,.T.);
#24644=EDGE_CURVE('',#19540,#19506,#11911,.T.);
#24645=EDGE_CURVE('',#19539,#19540,#11912,.T.);
#24646=EDGE_CURVE('',#19505,#19541,#1042,.T.);
#24647=EDGE_CURVE('',#19541,#19542,#11913,.T.);
#24648=EDGE_CURVE('',#19506,#19542,#1043,.T.);
#24649=EDGE_CURVE('',#19543,#19523,#11914,.T.);
#24650=EDGE_CURVE('',#19543,#19544,#11915,.T.);
#24651=EDGE_CURVE('',#19544,#19508,#11916,.T.);
#24652=EDGE_CURVE('',#19539,#19545,#11917,.T.);
#24653=EDGE_CURVE('',#19546,#19545,#11918,.T.);
#24654=EDGE_CURVE('',#19524,#19546,#11919,.T.);
#24655=EDGE_CURVE('',#19547,#19510,#11920,.T.);
#24656=EDGE_CURVE('',#19547,#19509,#11921,.T.);
#24657=EDGE_CURVE('',#19508,#19548,#11922,.T.);
#24658=EDGE_CURVE('',#19548,#19547,#11923,.T.);
#24659=EDGE_CURVE('',#19549,#19512,#11924,.T.);
#24660=EDGE_CURVE('',#19511,#19549,#11925,.T.);
#24661=EDGE_CURVE('',#19550,#19548,#11926,.T.);
#24662=EDGE_CURVE('',#19516,#19550,#11927,.T.);
#24663=EDGE_CURVE('',#19549,#19515,#11928,.T.);
#24664=EDGE_CURVE('',#19551,#19552,#11929,.T.);
#24665=EDGE_CURVE('',#19552,#19553,#11930,.T.);
#24666=EDGE_CURVE('',#19553,#19554,#11931,.T.);
#24667=EDGE_CURVE('',#19555,#19554,#11932,.T.);
#24668=EDGE_CURVE('',#19555,#19556,#11933,.T.);
#24669=EDGE_CURVE('',#19556,#19514,#11934,.T.);
#24670=EDGE_CURVE('',#19513,#19551,#11935,.T.);
#24671=EDGE_CURVE('',#19518,#19557,#11936,.T.);
#24672=EDGE_CURVE('',#19557,#19551,#1044,.T.);
#24673=EDGE_CURVE('',#19519,#19556,#11937,.T.);
#24674=EDGE_CURVE('',#19517,#19558,#11938,.T.);
#24675=EDGE_CURVE('',#19557,#19558,#11939,.T.);
#24676=EDGE_CURVE('',#19558,#19550,#1045,.T.);
#24677=EDGE_CURVE('',#19520,#19555,#1046,.T.);
#24678=EDGE_CURVE('',#19521,#19554,#1047,.T.);
#24679=EDGE_CURVE('',#19522,#19553,#11940,.T.);
#24680=EDGE_CURVE('',#19552,#19523,#11941,.T.);
#24681=EDGE_CURVE('',#19524,#19559,#11942,.T.);
#24682=EDGE_CURVE('',#19560,#19559,#11943,.T.);
#24683=EDGE_CURVE('',#19525,#19560,#11944,.T.);
#24684=EDGE_CURVE('',#19561,#19560,#11945,.T.);
#24685=EDGE_CURVE('',#19561,#19526,#1048,.T.);
#24686=EDGE_CURVE('',#19562,#19561,#11946,.T.);
#24687=EDGE_CURVE('',#19562,#19527,#1049,.T.);
#24688=EDGE_CURVE('',#19563,#19562,#11947,.T.);
#24689=EDGE_CURVE('',#19528,#19563,#11948,.T.);
#24690=EDGE_CURVE('',#19532,#19563,#11949,.T.);
#24691=EDGE_CURVE('',#19564,#19529,#11950,.T.);
#24692=EDGE_CURVE('',#19565,#19530,#11951,.T.);
#24693=EDGE_CURVE('',#19564,#19565,#11952,.T.);
#24694=EDGE_CURVE('',#19566,#19531,#11953,.T.);
#24695=EDGE_CURVE('',#19566,#19564,#11954,.T.);
#24696=EDGE_CURVE('',#19565,#19566,#11955,.T.);
#24697=EDGE_CURVE('',#19559,#19567,#11956,.T.);
#24698=EDGE_CURVE('',#19533,#19567,#11957,.T.);
#24699=EDGE_CURVE('',#19568,#19567,#1050,.T.);
#24700=EDGE_CURVE('',#19534,#19568,#11958,.T.);
#24701=EDGE_CURVE('',#19568,#19569,#11959,.T.);
#24702=EDGE_CURVE('',#19535,#19569,#11960,.T.);
#24703=EDGE_CURVE('',#19569,#19570,#1051,.T.);
#24704=EDGE_CURVE('',#19536,#19570,#11961,.T.);
#24705=EDGE_CURVE('',#19571,#19570,#11962,.T.);
#24706=EDGE_CURVE('',#19572,#19571,#11963,.T.);
#24707=EDGE_CURVE('',#19542,#19572,#11964,.T.);
#24708=EDGE_CURVE('',#19573,#19541,#11965,.T.);
#24709=EDGE_CURVE('',#19537,#19573,#11966,.T.);
#24710=EDGE_CURVE('',#19573,#19538,#11967,.T.);
#24711=EDGE_CURVE('',#19571,#19539,#11968,.T.);
#24712=EDGE_CURVE('',#19572,#19540,#11969,.T.);
#24713=EDGE_CURVE('',#19574,#19575,#11970,.T.);
#24714=EDGE_CURVE('',#19575,#19576,#1052,.T.);
#24715=EDGE_CURVE('',#19576,#19577,#11971,.T.);
#24716=EDGE_CURVE('',#19578,#19577,#1053,.T.);
#24717=EDGE_CURVE('',#19579,#19578,#11972,.T.);
#24718=EDGE_CURVE('',#19579,#19580,#1054,.T.);
#24719=EDGE_CURVE('',#19581,#19580,#11973,.T.);
#24720=EDGE_CURVE('',#19574,#19581,#1055,.T.);
#24721=EDGE_CURVE('',#19582,#19583,#11974,.T.);
#24722=EDGE_CURVE('',#19575,#19583,#11975,.T.);
#24723=EDGE_CURVE('',#19574,#19582,#11976,.T.);
#24724=EDGE_CURVE('',#19581,#19584,#11977,.T.);
#24725=EDGE_CURVE('',#19582,#19584,#1056,.T.);
#24726=EDGE_CURVE('',#19583,#19585,#1057,.T.);
#24727=EDGE_CURVE('',#19576,#19585,#11978,.T.);
#24728=EDGE_CURVE('',#19580,#19586,#11979,.T.);
#24729=EDGE_CURVE('',#19584,#19586,#11980,.T.);
#24730=EDGE_CURVE('',#19585,#19587,#11981,.T.);
#24731=EDGE_CURVE('',#19577,#19587,#11982,.T.);
#24732=EDGE_CURVE('',#19579,#19588,#11983,.T.);
#24733=EDGE_CURVE('',#19588,#19586,#1058,.T.);
#24734=EDGE_CURVE('',#19589,#19587,#1059,.T.);
#24735=EDGE_CURVE('',#19578,#19589,#11984,.T.);
#24736=EDGE_CURVE('',#19588,#19589,#11985,.T.);
#24737=EDGE_CURVE('',#19590,#19591,#1060,.T.);
#24738=EDGE_CURVE('',#19590,#19592,#11986,.T.);
#24739=EDGE_CURVE('',#19592,#19593,#1061,.T.);
#24740=EDGE_CURVE('',#19593,#19594,#11987,.T.);
#24741=EDGE_CURVE('',#19595,#19594,#1062,.T.);
#24742=EDGE_CURVE('',#19596,#19595,#11988,.T.);
#24743=EDGE_CURVE('',#19596,#19597,#1063,.T.);
#24744=EDGE_CURVE('',#19591,#19597,#11989,.T.);
#24745=EDGE_CURVE('',#19591,#19598,#11990,.T.);
#24746=EDGE_CURVE('',#19599,#19598,#1064,.T.);
#24747=EDGE_CURVE('',#19590,#19599,#11991,.T.);
#24748=EDGE_CURVE('',#19597,#19600,#11992,.T.);
#24749=EDGE_CURVE('',#19598,#19600,#11993,.T.);
#24750=EDGE_CURVE('',#19596,#19601,#11994,.T.);
#24751=EDGE_CURVE('',#19601,#19600,#1065,.T.);
#24752=EDGE_CURVE('',#19601,#19602,#11995,.T.);
#24753=EDGE_CURVE('',#19595,#19602,#11996,.T.);
#24754=EDGE_CURVE('',#19594,#19603,#11997,.T.);
#24755=EDGE_CURVE('',#19602,#19603,#1066,.T.);
#24756=EDGE_CURVE('',#19593,#19604,#11998,.T.);
#24757=EDGE_CURVE('',#19604,#19603,#11999,.T.);
#24758=EDGE_CURVE('',#19592,#19605,#12000,.T.);
#24759=EDGE_CURVE('',#19605,#19604,#1067,.T.);
#24760=EDGE_CURVE('',#19599,#19605,#12001,.T.);
#24761=EDGE_CURVE('',#19606,#19607,#12002,.T.);
#24762=EDGE_CURVE('',#19607,#19608,#1068,.T.);
#24763=EDGE_CURVE('',#19608,#19609,#12003,.T.);
#24764=EDGE_CURVE('',#19610,#19609,#1069,.T.);
#24765=EDGE_CURVE('',#19611,#19610,#12004,.T.);
#24766=EDGE_CURVE('',#19611,#19612,#1070,.T.);
#24767=EDGE_CURVE('',#19613,#19612,#12005,.T.);
#24768=EDGE_CURVE('',#19606,#19613,#1071,.T.);
#24769=EDGE_CURVE('',#19614,#19615,#12006,.T.);
#24770=EDGE_CURVE('',#19607,#19615,#12007,.T.);
#24771=EDGE_CURVE('',#19606,#19614,#12008,.T.);
#24772=EDGE_CURVE('',#19613,#19616,#12009,.T.);
#24773=EDGE_CURVE('',#19614,#19616,#1072,.T.);
#24774=EDGE_CURVE('',#19615,#19617,#1073,.T.);
#24775=EDGE_CURVE('',#19608,#19617,#12010,.T.);
#24776=EDGE_CURVE('',#19612,#19618,#12011,.T.);
#24777=EDGE_CURVE('',#19616,#19618,#12012,.T.);
#24778=EDGE_CURVE('',#19617,#19619,#12013,.T.);
#24779=EDGE_CURVE('',#19609,#19619,#12014,.T.);
#24780=EDGE_CURVE('',#19611,#19620,#12015,.T.);
#24781=EDGE_CURVE('',#19620,#19618,#1074,.T.);
#24782=EDGE_CURVE('',#19621,#19619,#1075,.T.);
#24783=EDGE_CURVE('',#19610,#19621,#12016,.T.);
#24784=EDGE_CURVE('',#19620,#19621,#12017,.T.);
#24785=EDGE_CURVE('',#19622,#19623,#12018,.T.);
#24786=EDGE_CURVE('',#19622,#19624,#12019,.T.);
#24787=EDGE_CURVE('',#19624,#19625,#12020,.T.);
#24788=EDGE_CURVE('',#19623,#19625,#12021,.T.);
#24789=EDGE_CURVE('',#19626,#19627,#12022,.T.);
#24790=EDGE_CURVE('',#19626,#19622,#12023,.T.);
#24791=EDGE_CURVE('',#19627,#19623,#12024,.T.);
#24792=EDGE_CURVE('',#19624,#19628,#12025,.T.);
#24793=EDGE_CURVE('',#19628,#19629,#12026,.T.);
#24794=EDGE_CURVE('',#19625,#19629,#12027,.T.);
#24795=EDGE_CURVE('',#19628,#19626,#12028,.T.);
#24796=EDGE_CURVE('',#19630,#19631,#1076,.T.);
#24797=EDGE_CURVE('',#19631,#19630,#1077,.T.);
#24798=EDGE_CURVE('',#19632,#19633,#1078,.T.);
#24799=EDGE_CURVE('',#19633,#19632,#1079,.T.);
#24800=EDGE_CURVE('',#19634,#19635,#1080,.T.);
#24801=EDGE_CURVE('',#19635,#19634,#1081,.T.);
#24802=EDGE_CURVE('',#19636,#19637,#1082,.T.);
#24803=EDGE_CURVE('',#19637,#19636,#1083,.T.);
#24804=EDGE_CURVE('',#19638,#19639,#1084,.T.);
#24805=EDGE_CURVE('',#19639,#19638,#1085,.T.);
#24806=EDGE_CURVE('',#19640,#19641,#12029,.T.);
#24807=EDGE_CURVE('',#19641,#19642,#1086,.T.);
#24808=EDGE_CURVE('',#19642,#19643,#12030,.T.);
#24809=EDGE_CURVE('',#19643,#19640,#1087,.T.);
#24810=EDGE_CURVE('',#19644,#19645,#1088,.T.);
#24811=EDGE_CURVE('',#19645,#19644,#1089,.T.);
#24812=EDGE_CURVE('',#19646,#19647,#1090,.T.);
#24813=EDGE_CURVE('',#19647,#19646,#1091,.T.);
#24814=EDGE_CURVE('',#19648,#19649,#1092,.T.);
#24815=EDGE_CURVE('',#19649,#19648,#1093,.T.);
#24816=EDGE_CURVE('',#19650,#19651,#1094,.T.);
#24817=EDGE_CURVE('',#19651,#19650,#1095,.T.);
#24818=EDGE_CURVE('',#19652,#19653,#1096,.T.);
#24819=EDGE_CURVE('',#19653,#19652,#1097,.T.);
#24820=EDGE_CURVE('',#19654,#19655,#1098,.T.);
#24821=EDGE_CURVE('',#19655,#19654,#1099,.T.);
#24822=EDGE_CURVE('',#19656,#19657,#1100,.T.);
#24823=EDGE_CURVE('',#19657,#19656,#1101,.T.);
#24824=EDGE_CURVE('',#19658,#19659,#1102,.T.);
#24825=EDGE_CURVE('',#19659,#19658,#1103,.T.);
#24826=EDGE_CURVE('',#19660,#19661,#1104,.T.);
#24827=EDGE_CURVE('',#19661,#19660,#1105,.T.);
#24828=EDGE_CURVE('',#19662,#19663,#1106,.T.);
#24829=EDGE_CURVE('',#19663,#19662,#1107,.T.);
#24830=EDGE_CURVE('',#19664,#19665,#1108,.T.);
#24831=EDGE_CURVE('',#19665,#19664,#1109,.T.);
#24832=EDGE_CURVE('',#19666,#19667,#1110,.T.);
#24833=EDGE_CURVE('',#19667,#19666,#1111,.T.);
#24834=EDGE_CURVE('',#19668,#19669,#1112,.T.);
#24835=EDGE_CURVE('',#19669,#19668,#1113,.T.);
#24836=EDGE_CURVE('',#19670,#19671,#1114,.T.);
#24837=EDGE_CURVE('',#19671,#19670,#1115,.T.);
#24838=EDGE_CURVE('',#19672,#19673,#1116,.T.);
#24839=EDGE_CURVE('',#19673,#19672,#1117,.T.);
#24840=EDGE_CURVE('',#19674,#19675,#1118,.T.);
#24841=EDGE_CURVE('',#19675,#19674,#1119,.T.);
#24842=EDGE_CURVE('',#19676,#19677,#1120,.T.);
#24843=EDGE_CURVE('',#19677,#19676,#1121,.T.);
#24844=EDGE_CURVE('',#19678,#19679,#1122,.T.);
#24845=EDGE_CURVE('',#19679,#19678,#1123,.T.);
#24846=EDGE_CURVE('',#19680,#19681,#1124,.T.);
#24847=EDGE_CURVE('',#19681,#19680,#1125,.T.);
#24848=EDGE_CURVE('',#19682,#19683,#1126,.T.);
#24849=EDGE_CURVE('',#19683,#19682,#1127,.T.);
#24850=EDGE_CURVE('',#19684,#19685,#1128,.T.);
#24851=EDGE_CURVE('',#19685,#19684,#1129,.T.);
#24852=EDGE_CURVE('',#19686,#19687,#1130,.T.);
#24853=EDGE_CURVE('',#19687,#19686,#1131,.T.);
#24854=EDGE_CURVE('',#19688,#19689,#1132,.T.);
#24855=EDGE_CURVE('',#19689,#19688,#1133,.T.);
#24856=EDGE_CURVE('',#19690,#19691,#1134,.T.);
#24857=EDGE_CURVE('',#19691,#19690,#1135,.T.);
#24858=EDGE_CURVE('',#19692,#19693,#1136,.T.);
#24859=EDGE_CURVE('',#19693,#19692,#1137,.T.);
#24860=EDGE_CURVE('',#19694,#19695,#1138,.T.);
#24861=EDGE_CURVE('',#19695,#19694,#1139,.T.);
#24862=EDGE_CURVE('',#19696,#19697,#1140,.T.);
#24863=EDGE_CURVE('',#19697,#19696,#1141,.T.);
#24864=EDGE_CURVE('',#19698,#19699,#1142,.T.);
#24865=EDGE_CURVE('',#19699,#19698,#1143,.T.);
#24866=EDGE_CURVE('',#19700,#19701,#1144,.T.);
#24867=EDGE_CURVE('',#19701,#19700,#1145,.T.);
#24868=EDGE_CURVE('',#19702,#19703,#1146,.T.);
#24869=EDGE_CURVE('',#19703,#19702,#1147,.T.);
#24870=EDGE_CURVE('',#19704,#19705,#1148,.T.);
#24871=EDGE_CURVE('',#19705,#19704,#1149,.T.);
#24872=EDGE_CURVE('',#19706,#19707,#1150,.T.);
#24873=EDGE_CURVE('',#19707,#19706,#1151,.T.);
#24874=EDGE_CURVE('',#19708,#19709,#1152,.T.);
#24875=EDGE_CURVE('',#19709,#19708,#1153,.T.);
#24876=EDGE_CURVE('',#19710,#19711,#1154,.T.);
#24877=EDGE_CURVE('',#19711,#19710,#1155,.T.);
#24878=EDGE_CURVE('',#19712,#19713,#1156,.T.);
#24879=EDGE_CURVE('',#19713,#19712,#1157,.T.);
#24880=EDGE_CURVE('',#19714,#19715,#1158,.T.);
#24881=EDGE_CURVE('',#19715,#19714,#1159,.T.);
#24882=EDGE_CURVE('',#19716,#19717,#1160,.T.);
#24883=EDGE_CURVE('',#19717,#19716,#1161,.T.);
#24884=EDGE_CURVE('',#19718,#19719,#1162,.T.);
#24885=EDGE_CURVE('',#19719,#19718,#1163,.T.);
#24886=EDGE_CURVE('',#19720,#19721,#1164,.T.);
#24887=EDGE_CURVE('',#19721,#19720,#1165,.T.);
#24888=EDGE_CURVE('',#19722,#19723,#1166,.T.);
#24889=EDGE_CURVE('',#19723,#19722,#1167,.T.);
#24890=EDGE_CURVE('',#19724,#19725,#1168,.T.);
#24891=EDGE_CURVE('',#19725,#19724,#1169,.T.);
#24892=EDGE_CURVE('',#19726,#19727,#1170,.T.);
#24893=EDGE_CURVE('',#19727,#19726,#1171,.T.);
#24894=EDGE_CURVE('',#19728,#19729,#1172,.T.);
#24895=EDGE_CURVE('',#19729,#19728,#1173,.T.);
#24896=EDGE_CURVE('',#19730,#19731,#1174,.T.);
#24897=EDGE_CURVE('',#19731,#19730,#1175,.T.);
#24898=EDGE_CURVE('',#19732,#19733,#1176,.T.);
#24899=EDGE_CURVE('',#19733,#19732,#1177,.T.);
#24900=EDGE_CURVE('',#19734,#19735,#1178,.T.);
#24901=EDGE_CURVE('',#19735,#19734,#1179,.T.);
#24902=EDGE_CURVE('',#19736,#19737,#1180,.T.);
#24903=EDGE_CURVE('',#19737,#19736,#1181,.T.);
#24904=EDGE_CURVE('',#19738,#19739,#1182,.T.);
#24905=EDGE_CURVE('',#19739,#19738,#1183,.T.);
#24906=EDGE_CURVE('',#19740,#19741,#1184,.T.);
#24907=EDGE_CURVE('',#19741,#19740,#1185,.T.);
#24908=EDGE_CURVE('',#19742,#19743,#1186,.T.);
#24909=EDGE_CURVE('',#19743,#19742,#1187,.T.);
#24910=EDGE_CURVE('',#19744,#19745,#1188,.T.);
#24911=EDGE_CURVE('',#19745,#19744,#1189,.T.);
#24912=EDGE_CURVE('',#19746,#19747,#1190,.T.);
#24913=EDGE_CURVE('',#19747,#19746,#1191,.T.);
#24914=EDGE_CURVE('',#19748,#19749,#1192,.T.);
#24915=EDGE_CURVE('',#19749,#19748,#1193,.T.);
#24916=EDGE_CURVE('',#19750,#19751,#1194,.T.);
#24917=EDGE_CURVE('',#19751,#19750,#1195,.T.);
#24918=EDGE_CURVE('',#19752,#19753,#1196,.T.);
#24919=EDGE_CURVE('',#19753,#19752,#1197,.T.);
#24920=EDGE_CURVE('',#19754,#19755,#1198,.T.);
#24921=EDGE_CURVE('',#19755,#19754,#1199,.T.);
#24922=EDGE_CURVE('',#19756,#19757,#1200,.T.);
#24923=EDGE_CURVE('',#19757,#19756,#1201,.T.);
#24924=EDGE_CURVE('',#19758,#19759,#1202,.T.);
#24925=EDGE_CURVE('',#19759,#19758,#1203,.T.);
#24926=EDGE_CURVE('',#19760,#19761,#1204,.T.);
#24927=EDGE_CURVE('',#19761,#19760,#1205,.T.);
#24928=EDGE_CURVE('',#19762,#19763,#1206,.T.);
#24929=EDGE_CURVE('',#19763,#19762,#1207,.T.);
#24930=EDGE_CURVE('',#19764,#19765,#1208,.T.);
#24931=EDGE_CURVE('',#19765,#19764,#1209,.T.);
#24932=EDGE_CURVE('',#19766,#19767,#1210,.T.);
#24933=EDGE_CURVE('',#19767,#19766,#1211,.T.);
#24934=EDGE_CURVE('',#19768,#19769,#1212,.T.);
#24935=EDGE_CURVE('',#19769,#19768,#1213,.T.);
#24936=EDGE_CURVE('',#19770,#19771,#1214,.T.);
#24937=EDGE_CURVE('',#19771,#19770,#1215,.T.);
#24938=EDGE_CURVE('',#19772,#19773,#1216,.T.);
#24939=EDGE_CURVE('',#19773,#19772,#1217,.T.);
#24940=EDGE_CURVE('',#19774,#19775,#1218,.T.);
#24941=EDGE_CURVE('',#19775,#19774,#1219,.T.);
#24942=EDGE_CURVE('',#19776,#19777,#1220,.T.);
#24943=EDGE_CURVE('',#19777,#19776,#1221,.T.);
#24944=EDGE_CURVE('',#19778,#19779,#1222,.T.);
#24945=EDGE_CURVE('',#19779,#19778,#1223,.T.);
#24946=EDGE_CURVE('',#19780,#19781,#1224,.T.);
#24947=EDGE_CURVE('',#19781,#19780,#1225,.T.);
#24948=EDGE_CURVE('',#19782,#19783,#1226,.T.);
#24949=EDGE_CURVE('',#19783,#19782,#1227,.T.);
#24950=EDGE_CURVE('',#19784,#19785,#1228,.T.);
#24951=EDGE_CURVE('',#19785,#19784,#1229,.T.);
#24952=EDGE_CURVE('',#19786,#19787,#1230,.T.);
#24953=EDGE_CURVE('',#19787,#19786,#1231,.T.);
#24954=EDGE_CURVE('',#19788,#19789,#1232,.T.);
#24955=EDGE_CURVE('',#19789,#19788,#1233,.T.);
#24956=EDGE_CURVE('',#19790,#19791,#1234,.T.);
#24957=EDGE_CURVE('',#19791,#19790,#1235,.T.);
#24958=EDGE_CURVE('',#19792,#19793,#1236,.T.);
#24959=EDGE_CURVE('',#19793,#19792,#1237,.T.);
#24960=EDGE_CURVE('',#19794,#19795,#1238,.T.);
#24961=EDGE_CURVE('',#19795,#19794,#1239,.T.);
#24962=EDGE_CURVE('',#19796,#19797,#1240,.T.);
#24963=EDGE_CURVE('',#19797,#19796,#1241,.T.);
#24964=EDGE_CURVE('',#19798,#19799,#1242,.T.);
#24965=EDGE_CURVE('',#19799,#19798,#1243,.T.);
#24966=EDGE_CURVE('',#19800,#19801,#1244,.T.);
#24967=EDGE_CURVE('',#19801,#19800,#1245,.T.);
#24968=EDGE_CURVE('',#19802,#19803,#1246,.T.);
#24969=EDGE_CURVE('',#19803,#19802,#1247,.T.);
#24970=EDGE_CURVE('',#19804,#19805,#1248,.T.);
#24971=EDGE_CURVE('',#19805,#19804,#1249,.T.);
#24972=EDGE_CURVE('',#19806,#19807,#1250,.T.);
#24973=EDGE_CURVE('',#19807,#19806,#1251,.T.);
#24974=EDGE_CURVE('',#19808,#19809,#1252,.T.);
#24975=EDGE_CURVE('',#19809,#19808,#1253,.T.);
#24976=EDGE_CURVE('',#19810,#19811,#1254,.T.);
#24977=EDGE_CURVE('',#19811,#19810,#1255,.T.);
#24978=EDGE_CURVE('',#19812,#19813,#1256,.T.);
#24979=EDGE_CURVE('',#19813,#19812,#1257,.T.);
#24980=EDGE_CURVE('',#19814,#19815,#1258,.T.);
#24981=EDGE_CURVE('',#19815,#19814,#1259,.T.);
#24982=EDGE_CURVE('',#19816,#19817,#1260,.T.);
#24983=EDGE_CURVE('',#19817,#19816,#1261,.T.);
#24984=EDGE_CURVE('',#19818,#19819,#1262,.T.);
#24985=EDGE_CURVE('',#19819,#19818,#1263,.T.);
#24986=EDGE_CURVE('',#19820,#19821,#1264,.T.);
#24987=EDGE_CURVE('',#19821,#19820,#1265,.T.);
#24988=EDGE_CURVE('',#19822,#19823,#1266,.T.);
#24989=EDGE_CURVE('',#19823,#19824,#12031,.T.);
#24990=EDGE_CURVE('',#19824,#19825,#1267,.T.);
#24991=EDGE_CURVE('',#19825,#19822,#12032,.T.);
#24992=EDGE_CURVE('',#19826,#19827,#1268,.T.);
#24993=EDGE_CURVE('',#19827,#19828,#12033,.T.);
#24994=EDGE_CURVE('',#19828,#19829,#1269,.T.);
#24995=EDGE_CURVE('',#19829,#19826,#12034,.T.);
#24996=EDGE_CURVE('',#19830,#19831,#1270,.T.);
#24997=EDGE_CURVE('',#19831,#19830,#1271,.T.);
#24998=EDGE_CURVE('',#19832,#19833,#1272,.T.);
#24999=EDGE_CURVE('',#19833,#19832,#1273,.T.);
#25000=EDGE_CURVE('',#19834,#19835,#1274,.T.);
#25001=EDGE_CURVE('',#19835,#19834,#1275,.T.);
#25002=EDGE_CURVE('',#19836,#19837,#1276,.T.);
#25003=EDGE_CURVE('',#19837,#19836,#1277,.T.);
#25004=EDGE_CURVE('',#19838,#19839,#1278,.T.);
#25005=EDGE_CURVE('',#19839,#19838,#1279,.T.);
#25006=EDGE_CURVE('',#19840,#19841,#1280,.T.);
#25007=EDGE_CURVE('',#19841,#19840,#1281,.T.);
#25008=EDGE_CURVE('',#19842,#19843,#1282,.T.);
#25009=EDGE_CURVE('',#19843,#19842,#1283,.T.);
#25010=EDGE_CURVE('',#19844,#19845,#1284,.T.);
#25011=EDGE_CURVE('',#19845,#19844,#1285,.T.);
#25012=EDGE_CURVE('',#19846,#19847,#1286,.T.);
#25013=EDGE_CURVE('',#19847,#19846,#1287,.T.);
#25014=EDGE_CURVE('',#19848,#19849,#1288,.T.);
#25015=EDGE_CURVE('',#19849,#19848,#1289,.T.);
#25016=EDGE_CURVE('',#19850,#19851,#1290,.T.);
#25017=EDGE_CURVE('',#19851,#19850,#1291,.T.);
#25018=EDGE_CURVE('',#19852,#19853,#1292,.T.);
#25019=EDGE_CURVE('',#19853,#19852,#1293,.T.);
#25020=EDGE_CURVE('',#19854,#19855,#1294,.T.);
#25021=EDGE_CURVE('',#19855,#19854,#1295,.T.);
#25022=EDGE_CURVE('',#19856,#19857,#1296,.T.);
#25023=EDGE_CURVE('',#19857,#19856,#1297,.T.);
#25024=EDGE_CURVE('',#19858,#19859,#1298,.T.);
#25025=EDGE_CURVE('',#19859,#19858,#1299,.T.);
#25026=EDGE_CURVE('',#19860,#19861,#1300,.T.);
#25027=EDGE_CURVE('',#19861,#19860,#1301,.T.);
#25028=EDGE_CURVE('',#19862,#19863,#1302,.T.);
#25029=EDGE_CURVE('',#19863,#19862,#1303,.T.);
#25030=EDGE_CURVE('',#19864,#19865,#1304,.T.);
#25031=EDGE_CURVE('',#19865,#19864,#1305,.T.);
#25032=EDGE_CURVE('',#19866,#19867,#1306,.T.);
#25033=EDGE_CURVE('',#19867,#19866,#1307,.T.);
#25034=EDGE_CURVE('',#19868,#19869,#1308,.T.);
#25035=EDGE_CURVE('',#19869,#19868,#1309,.T.);
#25036=EDGE_CURVE('',#19870,#19871,#1310,.T.);
#25037=EDGE_CURVE('',#19871,#19870,#1311,.T.);
#25038=EDGE_CURVE('',#19872,#19873,#1312,.T.);
#25039=EDGE_CURVE('',#19873,#19872,#1313,.T.);
#25040=EDGE_CURVE('',#19874,#19875,#1314,.T.);
#25041=EDGE_CURVE('',#19875,#19874,#1315,.T.);
#25042=EDGE_CURVE('',#19876,#19877,#1316,.T.);
#25043=EDGE_CURVE('',#19877,#19876,#1317,.T.);
#25044=EDGE_CURVE('',#19878,#19879,#1318,.T.);
#25045=EDGE_CURVE('',#19879,#19878,#1319,.T.);
#25046=EDGE_CURVE('',#19880,#19881,#1320,.T.);
#25047=EDGE_CURVE('',#19881,#19880,#1321,.T.);
#25048=EDGE_CURVE('',#19882,#19883,#1322,.T.);
#25049=EDGE_CURVE('',#19883,#19882,#1323,.T.);
#25050=EDGE_CURVE('',#19884,#19885,#1324,.T.);
#25051=EDGE_CURVE('',#19885,#19884,#1325,.T.);
#25052=EDGE_CURVE('',#19886,#19887,#1326,.T.);
#25053=EDGE_CURVE('',#19887,#19886,#1327,.T.);
#25054=EDGE_CURVE('',#19888,#19889,#1328,.T.);
#25055=EDGE_CURVE('',#19889,#19888,#1329,.T.);
#25056=EDGE_CURVE('',#19890,#19891,#1330,.T.);
#25057=EDGE_CURVE('',#19891,#19890,#1331,.T.);
#25058=EDGE_CURVE('',#19892,#19893,#1332,.T.);
#25059=EDGE_CURVE('',#19893,#19892,#1333,.T.);
#25060=EDGE_CURVE('',#19894,#19895,#1334,.T.);
#25061=EDGE_CURVE('',#19895,#19894,#1335,.T.);
#25062=EDGE_CURVE('',#19896,#19897,#1336,.T.);
#25063=EDGE_CURVE('',#19897,#19896,#1337,.T.);
#25064=EDGE_CURVE('',#19898,#19899,#1338,.T.);
#25065=EDGE_CURVE('',#19899,#19898,#1339,.T.);
#25066=EDGE_CURVE('',#19900,#19901,#1340,.T.);
#25067=EDGE_CURVE('',#19901,#19900,#1341,.T.);
#25068=EDGE_CURVE('',#19902,#19903,#1342,.T.);
#25069=EDGE_CURVE('',#19903,#19902,#1343,.T.);
#25070=EDGE_CURVE('',#19904,#19905,#1344,.T.);
#25071=EDGE_CURVE('',#19905,#19904,#1345,.T.);
#25072=EDGE_CURVE('',#19906,#19907,#1346,.T.);
#25073=EDGE_CURVE('',#19907,#19906,#1347,.T.);
#25074=EDGE_CURVE('',#19908,#19909,#1348,.T.);
#25075=EDGE_CURVE('',#19909,#19908,#1349,.T.);
#25076=EDGE_CURVE('',#19910,#19911,#1350,.T.);
#25077=EDGE_CURVE('',#19911,#19910,#1351,.T.);
#25078=EDGE_CURVE('',#19912,#19913,#1352,.T.);
#25079=EDGE_CURVE('',#19913,#19912,#1353,.T.);
#25080=EDGE_CURVE('',#19914,#19915,#1354,.T.);
#25081=EDGE_CURVE('',#19915,#19914,#1355,.T.);
#25082=EDGE_CURVE('',#19916,#19917,#1356,.T.);
#25083=EDGE_CURVE('',#19917,#19916,#1357,.T.);
#25084=EDGE_CURVE('',#19918,#19919,#1358,.T.);
#25085=EDGE_CURVE('',#19919,#19918,#1359,.T.);
#25086=EDGE_CURVE('',#19920,#19921,#1360,.T.);
#25087=EDGE_CURVE('',#19921,#19920,#1361,.T.);
#25088=EDGE_CURVE('',#19922,#19923,#1362,.T.);
#25089=EDGE_CURVE('',#19923,#19922,#1363,.T.);
#25090=EDGE_CURVE('',#19924,#19925,#1364,.T.);
#25091=EDGE_CURVE('',#19925,#19924,#1365,.T.);
#25092=EDGE_CURVE('',#19926,#19927,#1366,.T.);
#25093=EDGE_CURVE('',#19927,#19926,#1367,.T.);
#25094=EDGE_CURVE('',#19928,#19929,#1368,.T.);
#25095=EDGE_CURVE('',#19929,#19928,#1369,.T.);
#25096=EDGE_CURVE('',#19930,#19931,#1370,.T.);
#25097=EDGE_CURVE('',#19931,#19930,#1371,.T.);
#25098=EDGE_CURVE('',#19932,#19933,#1372,.T.);
#25099=EDGE_CURVE('',#19933,#19932,#1373,.T.);
#25100=EDGE_CURVE('',#19934,#19935,#1374,.T.);
#25101=EDGE_CURVE('',#19935,#19934,#1375,.T.);
#25102=EDGE_CURVE('',#19629,#19627,#12035,.T.);
#25103=EDGE_CURVE('',#19936,#19937,#1376,.T.);
#25104=EDGE_CURVE('',#19937,#19936,#1377,.T.);
#25105=EDGE_CURVE('',#19938,#19939,#1378,.T.);
#25106=EDGE_CURVE('',#19939,#19938,#1379,.T.);
#25107=EDGE_CURVE('',#19940,#19941,#1380,.T.);
#25108=EDGE_CURVE('',#19941,#19940,#1381,.T.);
#25109=EDGE_CURVE('',#19942,#19943,#1382,.T.);
#25110=EDGE_CURVE('',#19943,#19942,#1383,.T.);
#25111=EDGE_CURVE('',#19944,#19945,#1384,.T.);
#25112=EDGE_CURVE('',#19945,#19944,#1385,.T.);
#25113=EDGE_CURVE('',#19946,#19947,#1386,.T.);
#25114=EDGE_CURVE('',#19947,#19946,#1387,.T.);
#25115=EDGE_CURVE('',#19948,#19949,#1388,.T.);
#25116=EDGE_CURVE('',#19949,#19948,#1389,.T.);
#25117=EDGE_CURVE('',#19950,#19951,#1390,.T.);
#25118=EDGE_CURVE('',#19951,#19950,#1391,.T.);
#25119=EDGE_CURVE('',#19952,#19953,#1392,.T.);
#25120=EDGE_CURVE('',#19953,#19952,#1393,.T.);
#25121=EDGE_CURVE('',#19954,#19955,#1394,.T.);
#25122=EDGE_CURVE('',#19955,#19954,#1395,.T.);
#25123=EDGE_CURVE('',#19956,#19957,#1396,.T.);
#25124=EDGE_CURVE('',#19957,#19956,#1397,.T.);
#25125=EDGE_CURVE('',#19958,#19959,#1398,.T.);
#25126=EDGE_CURVE('',#19959,#19958,#1399,.T.);
#25127=EDGE_CURVE('',#19960,#19961,#1400,.T.);
#25128=EDGE_CURVE('',#19961,#19960,#1401,.T.);
#25129=EDGE_CURVE('',#19962,#19963,#1402,.T.);
#25130=EDGE_CURVE('',#19963,#19962,#1403,.T.);
#25131=EDGE_CURVE('',#19964,#19965,#1404,.T.);
#25132=EDGE_CURVE('',#19965,#19964,#1405,.T.);
#25133=EDGE_CURVE('',#19966,#19967,#1406,.T.);
#25134=EDGE_CURVE('',#19967,#19966,#1407,.T.);
#25135=EDGE_CURVE('',#19968,#19969,#1408,.T.);
#25136=EDGE_CURVE('',#19969,#19968,#1409,.T.);
#25137=EDGE_CURVE('',#19970,#19971,#1410,.T.);
#25138=EDGE_CURVE('',#19971,#19970,#1411,.T.);
#25139=EDGE_CURVE('',#19972,#19973,#1412,.T.);
#25140=EDGE_CURVE('',#19973,#19972,#1413,.T.);
#25141=EDGE_CURVE('',#19974,#19975,#1414,.T.);
#25142=EDGE_CURVE('',#19975,#19974,#1415,.T.);
#25143=EDGE_CURVE('',#19976,#19977,#1416,.T.);
#25144=EDGE_CURVE('',#19977,#19976,#1417,.T.);
#25145=EDGE_CURVE('',#19978,#19979,#1418,.T.);
#25146=EDGE_CURVE('',#19979,#19978,#1419,.T.);
#25147=EDGE_CURVE('',#19980,#19981,#1420,.T.);
#25148=EDGE_CURVE('',#19981,#19980,#1421,.T.);
#25149=EDGE_CURVE('',#19982,#19983,#1422,.T.);
#25150=EDGE_CURVE('',#19983,#19982,#1423,.T.);
#25151=EDGE_CURVE('',#19984,#19985,#1424,.T.);
#25152=EDGE_CURVE('',#19985,#19984,#1425,.T.);
#25153=EDGE_CURVE('',#19986,#19987,#1426,.T.);
#25154=EDGE_CURVE('',#19987,#19986,#1427,.T.);
#25155=EDGE_CURVE('',#19988,#19989,#1428,.T.);
#25156=EDGE_CURVE('',#19989,#19988,#1429,.T.);
#25157=EDGE_CURVE('',#19990,#19991,#1430,.T.);
#25158=EDGE_CURVE('',#19991,#19990,#1431,.T.);
#25159=EDGE_CURVE('',#19992,#19993,#1432,.T.);
#25160=EDGE_CURVE('',#19993,#19992,#1433,.T.);
#25161=EDGE_CURVE('',#19994,#19995,#1434,.T.);
#25162=EDGE_CURVE('',#19995,#19994,#1435,.T.);
#25163=EDGE_CURVE('',#19996,#19997,#1436,.T.);
#25164=EDGE_CURVE('',#19997,#19996,#1437,.T.);
#25165=EDGE_CURVE('',#19998,#19999,#1438,.T.);
#25166=EDGE_CURVE('',#19999,#19998,#1439,.T.);
#25167=EDGE_CURVE('',#20000,#20001,#1440,.T.);
#25168=EDGE_CURVE('',#20001,#20000,#1441,.T.);
#25169=EDGE_CURVE('',#20002,#20003,#1442,.T.);
#25170=EDGE_CURVE('',#20003,#20002,#1443,.T.);
#25171=EDGE_CURVE('',#20004,#20005,#1444,.T.);
#25172=EDGE_CURVE('',#20005,#20004,#1445,.T.);
#25173=EDGE_CURVE('',#20006,#20007,#1446,.T.);
#25174=EDGE_CURVE('',#20007,#20006,#1447,.T.);
#25175=EDGE_CURVE('',#20008,#20009,#1448,.T.);
#25176=EDGE_CURVE('',#20009,#20008,#1449,.T.);
#25177=EDGE_CURVE('',#20010,#20011,#1450,.T.);
#25178=EDGE_CURVE('',#20011,#20010,#1451,.T.);
#25179=EDGE_CURVE('',#20012,#20013,#1452,.T.);
#25180=EDGE_CURVE('',#20013,#20012,#1453,.T.);
#25181=EDGE_CURVE('',#20014,#20015,#1454,.T.);
#25182=EDGE_CURVE('',#20015,#20014,#1455,.T.);
#25183=EDGE_CURVE('',#20016,#20017,#1456,.T.);
#25184=EDGE_CURVE('',#20017,#20016,#1457,.T.);
#25185=EDGE_CURVE('',#20018,#20019,#1458,.T.);
#25186=EDGE_CURVE('',#20019,#20018,#1459,.T.);
#25187=EDGE_CURVE('',#20020,#20021,#1460,.T.);
#25188=EDGE_CURVE('',#20021,#20020,#1461,.T.);
#25189=EDGE_CURVE('',#20022,#20023,#1462,.T.);
#25190=EDGE_CURVE('',#20023,#20022,#1463,.T.);
#25191=EDGE_CURVE('',#20024,#20025,#1464,.T.);
#25192=EDGE_CURVE('',#20025,#20024,#1465,.T.);
#25193=EDGE_CURVE('',#20026,#20027,#1466,.T.);
#25194=EDGE_CURVE('',#20027,#20026,#1467,.T.);
#25195=EDGE_CURVE('',#20028,#20029,#1468,.T.);
#25196=EDGE_CURVE('',#20029,#20028,#1469,.T.);
#25197=EDGE_CURVE('',#20030,#20031,#1470,.T.);
#25198=EDGE_CURVE('',#20031,#20030,#1471,.T.);
#25199=EDGE_CURVE('',#20032,#20033,#1472,.T.);
#25200=EDGE_CURVE('',#20033,#20032,#1473,.T.);
#25201=EDGE_CURVE('',#20034,#20035,#1474,.T.);
#25202=EDGE_CURVE('',#20035,#20034,#1475,.T.);
#25203=EDGE_CURVE('',#20036,#20037,#1476,.T.);
#25204=EDGE_CURVE('',#20037,#20036,#1477,.T.);
#25205=EDGE_CURVE('',#20038,#20039,#1478,.T.);
#25206=EDGE_CURVE('',#20039,#20038,#1479,.T.);
#25207=EDGE_CURVE('',#20040,#20041,#1480,.T.);
#25208=EDGE_CURVE('',#20041,#20040,#1481,.T.);
#25209=EDGE_CURVE('',#20042,#20043,#1482,.T.);
#25210=EDGE_CURVE('',#20043,#20042,#1483,.T.);
#25211=EDGE_CURVE('',#20044,#20045,#1484,.T.);
#25212=EDGE_CURVE('',#20045,#20044,#1485,.T.);
#25213=EDGE_CURVE('',#20046,#20047,#1486,.T.);
#25214=EDGE_CURVE('',#20047,#20046,#1487,.T.);
#25215=EDGE_CURVE('',#20048,#20049,#1488,.T.);
#25216=EDGE_CURVE('',#20049,#20048,#1489,.T.);
#25217=EDGE_CURVE('',#20050,#20051,#1490,.T.);
#25218=EDGE_CURVE('',#20051,#20050,#1491,.T.);
#25219=EDGE_CURVE('',#20052,#20053,#1492,.T.);
#25220=EDGE_CURVE('',#20053,#20052,#1493,.T.);
#25221=EDGE_CURVE('',#20054,#20055,#1494,.T.);
#25222=EDGE_CURVE('',#20055,#20054,#1495,.T.);
#25223=EDGE_CURVE('',#20056,#20057,#1496,.T.);
#25224=EDGE_CURVE('',#20057,#20056,#1497,.T.);
#25225=EDGE_CURVE('',#20058,#20059,#1498,.T.);
#25226=EDGE_CURVE('',#20059,#20058,#1499,.T.);
#25227=EDGE_CURVE('',#20060,#20061,#1500,.T.);
#25228=EDGE_CURVE('',#20061,#20060,#1501,.T.);
#25229=EDGE_CURVE('',#20062,#20063,#1502,.T.);
#25230=EDGE_CURVE('',#20063,#20062,#1503,.T.);
#25231=EDGE_CURVE('',#20064,#20065,#1504,.T.);
#25232=EDGE_CURVE('',#20065,#20064,#1505,.T.);
#25233=EDGE_CURVE('',#20066,#20067,#1506,.T.);
#25234=EDGE_CURVE('',#20068,#20066,#12036,.T.);
#25235=EDGE_CURVE('',#20069,#20068,#1507,.T.);
#25236=EDGE_CURVE('',#20067,#20069,#12037,.T.);
#25237=EDGE_CURVE('',#20070,#20071,#1508,.T.);
#25238=EDGE_CURVE('',#20071,#20070,#1509,.T.);
#25239=EDGE_CURVE('',#20072,#20073,#1510,.T.);
#25240=EDGE_CURVE('',#20073,#20072,#1511,.T.);
#25241=EDGE_CURVE('',#20074,#20075,#1512,.T.);
#25242=EDGE_CURVE('',#20075,#20074,#1513,.T.);
#25243=EDGE_CURVE('',#20076,#20077,#1514,.T.);
#25244=EDGE_CURVE('',#20077,#20076,#1515,.T.);
#25245=EDGE_CURVE('',#20078,#20079,#1516,.T.);
#25246=EDGE_CURVE('',#20079,#20078,#1517,.T.);
#25247=EDGE_CURVE('',#20080,#20081,#1518,.T.);
#25248=EDGE_CURVE('',#20081,#20080,#1519,.T.);
#25249=EDGE_CURVE('',#20082,#20083,#1520,.T.);
#25250=EDGE_CURVE('',#20083,#20082,#1521,.T.);
#25251=EDGE_CURVE('',#20084,#20085,#1522,.T.);
#25252=EDGE_CURVE('',#20085,#20084,#1523,.T.);
#25253=EDGE_CURVE('',#20086,#20087,#1524,.T.);
#25254=EDGE_CURVE('',#20087,#20086,#1525,.T.);
#25255=EDGE_CURVE('',#20088,#20089,#1526,.T.);
#25256=EDGE_CURVE('',#20089,#20088,#1527,.T.);
#25257=EDGE_CURVE('',#20090,#20091,#1528,.T.);
#25258=EDGE_CURVE('',#20091,#20090,#1529,.T.);
#25259=EDGE_CURVE('',#20092,#20093,#1530,.T.);
#25260=EDGE_CURVE('',#20093,#20092,#1531,.T.);
#25261=EDGE_CURVE('',#20094,#20095,#1532,.T.);
#25262=EDGE_CURVE('',#20095,#20094,#1533,.T.);
#25263=EDGE_CURVE('',#20096,#20097,#1534,.T.);
#25264=EDGE_CURVE('',#20097,#20096,#1535,.T.);
#25265=EDGE_CURVE('',#20098,#20099,#1536,.T.);
#25266=EDGE_CURVE('',#20099,#20098,#1537,.T.);
#25267=EDGE_CURVE('',#20100,#20101,#1538,.T.);
#25268=EDGE_CURVE('',#20101,#20100,#1539,.T.);
#25269=EDGE_CURVE('',#20102,#20103,#1540,.T.);
#25270=EDGE_CURVE('',#20103,#20102,#1541,.T.);
#25271=EDGE_CURVE('',#20104,#20105,#1542,.T.);
#25272=EDGE_CURVE('',#20105,#20104,#1543,.T.);
#25273=EDGE_CURVE('',#20106,#20107,#1544,.T.);
#25274=EDGE_CURVE('',#20107,#20106,#1545,.T.);
#25275=EDGE_CURVE('',#20108,#20109,#1546,.T.);
#25276=EDGE_CURVE('',#20109,#20108,#1547,.T.);
#25277=EDGE_CURVE('',#20110,#20111,#1548,.T.);
#25278=EDGE_CURVE('',#20111,#20110,#1549,.T.);
#25279=EDGE_CURVE('',#20112,#20113,#1550,.T.);
#25280=EDGE_CURVE('',#20113,#20112,#1551,.T.);
#25281=EDGE_CURVE('',#20114,#20115,#1552,.T.);
#25282=EDGE_CURVE('',#20115,#20114,#1553,.T.);
#25283=EDGE_CURVE('',#20116,#20117,#1554,.T.);
#25284=EDGE_CURVE('',#20117,#20116,#1555,.T.);
#25285=EDGE_CURVE('',#20118,#20119,#1556,.T.);
#25286=EDGE_CURVE('',#20119,#20118,#1557,.T.);
#25287=EDGE_CURVE('',#20120,#20121,#1558,.T.);
#25288=EDGE_CURVE('',#20121,#20120,#1559,.T.);
#25289=EDGE_CURVE('',#20122,#20123,#1560,.T.);
#25290=EDGE_CURVE('',#20123,#20122,#1561,.T.);
#25291=EDGE_CURVE('',#20124,#20125,#1562,.T.);
#25292=EDGE_CURVE('',#20125,#20124,#1563,.T.);
#25293=EDGE_CURVE('',#20126,#20127,#1564,.T.);
#25294=EDGE_CURVE('',#20127,#20126,#1565,.T.);
#25295=EDGE_CURVE('',#20128,#20129,#1566,.T.);
#25296=EDGE_CURVE('',#20129,#20128,#1567,.T.);
#25297=EDGE_CURVE('',#20130,#20131,#1568,.T.);
#25298=EDGE_CURVE('',#20132,#20130,#12038,.T.);
#25299=EDGE_CURVE('',#20133,#20132,#1569,.T.);
#25300=EDGE_CURVE('',#20131,#20133,#12039,.T.);
#25301=EDGE_CURVE('',#20134,#20135,#1570,.T.);
#25302=EDGE_CURVE('',#20135,#20134,#1571,.T.);
#25303=EDGE_CURVE('',#20136,#20137,#1572,.T.);
#25304=EDGE_CURVE('',#20137,#20136,#1573,.T.);
#25305=EDGE_CURVE('',#20138,#20139,#1574,.T.);
#25306=EDGE_CURVE('',#20139,#20138,#1575,.T.);
#25307=EDGE_CURVE('',#20140,#20141,#1576,.T.);
#25308=EDGE_CURVE('',#20141,#20140,#1577,.T.);
#25309=EDGE_CURVE('',#20142,#20143,#1578,.T.);
#25310=EDGE_CURVE('',#20143,#20142,#1579,.T.);
#25311=EDGE_CURVE('',#20144,#20145,#1580,.T.);
#25312=EDGE_CURVE('',#20145,#20144,#1581,.T.);
#25313=EDGE_CURVE('',#20146,#20147,#1582,.T.);
#25314=EDGE_CURVE('',#20147,#20146,#1583,.T.);
#25315=EDGE_CURVE('',#20148,#20149,#1584,.T.);
#25316=EDGE_CURVE('',#20149,#20148,#1585,.T.);
#25317=EDGE_CURVE('',#20150,#20151,#1586,.T.);
#25318=EDGE_CURVE('',#20151,#20150,#1587,.T.);
#25319=EDGE_CURVE('',#20152,#20153,#1588,.T.);
#25320=EDGE_CURVE('',#20153,#20152,#1589,.T.);
#25321=EDGE_CURVE('',#20154,#20155,#1590,.T.);
#25322=EDGE_CURVE('',#20155,#20154,#1591,.T.);
#25323=EDGE_CURVE('',#20156,#20157,#1592,.T.);
#25324=EDGE_CURVE('',#20157,#20156,#1593,.T.);
#25325=EDGE_CURVE('',#20158,#20159,#1594,.T.);
#25326=EDGE_CURVE('',#20159,#20158,#1595,.T.);
#25327=EDGE_CURVE('',#20160,#20161,#1596,.T.);
#25328=EDGE_CURVE('',#20161,#20160,#1597,.T.);
#25329=EDGE_CURVE('',#20162,#20163,#1598,.T.);
#25330=EDGE_CURVE('',#20163,#20162,#1599,.T.);
#25331=EDGE_CURVE('',#20164,#20165,#1600,.T.);
#25332=EDGE_CURVE('',#20165,#20164,#1601,.T.);
#25333=EDGE_CURVE('',#20166,#20167,#1602,.T.);
#25334=EDGE_CURVE('',#20167,#20166,#1603,.T.);
#25335=EDGE_CURVE('',#20168,#20169,#1604,.T.);
#25336=EDGE_CURVE('',#20169,#20168,#1605,.T.);
#25337=EDGE_CURVE('',#20170,#20171,#1606,.T.);
#25338=EDGE_CURVE('',#20171,#20170,#1607,.T.);
#25339=EDGE_CURVE('',#20172,#20173,#1608,.T.);
#25340=EDGE_CURVE('',#20173,#20172,#1609,.T.);
#25341=EDGE_CURVE('',#20174,#20175,#1610,.T.);
#25342=EDGE_CURVE('',#20175,#20174,#1611,.T.);
#25343=EDGE_CURVE('',#20176,#20177,#1612,.T.);
#25344=EDGE_CURVE('',#20177,#20176,#1613,.T.);
#25345=EDGE_CURVE('',#20178,#20179,#1614,.T.);
#25346=EDGE_CURVE('',#20179,#20178,#1615,.T.);
#25347=EDGE_CURVE('',#20180,#20181,#1616,.T.);
#25348=EDGE_CURVE('',#20181,#20180,#1617,.T.);
#25349=EDGE_CURVE('',#20182,#20183,#1618,.T.);
#25350=EDGE_CURVE('',#20183,#20182,#1619,.T.);
#25351=EDGE_CURVE('',#20184,#20185,#1620,.T.);
#25352=EDGE_CURVE('',#20185,#20184,#1621,.T.);
#25353=EDGE_CURVE('',#20186,#20187,#1622,.T.);
#25354=EDGE_CURVE('',#20187,#20186,#1623,.T.);
#25355=EDGE_CURVE('',#20188,#20189,#1624,.T.);
#25356=EDGE_CURVE('',#20189,#20188,#1625,.T.);
#25357=EDGE_CURVE('',#20190,#20191,#1626,.T.);
#25358=EDGE_CURVE('',#20191,#20190,#1627,.T.);
#25359=EDGE_CURVE('',#20192,#20193,#1628,.T.);
#25360=EDGE_CURVE('',#20193,#20192,#1629,.T.);
#25361=EDGE_CURVE('',#20194,#20195,#1630,.T.);
#25362=EDGE_CURVE('',#20195,#20194,#1631,.T.);
#25363=EDGE_CURVE('',#20196,#20197,#1632,.T.);
#25364=EDGE_CURVE('',#20197,#20196,#1633,.T.);
#25365=EDGE_CURVE('',#20198,#20199,#1634,.T.);
#25366=EDGE_CURVE('',#20199,#20198,#1635,.T.);
#25367=EDGE_CURVE('',#20200,#20201,#12040,.T.);
#25368=EDGE_CURVE('',#20202,#20200,#1636,.T.);
#25369=EDGE_CURVE('',#20203,#20202,#12041,.T.);
#25370=EDGE_CURVE('',#20201,#20203,#1637,.T.);
#25371=EDGE_CURVE('',#20204,#20205,#1638,.T.);
#25372=EDGE_CURVE('',#20205,#20204,#1639,.T.);
#25373=EDGE_CURVE('',#20206,#20207,#1640,.T.);
#25374=EDGE_CURVE('',#20207,#20206,#1641,.T.);
#25375=EDGE_CURVE('',#20208,#20209,#1642,.T.);
#25376=EDGE_CURVE('',#20209,#20208,#1643,.T.);
#25377=EDGE_CURVE('',#20210,#20211,#1644,.T.);
#25378=EDGE_CURVE('',#20211,#20210,#1645,.T.);
#25379=EDGE_CURVE('',#20212,#20213,#1646,.T.);
#25380=EDGE_CURVE('',#20213,#20212,#1647,.T.);
#25381=EDGE_CURVE('',#20214,#20215,#1648,.T.);
#25382=EDGE_CURVE('',#20215,#20214,#1649,.T.);
#25383=EDGE_CURVE('',#20216,#20217,#1650,.T.);
#25384=EDGE_CURVE('',#20217,#20216,#1651,.T.);
#25385=EDGE_CURVE('',#20218,#20219,#1652,.T.);
#25386=EDGE_CURVE('',#20219,#20218,#1653,.T.);
#25387=EDGE_CURVE('',#20220,#20221,#1654,.T.);
#25388=EDGE_CURVE('',#20221,#20220,#1655,.T.);
#25389=EDGE_CURVE('',#20222,#20223,#1656,.T.);
#25390=EDGE_CURVE('',#20223,#20222,#1657,.T.);
#25391=EDGE_CURVE('',#20224,#20225,#1658,.T.);
#25392=EDGE_CURVE('',#20225,#20224,#1659,.T.);
#25393=EDGE_CURVE('',#20226,#20227,#1660,.T.);
#25394=EDGE_CURVE('',#20227,#20226,#1661,.T.);
#25395=EDGE_CURVE('',#20228,#20229,#1662,.T.);
#25396=EDGE_CURVE('',#20229,#20228,#1663,.T.);
#25397=EDGE_CURVE('',#20230,#20231,#1664,.T.);
#25398=EDGE_CURVE('',#20231,#20230,#1665,.T.);
#25399=EDGE_CURVE('',#20232,#20233,#1666,.T.);
#25400=EDGE_CURVE('',#20233,#20232,#1667,.T.);
#25401=EDGE_CURVE('',#20234,#20235,#1668,.T.);
#25402=EDGE_CURVE('',#20235,#20234,#1669,.T.);
#25403=EDGE_CURVE('',#20236,#20237,#1670,.T.);
#25404=EDGE_CURVE('',#20237,#20236,#1671,.T.);
#25405=EDGE_CURVE('',#20238,#20239,#1672,.T.);
#25406=EDGE_CURVE('',#20239,#20238,#1673,.T.);
#25407=EDGE_CURVE('',#20240,#20241,#1674,.T.);
#25408=EDGE_CURVE('',#20241,#20240,#1675,.T.);
#25409=EDGE_CURVE('',#19631,#20181,#12042,.T.);
#25410=EDGE_CURVE('',#19633,#20191,#12043,.T.);
#25411=EDGE_CURVE('',#19635,#20175,#12044,.T.);
#25412=EDGE_CURVE('',#19637,#20167,#12045,.T.);
#25413=EDGE_CURVE('',#19639,#20179,#12046,.T.);
#25414=EDGE_CURVE('',#19640,#20067,#12047,.T.);
#25415=EDGE_CURVE('',#19641,#20069,#12048,.T.);
#25416=EDGE_CURVE('',#19643,#20066,#12049,.T.);
#25417=EDGE_CURVE('',#19642,#20068,#12050,.T.);
#25418=EDGE_CURVE('',#19645,#20079,#12051,.T.);
#25419=EDGE_CURVE('',#19647,#20051,#12052,.T.);
#25420=EDGE_CURVE('',#19649,#20197,#12053,.T.);
#25421=EDGE_CURVE('',#19651,#20205,#12054,.T.);
#25422=EDGE_CURVE('',#19653,#20199,#12055,.T.);
#25423=EDGE_CURVE('',#19655,#20071,#12056,.T.);
#25424=EDGE_CURVE('',#19657,#20073,#12057,.T.);
#25425=EDGE_CURVE('',#19659,#20101,#12058,.T.);
#25426=EDGE_CURVE('',#19661,#20111,#12059,.T.);
#25427=EDGE_CURVE('',#19663,#20127,#12060,.T.);
#25428=EDGE_CURVE('',#19665,#20065,#12061,.T.);
#25429=EDGE_CURVE('',#19667,#20125,#12062,.T.);
#25430=EDGE_CURVE('',#19669,#20061,#12063,.T.);
#25431=EDGE_CURVE('',#19671,#20093,#12064,.T.);
#25432=EDGE_CURVE('',#19673,#20091,#12065,.T.);
#25433=EDGE_CURVE('',#19675,#20099,#12066,.T.);
#25434=EDGE_CURVE('',#19677,#19957,#12067,.T.);
#25435=EDGE_CURVE('',#19679,#19959,#12068,.T.);
#25436=EDGE_CURVE('',#19681,#19955,#12069,.T.);
#25437=EDGE_CURVE('',#19683,#19947,#12070,.T.);
#25438=EDGE_CURVE('',#19685,#20035,#12071,.T.);
#25439=EDGE_CURVE('',#19687,#19979,#12072,.T.);
#25440=EDGE_CURVE('',#19689,#20117,#12073,.T.);
#25441=EDGE_CURVE('',#19691,#20011,#12074,.T.);
#25442=EDGE_CURVE('',#19693,#20017,#12075,.T.);
#25443=EDGE_CURVE('',#19695,#20031,#12076,.T.);
#25444=EDGE_CURVE('',#19697,#20037,#12077,.T.);
#25445=EDGE_CURVE('',#19699,#19965,#12078,.T.);
#25446=EDGE_CURVE('',#19701,#19977,#12079,.T.);
#25447=EDGE_CURVE('',#19703,#19967,#12080,.T.);
#25448=EDGE_CURVE('',#19705,#20023,#12081,.T.);
#25449=EDGE_CURVE('',#19707,#20025,#12082,.T.);
#25450=EDGE_CURVE('',#19709,#20003,#12083,.T.);
#25451=EDGE_CURVE('',#19711,#20005,#12084,.T.);
#25452=EDGE_CURVE('',#19713,#20119,#12085,.T.);
#25453=EDGE_CURVE('',#19715,#19999,#12086,.T.);
#25454=EDGE_CURVE('',#19717,#19987,#12087,.T.);
#25455=EDGE_CURVE('',#19719,#19975,#12088,.T.);
#25456=EDGE_CURVE('',#19721,#19989,#12089,.T.);
#25457=EDGE_CURVE('',#19723,#20001,#12090,.T.);
#25458=EDGE_CURVE('',#19725,#20089,#12091,.T.);
#25459=EDGE_CURVE('',#19727,#20189,#12092,.T.);
#25460=EDGE_CURVE('',#19729,#20183,#12093,.T.);
#25461=EDGE_CURVE('',#19731,#20187,#12094,.T.);
#25462=EDGE_CURVE('',#19733,#20185,#12095,.T.);
#25463=EDGE_CURVE('',#19735,#20207,#12096,.T.);
#25464=EDGE_CURVE('',#19737,#20195,#12097,.T.);
#25465=EDGE_CURVE('',#19739,#20085,#12098,.T.);
#25466=EDGE_CURVE('',#19741,#20087,#12099,.T.);
#25467=EDGE_CURVE('',#19743,#20103,#12100,.T.);
#25468=EDGE_CURVE('',#19745,#20109,#12101,.T.);
#25469=EDGE_CURVE('',#19747,#20083,#12102,.T.);
#25470=EDGE_CURVE('',#19749,#19981,#12103,.T.);
#25471=EDGE_CURVE('',#19751,#20027,#12104,.T.);
#25472=EDGE_CURVE('',#19753,#20039,#12105,.T.);
#25473=EDGE_CURVE('',#19755,#20021,#12106,.T.);
#25474=EDGE_CURVE('',#19757,#20097,#12107,.T.);
#25475=EDGE_CURVE('',#19759,#20007,#12108,.T.);
#25476=EDGE_CURVE('',#19761,#20013,#12109,.T.);
#25477=EDGE_CURVE('',#19763,#20095,#12110,.T.);
#25478=EDGE_CURVE('',#19765,#19961,#12111,.T.);
#25479=EDGE_CURVE('',#19767,#19953,#12112,.T.);
#25480=EDGE_CURVE('',#19769,#19951,#12113,.T.);
#25481=EDGE_CURVE('',#19771,#20171,#12114,.T.);
#25482=EDGE_CURVE('',#19773,#20047,#12115,.T.);
#25483=EDGE_CURVE('',#19775,#20165,#12116,.T.);
#25484=EDGE_CURVE('',#19777,#20049,#12117,.T.);
#25485=EDGE_CURVE('',#19779,#19969,#12118,.T.);
#25486=EDGE_CURVE('',#19781,#19971,#12119,.T.);
#25487=EDGE_CURVE('',#19783,#19941,#12120,.T.);
#25488=EDGE_CURVE('',#19785,#20155,#12121,.T.);
#25489=EDGE_CURVE('',#19787,#20153,#12122,.T.);
#25490=EDGE_CURVE('',#19789,#20151,#12123,.T.);
#25491=EDGE_CURVE('',#19791,#20149,#12124,.T.);
#25492=EDGE_CURVE('',#19793,#20147,#12125,.T.);
#25493=EDGE_CURVE('',#19795,#19939,#12126,.T.);
#25494=EDGE_CURVE('',#19797,#20137,#12127,.T.);
#25495=EDGE_CURVE('',#19799,#20143,#12128,.T.);
#25496=EDGE_CURVE('',#19801,#20139,#12129,.T.);
#25497=EDGE_CURVE('',#19803,#20141,#12130,.T.);
#25498=EDGE_CURVE('',#19805,#20145,#12131,.T.);
#25499=EDGE_CURVE('',#19807,#20043,#12132,.T.);
#25500=EDGE_CURVE('',#19809,#20045,#12133,.T.);
#25501=EDGE_CURVE('',#19811,#19993,#12134,.T.);
#25502=EDGE_CURVE('',#19813,#20041,#12135,.T.);
#25503=EDGE_CURVE('',#19815,#19991,#12136,.T.);
#25504=EDGE_CURVE('',#19817,#19995,#12137,.T.);
#25505=EDGE_CURVE('',#19819,#20173,#12138,.T.);
#25506=EDGE_CURVE('',#19821,#20169,#12139,.T.);
#25507=EDGE_CURVE('',#19822,#20201,#12140,.T.);
#25508=EDGE_CURVE('',#19823,#20203,#12141,.T.);
#25509=EDGE_CURVE('',#19825,#20200,#12142,.T.);
#25510=EDGE_CURVE('',#19824,#20202,#12143,.T.);
#25511=EDGE_CURVE('',#19826,#20130,#12144,.T.);
#25512=EDGE_CURVE('',#19827,#20131,#12145,.T.);
#25513=EDGE_CURVE('',#19829,#20132,#12146,.T.);
#25514=EDGE_CURVE('',#19828,#20133,#12147,.T.);
#25515=EDGE_CURVE('',#19831,#20115,#12148,.T.);
#25516=EDGE_CURVE('',#19833,#20123,#12149,.T.);
#25517=EDGE_CURVE('',#19835,#19997,#12150,.T.);
#25518=EDGE_CURVE('',#19837,#19985,#12151,.T.);
#25519=EDGE_CURVE('',#19839,#19983,#12152,.T.);
#25520=EDGE_CURVE('',#19841,#20063,#12153,.T.);
#25521=EDGE_CURVE('',#19843,#20157,#12154,.T.);
#25522=EDGE_CURVE('',#19845,#19945,#12155,.T.);
#25523=EDGE_CURVE('',#19847,#19943,#12156,.T.);
#25524=EDGE_CURVE('',#19849,#20033,#12157,.T.);
#25525=EDGE_CURVE('',#19851,#19949,#12158,.T.);
#25526=EDGE_CURVE('',#19853,#19973,#12159,.T.);
#25527=EDGE_CURVE('',#19855,#20029,#12160,.T.);
#25528=EDGE_CURVE('',#19857,#20015,#12161,.T.);
#25529=EDGE_CURVE('',#19859,#20057,#12162,.T.);
#25530=EDGE_CURVE('',#19861,#20075,#12163,.T.);
#25531=EDGE_CURVE('',#19863,#20053,#12164,.T.);
#25532=EDGE_CURVE('',#19865,#20081,#12165,.T.);
#25533=EDGE_CURVE('',#19867,#20059,#12166,.T.);
#25534=EDGE_CURVE('',#19869,#20113,#12167,.T.);
#25535=EDGE_CURVE('',#19871,#20129,#12168,.T.);
#25536=EDGE_CURVE('',#19873,#20107,#12169,.T.);
#25537=EDGE_CURVE('',#19875,#20105,#12170,.T.);
#25538=EDGE_CURVE('',#19877,#20019,#12171,.T.);
#25539=EDGE_CURVE('',#19879,#20009,#12172,.T.);
#25540=EDGE_CURVE('',#19881,#20121,#12173,.T.);
#25541=EDGE_CURVE('',#19883,#20055,#12174,.T.);
#25542=EDGE_CURVE('',#19885,#20077,#12175,.T.);
#25543=EDGE_CURVE('',#19887,#19963,#12176,.T.);
#25544=EDGE_CURVE('',#19889,#20161,#12177,.T.);
#25545=EDGE_CURVE('',#19891,#20163,#12178,.T.);
#25546=EDGE_CURVE('',#19893,#20177,#12179,.T.);
#25547=EDGE_CURVE('',#19895,#20193,#12180,.T.);
#25548=EDGE_CURVE('',#19897,#20135,#12181,.T.);
#25549=EDGE_CURVE('',#19899,#20159,#12182,.T.);
#25550=EDGE_CURVE('',#19901,#20241,#12183,.T.);
#25551=EDGE_CURVE('',#19903,#20237,#12184,.T.);
#25552=EDGE_CURVE('',#19905,#20235,#12185,.T.);
#25553=EDGE_CURVE('',#19907,#20239,#12186,.T.);
#25554=EDGE_CURVE('',#19909,#20221,#12187,.T.);
#25555=EDGE_CURVE('',#19911,#20225,#12188,.T.);
#25556=EDGE_CURVE('',#19913,#20227,#12189,.T.);
#25557=EDGE_CURVE('',#19915,#20215,#12190,.T.);
#25558=EDGE_CURVE('',#19917,#20231,#12191,.T.);
#25559=EDGE_CURVE('',#19919,#20233,#12192,.T.);
#25560=EDGE_CURVE('',#19921,#20217,#12193,.T.);
#25561=EDGE_CURVE('',#19923,#20211,#12194,.T.);
#25562=EDGE_CURVE('',#19925,#20213,#12195,.T.);
#25563=EDGE_CURVE('',#19927,#19937,#12196,.T.);
#25564=EDGE_CURVE('',#19929,#20219,#12197,.T.);
#25565=EDGE_CURVE('',#19931,#20223,#12198,.T.);
#25566=EDGE_CURVE('',#19933,#20229,#12199,.T.);
#25567=EDGE_CURVE('',#19935,#20209,#12200,.T.);
#25568=EDGE_CURVE('',#20242,#20243,#12201,.T.);
#25569=EDGE_CURVE('',#20243,#20244,#12202,.T.);
#25570=EDGE_CURVE('',#20244,#20245,#12203,.T.);
#25571=EDGE_CURVE('',#20245,#20242,#12204,.T.);
#25572=EDGE_CURVE('',#20246,#20244,#12205,.T.);
#25573=EDGE_CURVE('',#20243,#20247,#12206,.T.);
#25574=EDGE_CURVE('',#20246,#20247,#12207,.T.);
#25575=EDGE_CURVE('',#20248,#20242,#12208,.T.);
#25576=EDGE_CURVE('',#20245,#20249,#12209,.T.);
#25577=EDGE_CURVE('',#20249,#20248,#12210,.T.);
#25578=EDGE_CURVE('',#20247,#20248,#12211,.T.);
#25579=EDGE_CURVE('',#20249,#20246,#12212,.T.);
#25580=EDGE_CURVE('',#20243,#20250,#12213,.F.);
#25581=EDGE_CURVE('',#20251,#20250,#12214,.F.);
#25582=EDGE_CURVE('',#20247,#20251,#12215,.T.);
#25583=EDGE_CURVE('',#20242,#20252,#12216,.F.);
#25584=EDGE_CURVE('',#20250,#20252,#12217,.F.);
#25585=EDGE_CURVE('',#20253,#20254,#12218,.T.);
#25586=EDGE_CURVE('',#20254,#20255,#12219,.T.);
#25587=EDGE_CURVE('',#20255,#20256,#12220,.T.);
#25588=EDGE_CURVE('',#20256,#20253,#12221,.T.);
#25589=EDGE_CURVE('',#20257,#20258,#145,.T.);
#25590=EDGE_CURVE('',#20258,#20259,#12222,.T.);
#25591=EDGE_CURVE('',#20259,#20260,#1676,.F.);
#25592=EDGE_CURVE('',#20260,#20261,#12223,.T.);
#25593=EDGE_CURVE('',#20261,#20257,#146,.T.);
#25594=EDGE_CURVE('',#20261,#20262,#12224,.T.);
#25595=EDGE_CURVE('',#20263,#20262,#147,.T.);
#25596=EDGE_CURVE('',#20264,#20263,#12225,.T.);
#25597=EDGE_CURVE('',#20264,#20265,#12226,.T.);
#25598=EDGE_CURVE('',#20265,#20257,#12227,.T.);
#25599=EDGE_CURVE('',#20260,#20266,#12228,.T.);
#25600=EDGE_CURVE('',#20262,#20266,#12229,.T.);
#25601=EDGE_CURVE('',#20266,#20267,#1677,.F.);
#25602=EDGE_CURVE('',#20267,#20268,#12230,.T.);
#25603=EDGE_CURVE('',#20268,#20263,#148,.T.);
#25604=EDGE_CURVE('',#20269,#20270,#149,.T.);
#25605=EDGE_CURVE('',#20270,#20271,#12231,.T.);
#25606=EDGE_CURVE('',#20271,#20272,#1678,.F.);
#25607=EDGE_CURVE('',#20272,#20273,#12232,.T.);
#25608=EDGE_CURVE('',#20273,#20269,#150,.T.);
#25609=EDGE_CURVE('',#20273,#20274,#12233,.T.);
#25610=EDGE_CURVE('',#20275,#20274,#151,.T.);
#25611=EDGE_CURVE('',#20276,#20275,#12234,.T.);
#25612=EDGE_CURVE('',#20276,#20277,#12235,.T.);
#25613=EDGE_CURVE('',#20277,#20269,#12236,.T.);
#25614=EDGE_CURVE('',#20272,#20278,#12237,.T.);
#25615=EDGE_CURVE('',#20274,#20278,#12238,.T.);
#25616=EDGE_CURVE('',#20278,#20279,#1679,.F.);
#25617=EDGE_CURVE('',#20279,#20280,#12239,.T.);
#25618=EDGE_CURVE('',#20280,#20275,#152,.T.);
#25619=EDGE_CURVE('',#20265,#20276,#12240,.T.);
#25620=EDGE_CURVE('',#20280,#20258,#12241,.T.);
#25621=EDGE_CURVE('',#20268,#20270,#12242,.T.);
#25622=EDGE_CURVE('',#20277,#20264,#12243,.T.);
#25623=EDGE_CURVE('',#20281,#20267,#12244,.T.);
#25624=EDGE_CURVE('',#20254,#20281,#12245,.F.);
#25625=EDGE_CURVE('',#20253,#20282,#12246,.T.);
#25626=EDGE_CURVE('',#20271,#20282,#12247,.T.);
#25627=EDGE_CURVE('',#20252,#20283,#12248,.F.);
#25628=EDGE_CURVE('',#20283,#20251,#12249,.F.);
#25629=EDGE_CURVE('',#20256,#20284,#12250,.F.);
#25630=EDGE_CURVE('',#20282,#20284,#12251,.T.);
#25631=EDGE_CURVE('',#20284,#20279,#12252,.T.);
#25632=EDGE_CURVE('',#20285,#20281,#12253,.T.);
#25633=EDGE_CURVE('',#20255,#20285,#12254,.T.);
#25634=EDGE_CURVE('',#20259,#20285,#12255,.T.);
#25635=EDGE_CURVE('',#20248,#20283,#12256,.F.);
#25636=EDGE_CURVE('',#20286,#20287,#153,.T.);
#25637=EDGE_CURVE('',#20287,#20288,#12257,.T.);
#25638=EDGE_CURVE('',#20288,#20289,#154,.T.);
#25639=EDGE_CURVE('',#20289,#20286,#12258,.T.);
#25640=EDGE_CURVE('',#20290,#20286,#1680,.T.);
#25641=EDGE_CURVE('',#20291,#20290,#155,.T.);
#25642=EDGE_CURVE('',#20292,#20291,#12259,.T.);
#25643=EDGE_CURVE('',#20292,#20293,#1681,.T.);
#25644=EDGE_CURVE('',#20293,#20294,#1682,.T.);
#25645=EDGE_CURVE('',#20294,#20295,#1683,.T.);
#25646=EDGE_CURVE('',#20287,#20295,#12260,.T.);
#25647=EDGE_CURVE('',#20289,#20296,#1684,.T.);
#25648=EDGE_CURVE('',#20297,#20296,#12261,.T.);
#25649=EDGE_CURVE('',#20298,#20297,#12262,.T.);
#25650=EDGE_CURVE('',#20299,#20298,#12263,.T.);
#25651=EDGE_CURVE('',#20300,#20299,#12264,.T.);
#25652=EDGE_CURVE('',#20301,#20300,#12265,.T.);
#25653=EDGE_CURVE('',#20301,#20302,#1685,.T.);
#25654=EDGE_CURVE('',#20303,#20302,#12266,.T.);
#25655=EDGE_CURVE('',#20303,#20304,#1686,.T.);
#25656=EDGE_CURVE('',#20305,#20304,#12267,.T.);
#25657=EDGE_CURVE('',#20305,#20306,#1687,.T.);
#25658=EDGE_CURVE('',#20307,#20306,#12268,.T.);
#25659=EDGE_CURVE('',#20307,#20308,#1688,.T.);
#25660=EDGE_CURVE('',#20290,#20308,#12269,.T.);
#25661=EDGE_CURVE('',#20308,#20309,#156,.T.);
#25662=EDGE_CURVE('',#20309,#20291,#12270,.T.);
#25663=EDGE_CURVE('',#20310,#20307,#157,.T.);
#25664=EDGE_CURVE('',#20311,#20310,#12271,.T.);
#25665=EDGE_CURVE('',#20311,#20312,#1689,.T.);
#25666=EDGE_CURVE('',#20312,#20313,#1690,.T.);
#25667=EDGE_CURVE('',#20313,#20314,#1691,.T.);
#25668=EDGE_CURVE('',#20309,#20314,#12272,.T.);
#25669=EDGE_CURVE('',#20306,#20315,#158,.T.);
#25670=EDGE_CURVE('',#20315,#20310,#12273,.T.);
#25671=EDGE_CURVE('',#20316,#20305,#159,.T.);
#25672=EDGE_CURVE('',#20317,#20316,#12274,.T.);
#25673=EDGE_CURVE('',#20317,#20318,#1692,.T.);
#25674=EDGE_CURVE('',#20318,#20319,#1693,.T.);
#25675=EDGE_CURVE('',#20319,#20320,#1694,.T.);
#25676=EDGE_CURVE('',#20315,#20320,#12275,.T.);
#25677=EDGE_CURVE('',#20304,#20321,#160,.T.);
#25678=EDGE_CURVE('',#20321,#20316,#12276,.T.);
#25679=EDGE_CURVE('',#20322,#20303,#161,.T.);
#25680=EDGE_CURVE('',#20323,#20322,#12277,.T.);
#25681=EDGE_CURVE('',#20323,#20324,#1695,.T.);
#25682=EDGE_CURVE('',#20324,#20325,#1696,.T.);
#25683=EDGE_CURVE('',#20325,#20326,#1697,.T.);
#25684=EDGE_CURVE('',#20321,#20326,#12278,.T.);
#25685=EDGE_CURVE('',#20302,#20327,#162,.T.);
#25686=EDGE_CURVE('',#20327,#20322,#12279,.T.);
#25687=EDGE_CURVE('',#20328,#20301,#163,.T.);
#25688=EDGE_CURVE('',#20329,#20328,#12280,.T.);
#25689=EDGE_CURVE('',#20329,#20330,#1698,.T.);
#25690=EDGE_CURVE('',#20330,#20331,#1699,.T.);
#25691=EDGE_CURVE('',#20331,#20332,#1700,.T.);
#25692=EDGE_CURVE('',#20327,#20332,#12281,.T.);
#25693=EDGE_CURVE('',#20333,#20334,#164,.T.);
#25694=EDGE_CURVE('',#20334,#20335,#12282,.T.);
#25695=EDGE_CURVE('',#20335,#20336,#12283,.T.);
#25696=EDGE_CURVE('',#20336,#20333,#12284,.T.);
#25697=EDGE_CURVE('',#20337,#20338,#12285,.T.);
#25698=EDGE_CURVE('',#20338,#20336,#1701,.T.);
#25699=EDGE_CURVE('',#20335,#20337,#1702,.T.);
#25700=EDGE_CURVE('',#20339,#20340,#12286,.T.);
#25701=EDGE_CURVE('',#20340,#20338,#12287,.T.);
#25702=EDGE_CURVE('',#20337,#20339,#12288,.T.);
#25703=EDGE_CURVE('',#20297,#20341,#12289,.T.);
#25704=EDGE_CURVE('',#20341,#20340,#1703,.T.);
#25705=EDGE_CURVE('',#20339,#20297,#1704,.T.);
#25706=EDGE_CURVE('',#20296,#20342,#165,.T.);
#25707=EDGE_CURVE('',#20342,#20341,#12290,.T.);
#25708=EDGE_CURVE('',#20339,#20343,#12291,.T.);
#25709=EDGE_CURVE('',#20298,#20343,#1705,.T.);
#25710=EDGE_CURVE('',#20337,#20344,#12292,.T.);
#25711=EDGE_CURVE('',#20343,#20344,#12293,.T.);
#25712=EDGE_CURVE('',#20335,#20345,#12294,.T.);
#25713=EDGE_CURVE('',#20344,#20345,#1706,.T.);
#25714=EDGE_CURVE('',#20334,#20346,#1707,.T.);
#25715=EDGE_CURVE('',#20347,#20346,#12295,.T.);
#25716=EDGE_CURVE('',#20347,#20348,#12296,.T.);
#25717=EDGE_CURVE('',#20345,#20348,#12297,.T.);
#25718=EDGE_CURVE('',#20349,#20333,#12298,.T.);
#25719=EDGE_CURVE('',#20349,#20350,#1708,.T.);
#25720=EDGE_CURVE('',#20350,#20351,#1709,.T.);
#25721=EDGE_CURVE('',#20351,#20352,#1710,.T.);
#25722=EDGE_CURVE('',#20353,#20352,#12299,.T.);
#25723=EDGE_CURVE('',#20346,#20353,#166,.T.);
#25724=EDGE_CURVE('',#20300,#20354,#12300,.T.);
#25725=EDGE_CURVE('',#20354,#20328,#12301,.T.);
#25726=EDGE_CURVE('',#20355,#20356,#12302,.T.);
#25727=EDGE_CURVE('',#20356,#20354,#1711,.T.);
#25728=EDGE_CURVE('',#20300,#20355,#1712,.T.);
#25729=EDGE_CURVE('',#20357,#20358,#12303,.T.);
#25730=EDGE_CURVE('',#20358,#20356,#12304,.T.);
#25731=EDGE_CURVE('',#20355,#20357,#12305,.T.);
#25732=EDGE_CURVE('',#20347,#20359,#12306,.T.);
#25733=EDGE_CURVE('',#20359,#20358,#1713,.T.);
#25734=EDGE_CURVE('',#20357,#20347,#1714,.T.);
#25735=EDGE_CURVE('',#20353,#20359,#12307,.T.);
#25736=EDGE_CURVE('',#20352,#20360,#12308,.T.);
#25737=EDGE_CURVE('',#20360,#20361,#12309,.T.);
#25738=EDGE_CURVE('',#20362,#20361,#1715,.F.);
#25739=EDGE_CURVE('',#20362,#20363,#12310,.T.);
#25740=EDGE_CURVE('',#20364,#20363,#1716,.F.);
#25741=EDGE_CURVE('',#20364,#20365,#12311,.T.);
#25742=EDGE_CURVE('',#20365,#20329,#12312,.T.);
#25743=EDGE_CURVE('',#20366,#20367,#1717,.T.);
#25744=EDGE_CURVE('',#20367,#20366,#1718,.T.);
#25745=EDGE_CURVE('',#20367,#20368,#12313,.T.);
#25746=EDGE_CURVE('',#20368,#20369,#1719,.T.);
#25747=EDGE_CURVE('',#20369,#20368,#1720,.T.);
#25748=EDGE_CURVE('',#20368,#20370,#12314,.T.);
#25749=EDGE_CURVE('',#20370,#20370,#1721,.T.);
#25750=EDGE_CURVE('',#20371,#20372,#1722,.T.);
#25751=EDGE_CURVE('',#20372,#20371,#1723,.T.);
#25752=EDGE_CURVE('',#20372,#20373,#12315,.T.);
#25753=EDGE_CURVE('',#20373,#20374,#1724,.T.);
#25754=EDGE_CURVE('',#20374,#20373,#1725,.T.);
#25755=EDGE_CURVE('',#20373,#20375,#12316,.T.);
#25756=EDGE_CURVE('',#20375,#20375,#1726,.T.);
#25757=EDGE_CURVE('',#20376,#20377,#1727,.T.);
#25758=EDGE_CURVE('',#20377,#20376,#1728,.T.);
#25759=EDGE_CURVE('',#20377,#20378,#12317,.T.);
#25760=EDGE_CURVE('',#20378,#20379,#1729,.T.);
#25761=EDGE_CURVE('',#20379,#20378,#1730,.T.);
#25762=EDGE_CURVE('',#20378,#20380,#12318,.T.);
#25763=EDGE_CURVE('',#20380,#20380,#1731,.T.);
#25764=EDGE_CURVE('',#20381,#20382,#1732,.T.);
#25765=EDGE_CURVE('',#20382,#20381,#1733,.T.);
#25766=EDGE_CURVE('',#20382,#20383,#12319,.T.);
#25767=EDGE_CURVE('',#20383,#20384,#1734,.T.);
#25768=EDGE_CURVE('',#20384,#20383,#1735,.T.);
#25769=EDGE_CURVE('',#20383,#20385,#12320,.T.);
#25770=EDGE_CURVE('',#20385,#20385,#1736,.T.);
#25771=EDGE_CURVE('',#20386,#20387,#1737,.T.);
#25772=EDGE_CURVE('',#20387,#20388,#12321,.T.);
#25773=EDGE_CURVE('',#20388,#20389,#1738,.F.);
#25774=EDGE_CURVE('',#20389,#20386,#12322,.T.);
#25775=EDGE_CURVE('',#20390,#20387,#12323,.F.);
#25776=EDGE_CURVE('',#20391,#20390,#12324,.T.);
#25777=EDGE_CURVE('',#20388,#20391,#12325,.T.);
#25778=EDGE_CURVE('',#20386,#20392,#12326,.F.);
#25779=EDGE_CURVE('',#20392,#20393,#12327,.F.);
#25780=EDGE_CURVE('',#20393,#20394,#12328,.F.);
#25781=EDGE_CURVE('',#20395,#20394,#1739,.T.);
#25782=EDGE_CURVE('',#20395,#20396,#12329,.F.);
#25783=EDGE_CURVE('',#20396,#20397,#12330,.F.);
#25784=EDGE_CURVE('',#20397,#20398,#12331,.T.);
#25785=EDGE_CURVE('',#20398,#20399,#12332,.F.);
#25786=EDGE_CURVE('',#20400,#20399,#1740,.T.);
#25787=EDGE_CURVE('',#20400,#20401,#12333,.F.);
#25788=EDGE_CURVE('',#20402,#20401,#1741,.T.);
#25789=EDGE_CURVE('',#20402,#20403,#12334,.F.);
#25790=EDGE_CURVE('',#20403,#20404,#12335,.T.);
#25791=EDGE_CURVE('',#20404,#20390,#12336,.F.);
#25792=EDGE_CURVE('',#20405,#20405,#1742,.F.);
#25793=EDGE_CURVE('',#20406,#20406,#1743,.F.);
#25794=EDGE_CURVE('',#20394,#20407,#12337,.T.);
#25795=EDGE_CURVE('',#20407,#20408,#1744,.F.);
#25796=EDGE_CURVE('',#20408,#20395,#12338,.T.);
#25797=EDGE_CURVE('',#20409,#20393,#12339,.T.);
#25798=EDGE_CURVE('',#20407,#20409,#12340,.T.);
#25799=EDGE_CURVE('',#20410,#20411,#1745,.T.);
#25800=EDGE_CURVE('',#20411,#20412,#12341,.T.);
#25801=EDGE_CURVE('',#20412,#20413,#1746,.F.);
#25802=EDGE_CURVE('',#20413,#20410,#12342,.T.);
#25803=EDGE_CURVE('',#20414,#20411,#12343,.F.);
#25804=EDGE_CURVE('',#20415,#20414,#12344,.T.);
#25805=EDGE_CURVE('',#20412,#20415,#12345,.T.);
#25806=EDGE_CURVE('',#20410,#20416,#12346,.F.);
#25807=EDGE_CURVE('',#20416,#20417,#12347,.F.);
#25808=EDGE_CURVE('',#20417,#20418,#12348,.T.);
#25809=EDGE_CURVE('',#20418,#20419,#12349,.F.);
#25810=EDGE_CURVE('',#20420,#20419,#1747,.T.);
#25811=EDGE_CURVE('',#20420,#20421,#12350,.F.);
#25812=EDGE_CURVE('',#20422,#20421,#1748,.T.);
#25813=EDGE_CURVE('',#20422,#20423,#12351,.F.);
#25814=EDGE_CURVE('',#20423,#20424,#12352,.T.);
#25815=EDGE_CURVE('',#20424,#20425,#12353,.F.);
#25816=EDGE_CURVE('',#20425,#20426,#12354,.F.);
#25817=EDGE_CURVE('',#20427,#20426,#1749,.T.);
#25818=EDGE_CURVE('',#20427,#20428,#12355,.F.);
#25819=EDGE_CURVE('',#20428,#20414,#12356,.F.);
#25820=EDGE_CURVE('',#20429,#20429,#1750,.F.);
#25821=EDGE_CURVE('',#20430,#20430,#1751,.F.);
#25822=EDGE_CURVE('',#20426,#20431,#12357,.T.);
#25823=EDGE_CURVE('',#20431,#20432,#1752,.F.);
#25824=EDGE_CURVE('',#20432,#20427,#12358,.T.);
#25825=EDGE_CURVE('',#20433,#20425,#12359,.T.);
#25826=EDGE_CURVE('',#20431,#20433,#12360,.T.);
#25827=EDGE_CURVE('',#20421,#20362,#12361,.T.);
#25828=EDGE_CURVE('',#20361,#20422,#12362,.T.);
#25829=EDGE_CURVE('',#20363,#20420,#12363,.T.);
#25830=EDGE_CURVE('',#20399,#20434,#12364,.T.);
#25831=EDGE_CURVE('',#20434,#20435,#1753,.F.);
#25832=EDGE_CURVE('',#20435,#20400,#12365,.T.);
#25833=EDGE_CURVE('',#20436,#20435,#12366,.T.);
#25834=EDGE_CURVE('',#20401,#20436,#12367,.T.);
#25835=EDGE_CURVE('',#20436,#20437,#1754,.F.);
#25836=EDGE_CURVE('',#20437,#20402,#12368,.T.);
#25837=EDGE_CURVE('',#20419,#20364,#12369,.T.);
#25838=EDGE_CURVE('',#20418,#20365,#12370,.T.);
#25839=EDGE_CURVE('',#20351,#20438,#12371,.T.);
#25840=EDGE_CURVE('',#20438,#20360,#12372,.T.);
#25841=EDGE_CURVE('',#20439,#20350,#12373,.T.);
#25842=EDGE_CURVE('',#20440,#20439,#12374,.T.);
#25843=EDGE_CURVE('',#20441,#20440,#12375,.T.);
#25844=EDGE_CURVE('',#20441,#20438,#12376,.T.);
#25845=EDGE_CURVE('',#20350,#20351,#12377,.T.);
#25846=EDGE_CURVE('',#20442,#20441,#12378,.T.);
#25847=EDGE_CURVE('',#20443,#20442,#12379,.T.);
#25848=EDGE_CURVE('',#20360,#20443,#12380,.T.);
#25849=EDGE_CURVE('',#20398,#20444,#12381,.T.);
#25850=EDGE_CURVE('',#20440,#20397,#12382,.T.);
#25851=EDGE_CURVE('',#20444,#20439,#12383,.T.);
#25852=EDGE_CURVE('',#20444,#20349,#12384,.T.);
#25853=EDGE_CURVE('',#20445,#20446,#12385,.T.);
#25854=EDGE_CURVE('',#20447,#20445,#12386,.T.);
#25855=EDGE_CURVE('',#20448,#20447,#12387,.T.);
#25856=EDGE_CURVE('',#20448,#20446,#12388,.T.);
#25857=EDGE_CURVE('',#20446,#20449,#12389,.T.);
#25858=EDGE_CURVE('',#20450,#20448,#12390,.T.);
#25859=EDGE_CURVE('',#20450,#20449,#12391,.T.);
#25860=EDGE_CURVE('',#20449,#20423,#12392,.T.);
#25861=EDGE_CURVE('',#20424,#20450,#12393,.T.);
#25862=EDGE_CURVE('',#20445,#20443,#12394,.F.);
#25863=EDGE_CURVE('',#20434,#20444,#12395,.T.);
#25864=EDGE_CURVE('',#20442,#20447,#12396,.F.);
#25865=EDGE_CURVE('',#20342,#20451,#12397,.T.);
#25866=EDGE_CURVE('',#20451,#20452,#12398,.T.);
#25867=EDGE_CURVE('',#20452,#20437,#12399,.T.);
#25868=EDGE_CURVE('',#20319,#20312,#12400,.T.);
#25869=EDGE_CURVE('',#20320,#20311,#12401,.T.);
#25870=EDGE_CURVE('',#20313,#20293,#12402,.T.);
#25871=EDGE_CURVE('',#20314,#20292,#12403,.T.);
#25872=EDGE_CURVE('',#20294,#20453,#12404,.T.);
#25873=EDGE_CURVE('',#20454,#20453,#1755,.T.);
#25874=EDGE_CURVE('',#20295,#20454,#12405,.T.);
#25875=EDGE_CURVE('',#20455,#20451,#1756,.T.);
#25876=EDGE_CURVE('',#20455,#20456,#12406,.T.);
#25877=EDGE_CURVE('',#20456,#20452,#12407,.T.);
#25878=EDGE_CURVE('',#20365,#20457,#12408,.T.);
#25879=EDGE_CURVE('',#20457,#20330,#12409,.T.);
#25880=EDGE_CURVE('',#20332,#20323,#12410,.T.);
#25881=EDGE_CURVE('',#20331,#20324,#12411,.T.);
#25882=EDGE_CURVE('',#20325,#20318,#12412,.T.);
#25883=EDGE_CURVE('',#20326,#20317,#12413,.T.);
#25884=EDGE_CURVE('',#20458,#20456,#12414,.T.);
#25885=EDGE_CURVE('',#20404,#20458,#12415,.T.);
#25886=EDGE_CURVE('',#20452,#20403,#12416,.T.);
#25887=EDGE_CURVE('',#20459,#20417,#12417,.T.);
#25888=EDGE_CURVE('',#20459,#20457,#12418,.T.);
#25889=EDGE_CURVE('',#20458,#20459,#12419,.T.);
#25890=EDGE_CURVE('',#20453,#20455,#12420,.T.);
#25891=EDGE_CURVE('',#20293,#20294,#12421,.T.);
#25892=EDGE_CURVE('',#20312,#20313,#12422,.T.);
#25893=EDGE_CURVE('',#20318,#20319,#12423,.T.);
#25894=EDGE_CURVE('',#20324,#20325,#12424,.T.);
#25895=EDGE_CURVE('',#20330,#20331,#12425,.T.);
#25896=EDGE_CURVE('',#20453,#20455,#1757,.T.);
#25897=EDGE_CURVE('',#20454,#20288,#12426,.T.);
#25898=EDGE_CURVE('',#20460,#20413,#12427,.T.);
#25899=EDGE_CURVE('',#20460,#20416,#12428,.T.);
#25900=EDGE_CURVE('',#20391,#20460,#12429,.T.);
#25901=EDGE_CURVE('',#20461,#20389,#12430,.T.);
#25902=EDGE_CURVE('',#20461,#20392,#12431,.T.);
#25903=EDGE_CURVE('',#20409,#20461,#12432,.T.);
#25904=EDGE_CURVE('',#20430,#20462,#12433,.T.);
#25905=EDGE_CURVE('',#20462,#20462,#1758,.T.);
#25906=EDGE_CURVE('',#20406,#20463,#12434,.T.);
#25907=EDGE_CURVE('',#20463,#20463,#1759,.T.);
#25908=EDGE_CURVE('',#20405,#20464,#12435,.T.);
#25909=EDGE_CURVE('',#20464,#20464,#1760,.T.);
#25910=EDGE_CURVE('',#20429,#20465,#12436,.T.);
#25911=EDGE_CURVE('',#20465,#20465,#1761,.T.);
#25912=EDGE_CURVE('',#20415,#20466,#12437,.T.);
#25913=EDGE_CURVE('',#20466,#20428,#12438,.T.);
#25914=EDGE_CURVE('',#20466,#20432,#12439,.T.);
#25915=EDGE_CURVE('',#20467,#20396,#12440,.T.);
#25916=EDGE_CURVE('',#20433,#20467,#12441,.T.);
#25917=EDGE_CURVE('',#20467,#20408,#12442,.T.);
#25918=EDGE_CURVE('',#20468,#20469,#12443,.T.);
#25919=EDGE_CURVE('',#20468,#20470,#12444,.T.);
#25920=EDGE_CURVE('',#20471,#20470,#12445,.T.);
#25921=EDGE_CURVE('',#20469,#20471,#12446,.T.);
#25922=EDGE_CURVE('',#20469,#20472,#12447,.T.);
#25923=EDGE_CURVE('',#20473,#20471,#12448,.T.);
#25924=EDGE_CURVE('',#20472,#20473,#12449,.T.);
#25925=EDGE_CURVE('',#20472,#20474,#12450,.T.);
#25926=EDGE_CURVE('',#20475,#20473,#12451,.T.);
#25927=EDGE_CURVE('',#20474,#20475,#12452,.T.);
#25928=EDGE_CURVE('',#20474,#20468,#12453,.T.);
#25929=EDGE_CURVE('',#20470,#20475,#12454,.T.);
#25930=EDGE_CURVE('',#20476,#20299,#1762,.T.);
#25931=EDGE_CURVE('',#20355,#20476,#12455,.T.);
#25932=EDGE_CURVE('',#20477,#20476,#12456,.T.);
#25933=EDGE_CURVE('',#20357,#20477,#12457,.T.);
#25934=EDGE_CURVE('',#20348,#20477,#1763,.T.);
#25935=EDGE_CURVE('',#20478,#20479,#12458,.T.);
#25936=EDGE_CURVE('',#20480,#20478,#12459,.T.);
#25937=EDGE_CURVE('',#20481,#20480,#12460,.T.);
#25938=EDGE_CURVE('',#20482,#20481,#12461,.T.);
#25939=EDGE_CURVE('',#20483,#20482,#12462,.T.);
#25940=EDGE_CURVE('',#20479,#20483,#12463,.T.);
#25941=EDGE_CURVE('',#20484,#20485,#12464,.T.);
#25942=EDGE_CURVE('',#20486,#20484,#12465,.T.);
#25943=EDGE_CURVE('',#20486,#20487,#12466,.T.);
#25944=EDGE_CURVE('',#20488,#20487,#12467,.T.);
#25945=EDGE_CURVE('',#20489,#20488,#12468,.T.);
#25946=EDGE_CURVE('',#20485,#20489,#12469,.T.);
#25947=EDGE_CURVE('',#20490,#20479,#12470,.T.);
#25948=EDGE_CURVE('',#20490,#20491,#12471,.T.);
#25949=EDGE_CURVE('',#20478,#20491,#12472,.T.);
#25950=EDGE_CURVE('',#20483,#20492,#1764,.T.);
#25951=EDGE_CURVE('',#20493,#20492,#1765,.T.);
#25952=EDGE_CURVE('',#20493,#20486,#1766,.T.);
#25953=EDGE_CURVE('',#20494,#20484,#12473,.T.);
#25954=EDGE_CURVE('',#20494,#20490,#1767,.T.);
#25955=EDGE_CURVE('',#20485,#20495,#12474,.T.);
#25956=EDGE_CURVE('',#20494,#20495,#12475,.T.);
#25957=EDGE_CURVE('',#20495,#20491,#1768,.T.);
#25958=EDGE_CURVE('',#20496,#20489,#12476,.T.);
#25959=EDGE_CURVE('',#20497,#20496,#1769,.T.);
#25960=EDGE_CURVE('',#20480,#20497,#12477,.T.);
#25961=EDGE_CURVE('',#20498,#20488,#12478,.T.);
#25962=EDGE_CURVE('',#20498,#20496,#12479,.T.);
#25963=EDGE_CURVE('',#20499,#20498,#1770,.T.);
#25964=EDGE_CURVE('',#20497,#20499,#12480,.T.);
#25965=EDGE_CURVE('',#20481,#20499,#12481,.T.);
#25966=EDGE_CURVE('',#20487,#20500,#1771,.T.);
#25967=EDGE_CURVE('',#20500,#20501,#1772,.T.);
#25968=EDGE_CURVE('',#20501,#20482,#1773,.T.);
#25969=EDGE_CURVE('',#20493,#20500,#12482,.T.);
#25970=EDGE_CURVE('',#20492,#20501,#12483,.T.);
#25971=EDGE_CURVE('',#20502,#20503,#12484,.T.);
#25972=EDGE_CURVE('',#20504,#20502,#12485,.T.);
#25973=EDGE_CURVE('',#20505,#20504,#12486,.T.);
#25974=EDGE_CURVE('',#20506,#20505,#12487,.T.);
#25975=EDGE_CURVE('',#20507,#20506,#12488,.T.);
#25976=EDGE_CURVE('',#20508,#20507,#12489,.T.);
#25977=EDGE_CURVE('',#20509,#20508,#12490,.T.);
#25978=EDGE_CURVE('',#20503,#20509,#12491,.T.);
#25979=EDGE_CURVE('',#20510,#20511,#12492,.T.);
#25980=EDGE_CURVE('',#20512,#20510,#12493,.T.);
#25981=EDGE_CURVE('',#20513,#20512,#12494,.T.);
#25982=EDGE_CURVE('',#20514,#20513,#12495,.T.);
#25983=EDGE_CURVE('',#20515,#20514,#12496,.T.);
#25984=EDGE_CURVE('',#20516,#20515,#12497,.T.);
#25985=EDGE_CURVE('',#20517,#20516,#12498,.T.);
#25986=EDGE_CURVE('',#20511,#20517,#12499,.T.);
#25987=EDGE_CURVE('',#20518,#20519,#12500,.T.);
#25988=EDGE_CURVE('',#20520,#20518,#12501,.T.);
#25989=EDGE_CURVE('',#20521,#20520,#12502,.T.);
#25990=EDGE_CURVE('',#20522,#20521,#12503,.T.);
#25991=EDGE_CURVE('',#20523,#20522,#12504,.T.);
#25992=EDGE_CURVE('',#20524,#20523,#12505,.T.);
#25993=EDGE_CURVE('',#20525,#20524,#12506,.T.);
#25994=EDGE_CURVE('',#20519,#20525,#12507,.T.);
#25995=EDGE_CURVE('',#20526,#20527,#12508,.T.);
#25996=EDGE_CURVE('',#20528,#20526,#12509,.T.);
#25997=EDGE_CURVE('',#20529,#20528,#12510,.T.);
#25998=EDGE_CURVE('',#20530,#20529,#12511,.T.);
#25999=EDGE_CURVE('',#20531,#20530,#12512,.T.);
#26000=EDGE_CURVE('',#20527,#20531,#12513,.T.);
#26001=EDGE_CURVE('',#20532,#20533,#12514,.T.);
#26002=EDGE_CURVE('',#20534,#20532,#12515,.T.);
#26003=EDGE_CURVE('',#20535,#20534,#12516,.T.);
#26004=EDGE_CURVE('',#20536,#20535,#12517,.T.);
#26005=EDGE_CURVE('',#20537,#20536,#12518,.T.);
#26006=EDGE_CURVE('',#20538,#20537,#12519,.T.);
#26007=EDGE_CURVE('',#20539,#20538,#12520,.T.);
#26008=EDGE_CURVE('',#20533,#20539,#12521,.T.);
#26009=EDGE_CURVE('',#20540,#20541,#12522,.T.);
#26010=EDGE_CURVE('',#20542,#20540,#12523,.T.);
#26011=EDGE_CURVE('',#20543,#20542,#12524,.T.);
#26012=EDGE_CURVE('',#20544,#20543,#12525,.T.);
#26013=EDGE_CURVE('',#20545,#20544,#12526,.T.);
#26014=EDGE_CURVE('',#20546,#20545,#12527,.T.);
#26015=EDGE_CURVE('',#20547,#20546,#12528,.T.);
#26016=EDGE_CURVE('',#20541,#20547,#12529,.T.);
#26017=EDGE_CURVE('',#20548,#20549,#12530,.T.);
#26018=EDGE_CURVE('',#20550,#20548,#12531,.T.);
#26019=EDGE_CURVE('',#20551,#20550,#12532,.T.);
#26020=EDGE_CURVE('',#20552,#20551,#12533,.T.);
#26021=EDGE_CURVE('',#20553,#20552,#12534,.T.);
#26022=EDGE_CURVE('',#20549,#20553,#12535,.T.);
#26023=EDGE_CURVE('',#20504,#20554,#12536,.T.);
#26024=EDGE_CURVE('',#20554,#20555,#12537,.T.);
#26025=EDGE_CURVE('',#20505,#20555,#12538,.T.);
#26026=EDGE_CURVE('',#20503,#20556,#12539,.T.);
#26027=EDGE_CURVE('',#20556,#20557,#12540,.T.);
#26028=EDGE_CURVE('',#20557,#20502,#12541,.T.);
#26029=EDGE_CURVE('',#20512,#20558,#12542,.T.);
#26030=EDGE_CURVE('',#20558,#20559,#12543,.T.);
#26031=EDGE_CURVE('',#20513,#20559,#12544,.T.);
#26032=EDGE_CURVE('',#20560,#20511,#12545,.T.);
#26033=EDGE_CURVE('',#20560,#20561,#12546,.T.);
#26034=EDGE_CURVE('',#20510,#20561,#12547,.T.);
#26035=EDGE_CURVE('',#20520,#20562,#12548,.T.);
#26036=EDGE_CURVE('',#20562,#20563,#12549,.T.);
#26037=EDGE_CURVE('',#20521,#20563,#12550,.T.);
#26038=EDGE_CURVE('',#20564,#20519,#12551,.T.);
#26039=EDGE_CURVE('',#20564,#20565,#12552,.T.);
#26040=EDGE_CURVE('',#20565,#20518,#12553,.T.);
#26041=EDGE_CURVE('',#20566,#20565,#1774,.T.);
#26042=EDGE_CURVE('',#20527,#20566,#12554,.T.);
#26043=EDGE_CURVE('',#20567,#20531,#12555,.T.);
#26044=EDGE_CURVE('',#20562,#20567,#1775,.T.);
#26045=EDGE_CURVE('',#20568,#20528,#12556,.T.);
#26046=EDGE_CURVE('',#20568,#20569,#12557,.T.);
#26047=EDGE_CURVE('',#20529,#20569,#12558,.T.);
#26048=EDGE_CURVE('',#20534,#20570,#12559,.T.);
#26049=EDGE_CURVE('',#20570,#20571,#12560,.T.);
#26050=EDGE_CURVE('',#20535,#20571,#12561,.T.);
#26051=EDGE_CURVE('',#20572,#20561,#1776,.T.);
#26052=EDGE_CURVE('',#20538,#20572,#12562,.T.);
#26053=EDGE_CURVE('',#20573,#20537,#12563,.T.);
#26054=EDGE_CURVE('',#20558,#20573,#1777,.T.);
#26055=EDGE_CURVE('',#20574,#20533,#12564,.T.);
#26056=EDGE_CURVE('',#20574,#20575,#12565,.T.);
#26057=EDGE_CURVE('',#20532,#20575,#12566,.T.);
#26058=EDGE_CURVE('',#20542,#20576,#12567,.T.);
#26059=EDGE_CURVE('',#20576,#20577,#12568,.T.);
#26060=EDGE_CURVE('',#20543,#20577,#12569,.T.);
#26061=EDGE_CURVE('',#20578,#20557,#1778,.T.);
#26062=EDGE_CURVE('',#20546,#20578,#12570,.T.);
#26063=EDGE_CURVE('',#20579,#20545,#12571,.T.);
#26064=EDGE_CURVE('',#20554,#20579,#1779,.T.);
#26065=EDGE_CURVE('',#20580,#20541,#12572,.T.);
#26066=EDGE_CURVE('',#20580,#20581,#12573,.T.);
#26067=EDGE_CURVE('',#20581,#20540,#12574,.T.);
#26068=EDGE_CURVE('',#20582,#20552,#12575,.T.);
#26069=EDGE_CURVE('',#20583,#20582,#12576,.T.);
#26070=EDGE_CURVE('',#20583,#20553,#12577,.T.);
#26071=EDGE_CURVE('',#20555,#20584,#1780,.T.);
#26072=EDGE_CURVE('',#20584,#20544,#12578,.T.);
#26073=EDGE_CURVE('',#20577,#20585,#1781,.T.);
#26074=EDGE_CURVE('',#20585,#20514,#12579,.T.);
#26075=EDGE_CURVE('',#20559,#20586,#1782,.T.);
#26076=EDGE_CURVE('',#20586,#20536,#12580,.T.);
#26077=EDGE_CURVE('',#20571,#20587,#1783,.T.);
#26078=EDGE_CURVE('',#20587,#20522,#12581,.T.);
#26079=EDGE_CURVE('',#20563,#20588,#1784,.T.);
#26080=EDGE_CURVE('',#20588,#20530,#12582,.T.);
#26081=EDGE_CURVE('',#20569,#20589,#1785,.T.);
#26082=EDGE_CURVE('',#20589,#20590,#12583,.T.);
#26083=EDGE_CURVE('',#20590,#20591,#1786,.T.);
#26084=EDGE_CURVE('',#20591,#20592,#12584,.T.);
#26085=EDGE_CURVE('',#20592,#20593,#1787,.T.);
#26086=EDGE_CURVE('',#20593,#20594,#12585,.T.);
#26087=EDGE_CURVE('',#20594,#20595,#1788,.T.);
#26088=EDGE_CURVE('',#20595,#20596,#12586,.T.);
#26089=EDGE_CURVE('',#20596,#20597,#1789,.T.);
#26090=EDGE_CURVE('',#20597,#20598,#12587,.T.);
#26091=EDGE_CURVE('',#20598,#20582,#1790,.T.);
#26092=EDGE_CURVE('',#20551,#20599,#12588,.T.);
#26093=EDGE_CURVE('',#20599,#20600,#1791,.T.);
#26094=EDGE_CURVE('',#20600,#20506,#12589,.T.);
#26095=EDGE_CURVE('',#20550,#20601,#12590,.T.);
#26096=EDGE_CURVE('',#20601,#20599,#12591,.T.);
#26097=EDGE_CURVE('',#20602,#20523,#12592,.T.);
#26098=EDGE_CURVE('',#20570,#20602,#1792,.T.);
#26099=EDGE_CURVE('',#20603,#20575,#1793,.T.);
#26100=EDGE_CURVE('',#20524,#20603,#12593,.T.);
#26101=EDGE_CURVE('',#20604,#20507,#12594,.T.);
#26102=EDGE_CURVE('',#20601,#20604,#1794,.T.);
#26103=EDGE_CURVE('',#20605,#20548,#12595,.T.);
#26104=EDGE_CURVE('',#20606,#20605,#1795,.T.);
#26105=EDGE_CURVE('',#20508,#20606,#12596,.T.);
#26106=EDGE_CURVE('',#20607,#20515,#12597,.T.);
#26107=EDGE_CURVE('',#20576,#20607,#1796,.T.);
#26108=EDGE_CURVE('',#20608,#20581,#1797,.T.);
#26109=EDGE_CURVE('',#20516,#20608,#12598,.T.);
#26110=EDGE_CURVE('',#20609,#20509,#12599,.T.);
#26111=EDGE_CURVE('',#20609,#20610,#1798,.T.);
#26112=EDGE_CURVE('',#20610,#20549,#12600,.T.);
#26113=EDGE_CURVE('',#20611,#20583,#1799,.T.);
#26114=EDGE_CURVE('',#20612,#20611,#12601,.T.);
#26115=EDGE_CURVE('',#20613,#20612,#1800,.T.);
#26116=EDGE_CURVE('',#20614,#20613,#12602,.T.);
#26117=EDGE_CURVE('',#20615,#20614,#1801,.T.);
#26118=EDGE_CURVE('',#20616,#20615,#12603,.T.);
#26119=EDGE_CURVE('',#20617,#20616,#1802,.T.);
#26120=EDGE_CURVE('',#20618,#20617,#12604,.T.);
#26121=EDGE_CURVE('',#20619,#20618,#1803,.T.);
#26122=EDGE_CURVE('',#20619,#20620,#12605,.T.);
#26123=EDGE_CURVE('',#20568,#20620,#1804,.T.);
#26124=EDGE_CURVE('',#20526,#20621,#12606,.T.);
#26125=EDGE_CURVE('',#20621,#20564,#1805,.T.);
#26126=EDGE_CURVE('',#20525,#20622,#12607,.T.);
#26127=EDGE_CURVE('',#20622,#20574,#1806,.T.);
#26128=EDGE_CURVE('',#20539,#20623,#12608,.T.);
#26129=EDGE_CURVE('',#20623,#20560,#1807,.T.);
#26130=EDGE_CURVE('',#20517,#20624,#12609,.T.);
#26131=EDGE_CURVE('',#20624,#20580,#1808,.T.);
#26132=EDGE_CURVE('',#20547,#20625,#12610,.T.);
#26133=EDGE_CURVE('',#20625,#20556,#1809,.T.);
#26134=EDGE_CURVE('',#20611,#20598,#12611,.T.);
#26135=EDGE_CURVE('',#20612,#20597,#12612,.T.);
#26136=EDGE_CURVE('',#20613,#20596,#12613,.T.);
#26137=EDGE_CURVE('',#20614,#20595,#12614,.T.);
#26138=EDGE_CURVE('',#20615,#20594,#12615,.T.);
#26139=EDGE_CURVE('',#20616,#20593,#12616,.T.);
#26140=EDGE_CURVE('',#20617,#20592,#12617,.T.);
#26141=EDGE_CURVE('',#20618,#20591,#12618,.T.);
#26142=EDGE_CURVE('',#20619,#20590,#12619,.T.);
#26143=EDGE_CURVE('',#20620,#20589,#12620,.T.);
#26144=EDGE_CURVE('',#20610,#20605,#12621,.T.);
#26145=EDGE_CURVE('',#20609,#20606,#12622,.T.);
#26146=EDGE_CURVE('',#20600,#20604,#12623,.T.);
#26147=EDGE_CURVE('',#20621,#20566,#12624,.T.);
#26148=EDGE_CURVE('',#20567,#20588,#12625,.T.);
#26149=EDGE_CURVE('',#20602,#20587,#12626,.T.);
#26150=EDGE_CURVE('',#20622,#20603,#12627,.T.);
#26151=EDGE_CURVE('',#20623,#20572,#12628,.T.);
#26152=EDGE_CURVE('',#20573,#20586,#12629,.T.);
#26153=EDGE_CURVE('',#20625,#20578,#12630,.T.);
#26154=EDGE_CURVE('',#20584,#20579,#12631,.T.);
#26155=EDGE_CURVE('',#20624,#20608,#12632,.T.);
#26156=EDGE_CURVE('',#20607,#20585,#12633,.T.);
#26157=EDGE_CURVE('',#20626,#20627,#12634,.T.);
#26158=EDGE_CURVE('',#20627,#20628,#12635,.T.);
#26159=EDGE_CURVE('',#20628,#20629,#12636,.T.);
#26160=EDGE_CURVE('',#20629,#20626,#12637,.T.);
#26161=EDGE_CURVE('',#20628,#20630,#12638,.T.);
#26162=EDGE_CURVE('',#20630,#20631,#12639,.T.);
#26163=EDGE_CURVE('',#20631,#20629,#12640,.T.);
#26164=EDGE_CURVE('',#20627,#20632,#12641,.T.);
#26165=EDGE_CURVE('',#20632,#20633,#1810,.T.);
#26166=EDGE_CURVE('',#20633,#20634,#12642,.T.);
#26167=EDGE_CURVE('',#20635,#20634,#12643,.T.);
#26168=EDGE_CURVE('',#20636,#20635,#12644,.T.);
#26169=EDGE_CURVE('',#20637,#20636,#12645,.T.);
#26170=EDGE_CURVE('',#20637,#20638,#12646,.T.);
#26171=EDGE_CURVE('',#20639,#20638,#12647,.T.);
#26172=EDGE_CURVE('',#20639,#20640,#12648,.T.);
#26173=EDGE_CURVE('',#20640,#20641,#1811,.T.);
#26174=EDGE_CURVE('',#20641,#20642,#12649,.T.);
#26175=EDGE_CURVE('',#20643,#20642,#12650,.T.);
#26176=EDGE_CURVE('',#20643,#20644,#12651,.T.);
#26177=EDGE_CURVE('',#20644,#20645,#1812,.T.);
#26178=EDGE_CURVE('',#20645,#20646,#12652,.T.);
#26179=EDGE_CURVE('',#20647,#20646,#12653,.T.);
#26180=EDGE_CURVE('',#20647,#20648,#12654,.T.);
#26181=EDGE_CURVE('',#20648,#20649,#1813,.T.);
#26182=EDGE_CURVE('',#20649,#20650,#12655,.T.);
#26183=EDGE_CURVE('',#20651,#20650,#12656,.T.);
#26184=EDGE_CURVE('',#20651,#20652,#12657,.T.);
#26185=EDGE_CURVE('',#20652,#20653,#1814,.T.);
#26186=EDGE_CURVE('',#20653,#20654,#12658,.T.);
#26187=EDGE_CURVE('',#20655,#20654,#12659,.T.);
#26188=EDGE_CURVE('',#20655,#20656,#12660,.T.);
#26189=EDGE_CURVE('',#20656,#20657,#1815,.T.);
#26190=EDGE_CURVE('',#20657,#20628,#12661,.T.);
#26191=EDGE_CURVE('',#20658,#20655,#12662,.T.);
#26192=EDGE_CURVE('',#20654,#20659,#12663,.T.);
#26193=EDGE_CURVE('',#20659,#20658,#12664,.T.);
#26194=EDGE_CURVE('',#20654,#20660,#12665,.T.);
#26195=EDGE_CURVE('',#20660,#20661,#12666,.T.);
#26196=EDGE_CURVE('',#20661,#20659,#12667,.T.);
#26197=EDGE_CURVE('',#20662,#20651,#12668,.T.);
#26198=EDGE_CURVE('',#20650,#20663,#12669,.T.);
#26199=EDGE_CURVE('',#20663,#20662,#12670,.T.);
#26200=EDGE_CURVE('',#20650,#20664,#12671,.T.);
#26201=EDGE_CURVE('',#20664,#20665,#12672,.T.);
#26202=EDGE_CURVE('',#20665,#20663,#12673,.T.);
#26203=EDGE_CURVE('',#20666,#20647,#12674,.T.);
#26204=EDGE_CURVE('',#20646,#20667,#12675,.T.);
#26205=EDGE_CURVE('',#20667,#20666,#12676,.T.);
#26206=EDGE_CURVE('',#20646,#20668,#12677,.T.);
#26207=EDGE_CURVE('',#20668,#20669,#12678,.T.);
#26208=EDGE_CURVE('',#20669,#20667,#12679,.T.);
#26209=EDGE_CURVE('',#20670,#20643,#12680,.T.);
#26210=EDGE_CURVE('',#20642,#20671,#12681,.T.);
#26211=EDGE_CURVE('',#20671,#20670,#12682,.T.);
#26212=EDGE_CURVE('',#20642,#20672,#12683,.T.);
#26213=EDGE_CURVE('',#20672,#20673,#12684,.T.);
#26214=EDGE_CURVE('',#20673,#20671,#12685,.T.);
#26215=EDGE_CURVE('',#20674,#20675,#12686,.T.);
#26216=EDGE_CURVE('',#20675,#20676,#12687,.T.);
#26217=EDGE_CURVE('',#20676,#20677,#12688,.T.);
#26218=EDGE_CURVE('',#20677,#20674,#12689,.T.);
#26219=EDGE_CURVE('',#20678,#20679,#12690,.T.);
#26220=EDGE_CURVE('',#20679,#20677,#1816,.T.);
#26221=EDGE_CURVE('',#20676,#20678,#1817,.T.);
#26222=EDGE_CURVE('',#20680,#20681,#12691,.T.);
#26223=EDGE_CURVE('',#20681,#20679,#12692,.T.);
#26224=EDGE_CURVE('',#20678,#20680,#12693,.T.);
#26225=EDGE_CURVE('',#20682,#20638,#12694,.T.);
#26226=EDGE_CURVE('',#20638,#20681,#1818,.T.);
#26227=EDGE_CURVE('',#20680,#20682,#1819,.T.);
#26228=EDGE_CURVE('',#20683,#20639,#12695,.T.);
#26229=EDGE_CURVE('',#20682,#20683,#12696,.T.);
#26230=EDGE_CURVE('',#20684,#20675,#12697,.T.);
#26231=EDGE_CURVE('',#20685,#20684,#12698,.T.);
#26232=EDGE_CURVE('',#20686,#20685,#12699,.T.);
#26233=EDGE_CURVE('',#20687,#20686,#12700,.T.);
#26234=EDGE_CURVE('',#20683,#20687,#12701,.T.);
#26235=EDGE_CURVE('',#20674,#20688,#12702,.T.);
#26236=EDGE_CURVE('',#20688,#20684,#12703,.T.);
#26237=EDGE_CURVE('',#20689,#20677,#12704,.T.);
#26238=EDGE_CURVE('',#20690,#20689,#12705,.T.);
#26239=EDGE_CURVE('',#20690,#20691,#12706,.T.);
#26240=EDGE_CURVE('',#20692,#20691,#12707,.T.);
#26241=EDGE_CURVE('',#20692,#20693,#12708,.T.);
#26242=EDGE_CURVE('',#20693,#20694,#12709,.T.);
#26243=EDGE_CURVE('',#20694,#20695,#12710,.T.);
#26244=EDGE_CURVE('',#20696,#20695,#12711,.T.);
#26245=EDGE_CURVE('',#20696,#20697,#12712,.T.);
#26246=EDGE_CURVE('',#20697,#20698,#1820,.T.);
#26247=EDGE_CURVE('',#20698,#20674,#12713,.T.);
#26248=EDGE_CURVE('',#20699,#20696,#12714,.T.);
#26249=EDGE_CURVE('',#20695,#20700,#12715,.T.);
#26250=EDGE_CURVE('',#20700,#20699,#12716,.T.);
#26251=EDGE_CURVE('',#20701,#20700,#12717,.T.);
#26252=EDGE_CURVE('',#20702,#20701,#12718,.T.);
#26253=EDGE_CURVE('',#20699,#20702,#12719,.T.);
#26254=EDGE_CURVE('',#20695,#20703,#12720,.T.);
#26255=EDGE_CURVE('',#20703,#20701,#12721,.T.);
#26256=EDGE_CURVE('',#20634,#20704,#12722,.T.);
#26257=EDGE_CURVE('',#20704,#20705,#12723,.T.);
#26258=EDGE_CURVE('',#20705,#20635,#12724,.T.);
#26259=EDGE_CURVE('',#20706,#20707,#12725,.T.);
#26260=EDGE_CURVE('',#20707,#20635,#1821,.T.);
#26261=EDGE_CURVE('',#20705,#20706,#1822,.T.);
#26262=EDGE_CURVE('',#20708,#20709,#12726,.T.);
#26263=EDGE_CURVE('',#20709,#20707,#12727,.T.);
#26264=EDGE_CURVE('',#20706,#20708,#12728,.T.);
#26265=EDGE_CURVE('',#20710,#20691,#12729,.T.);
#26266=EDGE_CURVE('',#20691,#20709,#1823,.T.);
#26267=EDGE_CURVE('',#20708,#20710,#1824,.T.);
#26268=EDGE_CURVE('',#20711,#20692,#12730,.T.);
#26269=EDGE_CURVE('',#20710,#20711,#12731,.T.);
#26270=EDGE_CURVE('',#20711,#20712,#12732,.T.);
#26271=EDGE_CURVE('',#20712,#20713,#12733,.T.);
#26272=EDGE_CURVE('',#20713,#20692,#12734,.T.);
#26273=EDGE_CURVE('',#20714,#20704,#12735,.T.);
#26274=EDGE_CURVE('',#20715,#20714,#12736,.T.);
#26275=EDGE_CURVE('',#20716,#20715,#12737,.T.);
#26276=EDGE_CURVE('',#20712,#20716,#12738,.T.);
#26277=EDGE_CURVE('',#20694,#20717,#12739,.T.);
#26278=EDGE_CURVE('',#20703,#20717,#12740,.T.);
#26279=EDGE_CURVE('',#20634,#20718,#12741,.T.);
#26280=EDGE_CURVE('',#20718,#20714,#12742,.T.);
#26281=EDGE_CURVE('',#20633,#20719,#12743,.T.);
#26282=EDGE_CURVE('',#20718,#20719,#12744,.T.);
#26283=EDGE_CURVE('',#20626,#20720,#12745,.T.);
#26284=EDGE_CURVE('',#20720,#20721,#12746,.T.);
#26285=EDGE_CURVE('',#20721,#20627,#12747,.T.);
#26286=EDGE_CURVE('',#20720,#20631,#12748,.T.);
#26287=EDGE_CURVE('',#20657,#20722,#12749,.T.);
#26288=EDGE_CURVE('',#20630,#20722,#12750,.T.);
#26289=EDGE_CURVE('',#20658,#20723,#12751,.T.);
#26290=EDGE_CURVE('',#20723,#20724,#12752,.T.);
#26291=EDGE_CURVE('',#20724,#20655,#12753,.T.);
#26292=EDGE_CURVE('',#20723,#20661,#12754,.T.);
#26293=EDGE_CURVE('',#20653,#20725,#12755,.T.);
#26294=EDGE_CURVE('',#20660,#20725,#12756,.T.);
#26295=EDGE_CURVE('',#20662,#20726,#12757,.T.);
#26296=EDGE_CURVE('',#20726,#20727,#12758,.T.);
#26297=EDGE_CURVE('',#20727,#20651,#12759,.T.);
#26298=EDGE_CURVE('',#20726,#20665,#12760,.T.);
#26299=EDGE_CURVE('',#20649,#20728,#12761,.T.);
#26300=EDGE_CURVE('',#20664,#20728,#12762,.T.);
#26301=EDGE_CURVE('',#20666,#20729,#12763,.T.);
#26302=EDGE_CURVE('',#20729,#20730,#12764,.T.);
#26303=EDGE_CURVE('',#20730,#20647,#12765,.T.);
#26304=EDGE_CURVE('',#20729,#20669,#12766,.T.);
#26305=EDGE_CURVE('',#20645,#20731,#12767,.T.);
#26306=EDGE_CURVE('',#20668,#20731,#12768,.T.);
#26307=EDGE_CURVE('',#20670,#20732,#12769,.T.);
#26308=EDGE_CURVE('',#20732,#20733,#12770,.T.);
#26309=EDGE_CURVE('',#20733,#20643,#12771,.T.);
#26310=EDGE_CURVE('',#20732,#20673,#12772,.T.);
#26311=EDGE_CURVE('',#20641,#20734,#12773,.T.);
#26312=EDGE_CURVE('',#20672,#20734,#12774,.T.);
#26313=EDGE_CURVE('',#20687,#20735,#12775,.T.);
#26314=EDGE_CURVE('',#20735,#20639,#12776,.T.);
#26315=EDGE_CURVE('',#20736,#20735,#12777,.T.);
#26316=EDGE_CURVE('',#20640,#20736,#12778,.T.);
#26317=EDGE_CURVE('',#20698,#20737,#12779,.T.);
#26318=EDGE_CURVE('',#20688,#20737,#12780,.T.);
#26319=EDGE_CURVE('',#20702,#20738,#12781,.T.);
#26320=EDGE_CURVE('',#20738,#20696,#12782,.T.);
#26321=EDGE_CURVE('',#20739,#20738,#12783,.T.);
#26322=EDGE_CURVE('',#20697,#20739,#12784,.T.);
#26323=EDGE_CURVE('',#20728,#20740,#1825,.T.);
#26324=EDGE_CURVE('',#20648,#20740,#12785,.T.);
#26325=EDGE_CURVE('',#20740,#20730,#12786,.T.);
#26326=EDGE_CURVE('',#20719,#20741,#1826,.T.);
#26327=EDGE_CURVE('',#20632,#20741,#12787,.T.);
#26328=EDGE_CURVE('',#20741,#20721,#12788,.T.);
#26329=EDGE_CURVE('',#20731,#20742,#1827,.T.);
#26330=EDGE_CURVE('',#20644,#20742,#12789,.T.);
#26331=EDGE_CURVE('',#20742,#20733,#12790,.T.);
#26332=EDGE_CURVE('',#20725,#20743,#1828,.T.);
#26333=EDGE_CURVE('',#20652,#20743,#12791,.T.);
#26334=EDGE_CURVE('',#20743,#20727,#12792,.T.);
#26335=EDGE_CURVE('',#20722,#20744,#1829,.T.);
#26336=EDGE_CURVE('',#20656,#20744,#12793,.T.);
#26337=EDGE_CURVE('',#20744,#20724,#12794,.T.);
#26338=EDGE_CURVE('',#20734,#20736,#1830,.T.);
#26339=EDGE_CURVE('',#20745,#20686,#12795,.T.);
#26340=EDGE_CURVE('',#20745,#20746,#12796,.T.);
#26341=EDGE_CURVE('',#20746,#20747,#12797,.T.);
#26342=EDGE_CURVE('',#20748,#20747,#12798,.T.);
#26343=EDGE_CURVE('',#20748,#20749,#12799,.T.);
#26344=EDGE_CURVE('',#20749,#20750,#12800,.T.);
#26345=EDGE_CURVE('',#20750,#20715,#12801,.T.);
#26346=EDGE_CURVE('',#20737,#20739,#1831,.T.);
#26347=EDGE_CURVE('',#20717,#20751,#12802,.T.);
#26348=EDGE_CURVE('',#20693,#20751,#12803,.T.);
#26349=EDGE_CURVE('',#20751,#20713,#12804,.T.);
#26350=EDGE_CURVE('',#20752,#20716,#12805,.T.);
#26351=EDGE_CURVE('',#20752,#20753,#12806,.T.);
#26352=EDGE_CURVE('',#20753,#20754,#12807,.T.);
#26353=EDGE_CURVE('',#20755,#20754,#12808,.T.);
#26354=EDGE_CURVE('',#20756,#20755,#12809,.T.);
#26355=EDGE_CURVE('',#20756,#20757,#12810,.T.);
#26356=EDGE_CURVE('',#20757,#20685,#12811,.T.);
#26357=EDGE_CURVE('',#20690,#20758,#167,.T.);
#26358=EDGE_CURVE('',#20758,#20759,#1832,.T.);
#26359=EDGE_CURVE('',#20759,#20760,#168,.T.);
#26360=EDGE_CURVE('',#20760,#20709,#12812,.T.);
#26361=EDGE_CURVE('',#20761,#20689,#169,.T.);
#26362=EDGE_CURVE('',#20761,#20758,#12813,.T.);
#26363=EDGE_CURVE('',#20762,#20707,#12814,.T.);
#26364=EDGE_CURVE('',#20762,#20763,#170,.T.);
#26365=EDGE_CURVE('',#20763,#20764,#1833,.T.);
#26366=EDGE_CURVE('',#20764,#20636,#171,.T.);
#26367=EDGE_CURVE('',#20764,#20765,#12815,.T.);
#26368=EDGE_CURVE('',#20637,#20765,#172,.T.);
#26369=EDGE_CURVE('',#20766,#20761,#1834,.T.);
#26370=EDGE_CURVE('',#20767,#20766,#12816,.T.);
#26371=EDGE_CURVE('',#20765,#20767,#1835,.T.);
#26372=EDGE_CURVE('',#20759,#20763,#12817,.T.);
#26373=EDGE_CURVE('',#20768,#20769,#12818,.T.);
#26374=EDGE_CURVE('',#20769,#20770,#12819,.T.);
#26375=EDGE_CURVE('',#20770,#20771,#12820,.T.);
#26376=EDGE_CURVE('',#20771,#20772,#12821,.T.);
#26377=EDGE_CURVE('',#20772,#20773,#12822,.T.);
#26378=EDGE_CURVE('',#20773,#20774,#192,.T.);
#26379=EDGE_CURVE('',#20774,#20775,#193,.T.);
#26380=EDGE_CURVE('',#20775,#20776,#194,.T.);
#26381=EDGE_CURVE('',#20776,#20777,#12823,.T.);
#26382=EDGE_CURVE('',#20777,#20778,#195,.T.);
#26383=EDGE_CURVE('',#20778,#20779,#196,.T.);
#26384=EDGE_CURVE('',#20779,#20780,#197,.T.);
#26385=EDGE_CURVE('',#20780,#20781,#198,.T.);
#26386=EDGE_CURVE('',#20781,#20782,#199,.T.);
#26387=EDGE_CURVE('',#20782,#20783,#200,.T.);
#26388=EDGE_CURVE('',#20783,#20784,#201,.T.);
#26389=EDGE_CURVE('',#20784,#20785,#12824,.T.);
#26390=EDGE_CURVE('',#20785,#20786,#12825,.T.);
#26391=EDGE_CURVE('',#20786,#20787,#12826,.T.);
#26392=EDGE_CURVE('',#20787,#20788,#202,.T.);
#26393=EDGE_CURVE('',#20788,#20789,#203,.T.);
#26394=EDGE_CURVE('',#20789,#20790,#204,.T.);
#26395=EDGE_CURVE('',#20790,#20791,#205,.T.);
#26396=EDGE_CURVE('',#20791,#20792,#206,.T.);
#26397=EDGE_CURVE('',#20792,#20793,#12827,.T.);
#26398=EDGE_CURVE('',#20793,#20794,#207,.T.);
#26399=EDGE_CURVE('',#20794,#20795,#208,.T.);
#26400=EDGE_CURVE('',#20795,#20796,#209,.T.);
#26401=EDGE_CURVE('',#20796,#20797,#210,.T.);
#26402=EDGE_CURVE('',#20797,#20798,#211,.T.);
#26403=EDGE_CURVE('',#20798,#20768,#12828,.T.);
#26404=EDGE_CURVE('',#20799,#20800,#212,.T.);
#26405=EDGE_CURVE('',#20800,#20801,#213,.T.);
#26406=EDGE_CURVE('',#20801,#20802,#214,.T.);
#26407=EDGE_CURVE('',#20802,#20803,#215,.T.);
#26408=EDGE_CURVE('',#20803,#20804,#216,.T.);
#26409=EDGE_CURVE('',#20804,#20805,#217,.T.);
#26410=EDGE_CURVE('',#20805,#20806,#218,.T.);
#26411=EDGE_CURVE('',#20806,#20807,#219,.T.);
#26412=EDGE_CURVE('',#20807,#20808,#220,.T.);
#26413=EDGE_CURVE('',#20808,#20809,#221,.T.);
#26414=EDGE_CURVE('',#20809,#20810,#12829,.T.);
#26415=EDGE_CURVE('',#20810,#20811,#12830,.T.);
#26416=EDGE_CURVE('',#20811,#20812,#12831,.T.);
#26417=EDGE_CURVE('',#20812,#20813,#222,.T.);
#26418=EDGE_CURVE('',#20813,#20814,#223,.T.);
#26419=EDGE_CURVE('',#20814,#20815,#224,.T.);
#26420=EDGE_CURVE('',#20815,#20816,#225,.T.);
#26421=EDGE_CURVE('',#20816,#20817,#12832,.T.);
#26422=EDGE_CURVE('',#20817,#20818,#226,.T.);
#26423=EDGE_CURVE('',#20818,#20819,#227,.T.);
#26424=EDGE_CURVE('',#20819,#20820,#228,.T.);
#26425=EDGE_CURVE('',#20820,#20821,#12833,.T.);
#26426=EDGE_CURVE('',#20821,#20822,#229,.T.);
#26427=EDGE_CURVE('',#20822,#20823,#230,.T.);
#26428=EDGE_CURVE('',#20823,#20824,#231,.T.);
#26429=EDGE_CURVE('',#20824,#20825,#12834,.T.);
#26430=EDGE_CURVE('',#20825,#20826,#232,.T.);
#26431=EDGE_CURVE('',#20826,#20827,#233,.T.);
#26432=EDGE_CURVE('',#20827,#20828,#234,.T.);
#26433=EDGE_CURVE('',#20828,#20829,#235,.T.);
#26434=EDGE_CURVE('',#20829,#20830,#12835,.T.);
#26435=EDGE_CURVE('',#20830,#20831,#12836,.T.);
#26436=EDGE_CURVE('',#20831,#20832,#12837,.T.);
#26437=EDGE_CURVE('',#20832,#20833,#236,.T.);
#26438=EDGE_CURVE('',#20833,#20834,#237,.T.);
#26439=EDGE_CURVE('',#20834,#20835,#238,.T.);
#26440=EDGE_CURVE('',#20835,#20799,#239,.T.);
#26441=EDGE_CURVE('',#20836,#20837,#240,.T.);
#26442=EDGE_CURVE('',#20837,#20838,#12838,.T.);
#26443=EDGE_CURVE('',#20838,#20839,#12839,.T.);
#26444=EDGE_CURVE('',#20839,#20840,#12840,.T.);
#26445=EDGE_CURVE('',#20840,#20841,#12841,.T.);
#26446=EDGE_CURVE('',#20841,#20842,#241,.T.);
#26447=EDGE_CURVE('',#20842,#20843,#242,.T.);
#26448=EDGE_CURVE('',#20843,#20844,#243,.T.);
#26449=EDGE_CURVE('',#20844,#20845,#12842,.T.);
#26450=EDGE_CURVE('',#20845,#20836,#12843,.T.);
#26451=EDGE_CURVE('',#20846,#20847,#244,.T.);
#26452=EDGE_CURVE('',#20847,#20848,#12844,.T.);
#26453=EDGE_CURVE('',#20848,#20849,#12845,.T.);
#26454=EDGE_CURVE('',#20849,#20850,#12846,.T.);
#26455=EDGE_CURVE('',#20850,#20851,#12847,.T.);
#26456=EDGE_CURVE('',#20851,#20852,#245,.T.);
#26457=EDGE_CURVE('',#20852,#20853,#246,.T.);
#26458=EDGE_CURVE('',#20853,#20854,#247,.T.);
#26459=EDGE_CURVE('',#20854,#20855,#248,.T.);
#26460=EDGE_CURVE('',#20855,#20856,#249,.T.);
#26461=EDGE_CURVE('',#20856,#20857,#250,.T.);
#26462=EDGE_CURVE('',#20857,#20858,#12848,.T.);
#26463=EDGE_CURVE('',#20858,#20859,#12849,.T.);
#26464=EDGE_CURVE('',#20859,#20860,#12850,.T.);
#26465=EDGE_CURVE('',#20860,#20861,#251,.T.);
#26466=EDGE_CURVE('',#20861,#20862,#252,.T.);
#26467=EDGE_CURVE('',#20862,#20863,#253,.T.);
#26468=EDGE_CURVE('',#20863,#20864,#254,.T.);
#26469=EDGE_CURVE('',#20864,#20865,#255,.T.);
#26470=EDGE_CURVE('',#20865,#20866,#256,.T.);
#26471=EDGE_CURVE('',#20866,#20846,#257,.T.);
#26472=EDGE_CURVE('',#20867,#20868,#12851,.T.);
#26473=EDGE_CURVE('',#20868,#20869,#12852,.T.);
#26474=EDGE_CURVE('',#20869,#20870,#12853,.T.);
#26475=EDGE_CURVE('',#20870,#20871,#12854,.T.);
#26476=EDGE_CURVE('',#20871,#20872,#12855,.T.);
#26477=EDGE_CURVE('',#20872,#20873,#12856,.T.);
#26478=EDGE_CURVE('',#20873,#20874,#12857,.T.);
#26479=EDGE_CURVE('',#20874,#20875,#12858,.T.);
#26480=EDGE_CURVE('',#20875,#20876,#12859,.T.);
#26481=EDGE_CURVE('',#20876,#20877,#12860,.T.);
#26482=EDGE_CURVE('',#20877,#20867,#12861,.T.);
#26483=EDGE_CURVE('',#20878,#20879,#258,.T.);
#26484=EDGE_CURVE('',#20879,#20880,#259,.T.);
#26485=EDGE_CURVE('',#20880,#20881,#12862,.T.);
#26486=EDGE_CURVE('',#20881,#20882,#260,.T.);
#26487=EDGE_CURVE('',#20882,#20883,#261,.T.);
#26488=EDGE_CURVE('',#20883,#20884,#262,.T.);
#26489=EDGE_CURVE('',#20884,#20885,#263,.T.);
#26490=EDGE_CURVE('',#20885,#20886,#264,.T.);
#26491=EDGE_CURVE('',#20886,#20887,#265,.T.);
#26492=EDGE_CURVE('',#20887,#20888,#12863,.T.);
#26493=EDGE_CURVE('',#20888,#20889,#266,.T.);
#26494=EDGE_CURVE('',#20889,#20890,#267,.T.);
#26495=EDGE_CURVE('',#20890,#20891,#268,.T.);
#26496=EDGE_CURVE('',#20891,#20892,#269,.T.);
#26497=EDGE_CURVE('',#20892,#20893,#270,.T.);
#26498=EDGE_CURVE('',#20893,#20894,#12864,.T.);
#26499=EDGE_CURVE('',#20894,#20895,#271,.T.);
#26500=EDGE_CURVE('',#20895,#20896,#272,.T.);
#26501=EDGE_CURVE('',#20896,#20897,#273,.T.);
#26502=EDGE_CURVE('',#20897,#20898,#274,.T.);
#26503=EDGE_CURVE('',#20898,#20899,#275,.T.);
#26504=EDGE_CURVE('',#20899,#20900,#276,.T.);
#26505=EDGE_CURVE('',#20900,#20901,#277,.T.);
#26506=EDGE_CURVE('',#20901,#20902,#278,.T.);
#26507=EDGE_CURVE('',#20902,#20903,#12865,.T.);
#26508=EDGE_CURVE('',#20903,#20904,#279,.T.);
#26509=EDGE_CURVE('',#20904,#20905,#280,.T.);
#26510=EDGE_CURVE('',#20905,#20906,#281,.T.);
#26511=EDGE_CURVE('',#20906,#20907,#282,.T.);
#26512=EDGE_CURVE('',#20907,#20878,#283,.T.);
#26513=EDGE_CURVE('',#20908,#20909,#1836,.T.);
#26514=EDGE_CURVE('',#20909,#20910,#12866,.T.);
#26515=EDGE_CURVE('',#20910,#20911,#1837,.T.);
#26516=EDGE_CURVE('',#20911,#20912,#12867,.T.);
#26517=EDGE_CURVE('',#20912,#20913,#1838,.T.);
#26518=EDGE_CURVE('',#20913,#20914,#12868,.T.);
#26519=EDGE_CURVE('',#20914,#20915,#1839,.T.);
#26520=EDGE_CURVE('',#20915,#20908,#12869,.T.);
#26521=EDGE_CURVE('',#20916,#20917,#1840,.T.);
#26522=EDGE_CURVE('',#20917,#20918,#12870,.T.);
#26523=EDGE_CURVE('',#20918,#20919,#1841,.T.);
#26524=EDGE_CURVE('',#20919,#20920,#12871,.T.);
#26525=EDGE_CURVE('',#20920,#20921,#1842,.T.);
#26526=EDGE_CURVE('',#20921,#20922,#12872,.T.);
#26527=EDGE_CURVE('',#20922,#20923,#1843,.T.);
#26528=EDGE_CURVE('',#20923,#20916,#12873,.T.);
#26529=EDGE_CURVE('',#20924,#20925,#1844,.T.);
#26530=EDGE_CURVE('',#20925,#20926,#12874,.T.);
#26531=EDGE_CURVE('',#20926,#20927,#1845,.T.);
#26532=EDGE_CURVE('',#20927,#20928,#12875,.T.);
#26533=EDGE_CURVE('',#20928,#20929,#1846,.T.);
#26534=EDGE_CURVE('',#20929,#20930,#12876,.T.);
#26535=EDGE_CURVE('',#20930,#20931,#1847,.T.);
#26536=EDGE_CURVE('',#20931,#20924,#12877,.T.);
#26537=EDGE_CURVE('',#20932,#20933,#1848,.T.);
#26538=EDGE_CURVE('',#20933,#20934,#12878,.T.);
#26539=EDGE_CURVE('',#20934,#20935,#1849,.T.);
#26540=EDGE_CURVE('',#20935,#20936,#12879,.T.);
#26541=EDGE_CURVE('',#20936,#20937,#1850,.T.);
#26542=EDGE_CURVE('',#20937,#20938,#12880,.T.);
#26543=EDGE_CURVE('',#20938,#20939,#1851,.T.);
#26544=EDGE_CURVE('',#20939,#20932,#12881,.T.);
#26545=EDGE_CURVE('',#20940,#20941,#1852,.T.);
#26546=EDGE_CURVE('',#20941,#20942,#12882,.T.);
#26547=EDGE_CURVE('',#20942,#20943,#1853,.T.);
#26548=EDGE_CURVE('',#20943,#20944,#12883,.T.);
#26549=EDGE_CURVE('',#20944,#20945,#1854,.T.);
#26550=EDGE_CURVE('',#20945,#20946,#12884,.T.);
#26551=EDGE_CURVE('',#20946,#20947,#1855,.T.);
#26552=EDGE_CURVE('',#20947,#20940,#12885,.T.);
#26553=EDGE_CURVE('',#20948,#20949,#1856,.T.);
#26554=EDGE_CURVE('',#20949,#20950,#12886,.T.);
#26555=EDGE_CURVE('',#20950,#20951,#1857,.T.);
#26556=EDGE_CURVE('',#20951,#20952,#12887,.T.);
#26557=EDGE_CURVE('',#20952,#20953,#1858,.T.);
#26558=EDGE_CURVE('',#20953,#20954,#12888,.T.);
#26559=EDGE_CURVE('',#20954,#20955,#1859,.T.);
#26560=EDGE_CURVE('',#20955,#20948,#12889,.T.);
#26561=EDGE_CURVE('',#20762,#20760,#12890,.T.);
#26562=EDGE_CURVE('',#20956,#20679,#12891,.T.);
#26563=EDGE_CURVE('',#20956,#20766,#173,.T.);
#26564=EDGE_CURVE('',#20767,#20957,#174,.T.);
#26565=EDGE_CURVE('',#20957,#20681,#12892,.T.);
#26566=EDGE_CURVE('',#20956,#20957,#12893,.T.);
#26567=EDGE_CURVE('',#20768,#20958,#12894,.T.);
#26568=EDGE_CURVE('',#20958,#20959,#12895,.T.);
#26569=EDGE_CURVE('',#20769,#20959,#12896,.T.);
#26570=EDGE_CURVE('',#20798,#20960,#12897,.T.);
#26571=EDGE_CURVE('',#20960,#20958,#12898,.T.);
#26572=EDGE_CURVE('',#20797,#20961,#12899,.T.);
#26573=EDGE_CURVE('',#20961,#20960,#284,.T.);
#26574=EDGE_CURVE('',#20796,#20962,#12900,.T.);
#26575=EDGE_CURVE('',#20962,#20961,#285,.T.);
#26576=EDGE_CURVE('',#20795,#20963,#12901,.T.);
#26577=EDGE_CURVE('',#20963,#20962,#286,.T.);
#26578=EDGE_CURVE('',#20794,#20964,#12902,.T.);
#26579=EDGE_CURVE('',#20964,#20963,#287,.T.);
#26580=EDGE_CURVE('',#20793,#20965,#12903,.T.);
#26581=EDGE_CURVE('',#20965,#20964,#288,.T.);
#26582=EDGE_CURVE('',#20792,#20966,#12904,.T.);
#26583=EDGE_CURVE('',#20966,#20965,#12905,.T.);
#26584=EDGE_CURVE('',#20791,#20967,#12906,.T.);
#26585=EDGE_CURVE('',#20967,#20966,#289,.T.);
#26586=EDGE_CURVE('',#20790,#20968,#12907,.T.);
#26587=EDGE_CURVE('',#20968,#20967,#290,.T.);
#26588=EDGE_CURVE('',#20789,#20969,#12908,.T.);
#26589=EDGE_CURVE('',#20969,#20968,#291,.T.);
#26590=EDGE_CURVE('',#20788,#20970,#12909,.T.);
#26591=EDGE_CURVE('',#20970,#20969,#292,.T.);
#26592=EDGE_CURVE('',#20787,#20971,#12910,.T.);
#26593=EDGE_CURVE('',#20971,#20970,#293,.T.);
#26594=EDGE_CURVE('',#20786,#20972,#12911,.T.);
#26595=EDGE_CURVE('',#20972,#20971,#12912,.T.);
#26596=EDGE_CURVE('',#20785,#20973,#12913,.T.);
#26597=EDGE_CURVE('',#20973,#20972,#12914,.T.);
#26598=EDGE_CURVE('',#20784,#20974,#12915,.T.);
#26599=EDGE_CURVE('',#20974,#20973,#12916,.T.);
#26600=EDGE_CURVE('',#20783,#20975,#12917,.T.);
#26601=EDGE_CURVE('',#20975,#20974,#294,.T.);
#26602=EDGE_CURVE('',#20782,#20976,#12918,.T.);
#26603=EDGE_CURVE('',#20976,#20975,#295,.T.);
#26604=EDGE_CURVE('',#20781,#20977,#12919,.T.);
#26605=EDGE_CURVE('',#20977,#20976,#296,.T.);
#26606=EDGE_CURVE('',#20780,#20978,#12920,.T.);
#26607=EDGE_CURVE('',#20978,#20977,#297,.T.);
#26608=EDGE_CURVE('',#20779,#20979,#12921,.T.);
#26609=EDGE_CURVE('',#20979,#20978,#298,.T.);
#26610=EDGE_CURVE('',#20778,#20980,#12922,.T.);
#26611=EDGE_CURVE('',#20980,#20979,#299,.T.);
#26612=EDGE_CURVE('',#20777,#20981,#12923,.T.);
#26613=EDGE_CURVE('',#20981,#20980,#300,.T.);
#26614=EDGE_CURVE('',#20776,#20982,#12924,.T.);
#26615=EDGE_CURVE('',#20982,#20981,#12925,.T.);
#26616=EDGE_CURVE('',#20775,#20983,#12926,.T.);
#26617=EDGE_CURVE('',#20983,#20982,#301,.T.);
#26618=EDGE_CURVE('',#20774,#20984,#12927,.T.);
#26619=EDGE_CURVE('',#20984,#20983,#302,.T.);
#26620=EDGE_CURVE('',#20773,#20985,#12928,.T.);
#26621=EDGE_CURVE('',#20985,#20984,#303,.T.);
#26622=EDGE_CURVE('',#20772,#20986,#12929,.T.);
#26623=EDGE_CURVE('',#20986,#20985,#12930,.T.);
#26624=EDGE_CURVE('',#20771,#20987,#12931,.T.);
#26625=EDGE_CURVE('',#20987,#20986,#12932,.T.);
#26626=EDGE_CURVE('',#20770,#20988,#12933,.T.);
#26627=EDGE_CURVE('',#20988,#20987,#12934,.T.);
#26628=EDGE_CURVE('',#20959,#20988,#12935,.T.);
#26629=EDGE_CURVE('',#20799,#20989,#12936,.T.);
#26630=EDGE_CURVE('',#20989,#20990,#304,.T.);
#26631=EDGE_CURVE('',#20800,#20990,#12937,.T.);
#26632=EDGE_CURVE('',#20835,#20991,#12938,.T.);
#26633=EDGE_CURVE('',#20991,#20989,#305,.T.);
#26634=EDGE_CURVE('',#20834,#20992,#12939,.T.);
#26635=EDGE_CURVE('',#20992,#20991,#306,.T.);
#26636=EDGE_CURVE('',#20833,#20993,#12940,.T.);
#26637=EDGE_CURVE('',#20993,#20992,#307,.T.);
#26638=EDGE_CURVE('',#20832,#20994,#12941,.T.);
#26639=EDGE_CURVE('',#20994,#20993,#308,.T.);
#26640=EDGE_CURVE('',#20831,#20995,#12942,.T.);
#26641=EDGE_CURVE('',#20995,#20994,#12943,.T.);
#26642=EDGE_CURVE('',#20830,#20996,#12944,.T.);
#26643=EDGE_CURVE('',#20996,#20995,#12945,.T.);
#26644=EDGE_CURVE('',#20829,#20997,#12946,.T.);
#26645=EDGE_CURVE('',#20997,#20996,#12947,.T.);
#26646=EDGE_CURVE('',#20828,#20998,#12948,.T.);
#26647=EDGE_CURVE('',#20998,#20997,#309,.T.);
#26648=EDGE_CURVE('',#20827,#20999,#12949,.T.);
#26649=EDGE_CURVE('',#20999,#20998,#310,.T.);
#26650=EDGE_CURVE('',#20826,#21000,#12950,.T.);
#26651=EDGE_CURVE('',#21000,#20999,#311,.T.);
#26652=EDGE_CURVE('',#20825,#21001,#12951,.T.);
#26653=EDGE_CURVE('',#21001,#21000,#312,.T.);
#26654=EDGE_CURVE('',#20824,#21002,#12952,.T.);
#26655=EDGE_CURVE('',#21002,#21001,#12953,.T.);
#26656=EDGE_CURVE('',#20823,#21003,#12954,.T.);
#26657=EDGE_CURVE('',#21003,#21002,#313,.T.);
#26658=EDGE_CURVE('',#20822,#21004,#12955,.T.);
#26659=EDGE_CURVE('',#21004,#21003,#314,.T.);
#26660=EDGE_CURVE('',#20821,#21005,#12956,.T.);
#26661=EDGE_CURVE('',#21005,#21004,#315,.T.);
#26662=EDGE_CURVE('',#20820,#21006,#12957,.T.);
#26663=EDGE_CURVE('',#21006,#21005,#12958,.T.);
#26664=EDGE_CURVE('',#20819,#21007,#12959,.T.);
#26665=EDGE_CURVE('',#21007,#21006,#316,.T.);
#26666=EDGE_CURVE('',#20818,#21008,#12960,.T.);
#26667=EDGE_CURVE('',#21008,#21007,#317,.T.);
#26668=EDGE_CURVE('',#20817,#21009,#12961,.T.);
#26669=EDGE_CURVE('',#21009,#21008,#318,.T.);
#26670=EDGE_CURVE('',#20816,#21010,#12962,.T.);
#26671=EDGE_CURVE('',#21010,#21009,#12963,.T.);
#26672=EDGE_CURVE('',#20815,#21011,#12964,.T.);
#26673=EDGE_CURVE('',#21011,#21010,#319,.T.);
#26674=EDGE_CURVE('',#20814,#21012,#12965,.T.);
#26675=EDGE_CURVE('',#21012,#21011,#320,.T.);
#26676=EDGE_CURVE('',#20813,#21013,#12966,.T.);
#26677=EDGE_CURVE('',#21013,#21012,#321,.T.);
#26678=EDGE_CURVE('',#20812,#21014,#12967,.T.);
#26679=EDGE_CURVE('',#21014,#21013,#322,.T.);
#26680=EDGE_CURVE('',#20811,#21015,#12968,.T.);
#26681=EDGE_CURVE('',#21015,#21014,#12969,.T.);
#26682=EDGE_CURVE('',#20810,#21016,#12970,.T.);
#26683=EDGE_CURVE('',#21016,#21015,#12971,.T.);
#26684=EDGE_CURVE('',#20809,#21017,#12972,.T.);
#26685=EDGE_CURVE('',#21017,#21016,#12973,.T.);
#26686=EDGE_CURVE('',#20808,#21018,#12974,.T.);
#26687=EDGE_CURVE('',#21018,#21017,#323,.T.);
#26688=EDGE_CURVE('',#20807,#21019,#12975,.T.);
#26689=EDGE_CURVE('',#21019,#21018,#324,.T.);
#26690=EDGE_CURVE('',#20806,#21020,#12976,.T.);
#26691=EDGE_CURVE('',#21020,#21019,#325,.T.);
#26692=EDGE_CURVE('',#20805,#21021,#12977,.T.);
#26693=EDGE_CURVE('',#21021,#21020,#326,.T.);
#26694=EDGE_CURVE('',#20804,#21022,#12978,.T.);
#26695=EDGE_CURVE('',#21022,#21021,#327,.T.);
#26696=EDGE_CURVE('',#20803,#21023,#12979,.T.);
#26697=EDGE_CURVE('',#21023,#21022,#328,.T.);
#26698=EDGE_CURVE('',#20802,#21024,#12980,.T.);
#26699=EDGE_CURVE('',#21024,#21023,#329,.T.);
#26700=EDGE_CURVE('',#20801,#21025,#12981,.T.);
#26701=EDGE_CURVE('',#21025,#21024,#330,.T.);
#26702=EDGE_CURVE('',#20990,#21025,#331,.T.);
#26703=EDGE_CURVE('',#20836,#21026,#12982,.T.);
#26704=EDGE_CURVE('',#21026,#21027,#332,.T.);
#26705=EDGE_CURVE('',#20837,#21027,#12983,.T.);
#26706=EDGE_CURVE('',#20845,#21028,#12984,.T.);
#26707=EDGE_CURVE('',#21028,#21026,#12985,.T.);
#26708=EDGE_CURVE('',#20844,#21029,#12986,.T.);
#26709=EDGE_CURVE('',#21029,#21028,#12987,.T.);
#26710=EDGE_CURVE('',#20843,#21030,#12988,.T.);
#26711=EDGE_CURVE('',#21030,#21029,#333,.T.);
#26712=EDGE_CURVE('',#20842,#21031,#12989,.T.);
#26713=EDGE_CURVE('',#21031,#21030,#334,.T.);
#26714=EDGE_CURVE('',#20841,#21032,#12990,.T.);
#26715=EDGE_CURVE('',#21032,#21031,#335,.T.);
#26716=EDGE_CURVE('',#20840,#21033,#12991,.T.);
#26717=EDGE_CURVE('',#21033,#21032,#12992,.T.);
#26718=EDGE_CURVE('',#20839,#21034,#12993,.T.);
#26719=EDGE_CURVE('',#21034,#21033,#12994,.T.);
#26720=EDGE_CURVE('',#20838,#21035,#12995,.T.);
#26721=EDGE_CURVE('',#21035,#21034,#12996,.T.);
#26722=EDGE_CURVE('',#21027,#21035,#12997,.T.);
#26723=EDGE_CURVE('',#20846,#21036,#12998,.T.);
#26724=EDGE_CURVE('',#21036,#21037,#336,.T.);
#26725=EDGE_CURVE('',#20847,#21037,#12999,.T.);
#26726=EDGE_CURVE('',#20866,#21038,#13000,.T.);
#26727=EDGE_CURVE('',#21038,#21036,#337,.T.);
#26728=EDGE_CURVE('',#20865,#21039,#13001,.T.);
#26729=EDGE_CURVE('',#21039,#21038,#338,.T.);
#26730=EDGE_CURVE('',#20864,#21040,#13002,.T.);
#26731=EDGE_CURVE('',#21040,#21039,#339,.T.);
#26732=EDGE_CURVE('',#20863,#21041,#13003,.T.);
#26733=EDGE_CURVE('',#21041,#21040,#340,.T.);
#26734=EDGE_CURVE('',#20862,#21042,#13004,.T.);
#26735=EDGE_CURVE('',#21042,#21041,#341,.T.);
#26736=EDGE_CURVE('',#20861,#21043,#13005,.T.);
#26737=EDGE_CURVE('',#21043,#21042,#342,.T.);
#26738=EDGE_CURVE('',#20860,#21044,#13006,.T.);
#26739=EDGE_CURVE('',#21044,#21043,#343,.T.);
#26740=EDGE_CURVE('',#20859,#21045,#13007,.T.);
#26741=EDGE_CURVE('',#21045,#21044,#13008,.T.);
#26742=EDGE_CURVE('',#20858,#21046,#13009,.T.);
#26743=EDGE_CURVE('',#21046,#21045,#13010,.T.);
#26744=EDGE_CURVE('',#20857,#21047,#13011,.T.);
#26745=EDGE_CURVE('',#21047,#21046,#13012,.T.);
#26746=EDGE_CURVE('',#20856,#21048,#13013,.T.);
#26747=EDGE_CURVE('',#21048,#21047,#344,.T.);
#26748=EDGE_CURVE('',#20855,#21049,#13014,.T.);
#26749=EDGE_CURVE('',#21049,#21048,#345,.T.);
#26750=EDGE_CURVE('',#20854,#21050,#13015,.T.);
#26751=EDGE_CURVE('',#21050,#21049,#346,.T.);
#26752=EDGE_CURVE('',#20853,#21051,#13016,.T.);
#26753=EDGE_CURVE('',#21051,#21050,#347,.T.);
#26754=EDGE_CURVE('',#20852,#21052,#13017,.T.);
#26755=EDGE_CURVE('',#21052,#21051,#348,.T.);
#26756=EDGE_CURVE('',#20851,#21053,#13018,.T.);
#26757=EDGE_CURVE('',#21053,#21052,#349,.T.);
#26758=EDGE_CURVE('',#20850,#21054,#13019,.T.);
#26759=EDGE_CURVE('',#21054,#21053,#13020,.T.);
#26760=EDGE_CURVE('',#20849,#21055,#13021,.T.);
#26761=EDGE_CURVE('',#21055,#21054,#13022,.T.);
#26762=EDGE_CURVE('',#20848,#21056,#13023,.T.);
#26763=EDGE_CURVE('',#21056,#21055,#13024,.T.);
#26764=EDGE_CURVE('',#21037,#21056,#13025,.T.);
#26765=EDGE_CURVE('',#21057,#21058,#13026,.T.);
#26766=EDGE_CURVE('',#21057,#21059,#13027,.T.);
#26767=EDGE_CURVE('',#21059,#21060,#13028,.T.);
#26768=EDGE_CURVE('',#21058,#21060,#13029,.T.);
#26769=EDGE_CURVE('',#21061,#21057,#13030,.T.);
#26770=EDGE_CURVE('',#21061,#21062,#13031,.T.);
#26771=EDGE_CURVE('',#21062,#21059,#13032,.T.);
#26772=EDGE_CURVE('',#21058,#21061,#13033,.T.);
#26773=EDGE_CURVE('',#21060,#21062,#13034,.T.);
#26774=EDGE_CURVE('',#20867,#21063,#13035,.T.);
#26775=EDGE_CURVE('',#21063,#21064,#13036,.T.);
#26776=EDGE_CURVE('',#20868,#21064,#13037,.T.);
#26777=EDGE_CURVE('',#20877,#21065,#13038,.T.);
#26778=EDGE_CURVE('',#21065,#21063,#13039,.T.);
#26779=EDGE_CURVE('',#20876,#21066,#13040,.T.);
#26780=EDGE_CURVE('',#21066,#21065,#13041,.T.);
#26781=EDGE_CURVE('',#20875,#21067,#13042,.T.);
#26782=EDGE_CURVE('',#21067,#21066,#13043,.T.);
#26783=EDGE_CURVE('',#20874,#21068,#13044,.T.);
#26784=EDGE_CURVE('',#21068,#21067,#13045,.T.);
#26785=EDGE_CURVE('',#20873,#21069,#13046,.T.);
#26786=EDGE_CURVE('',#21069,#21068,#13047,.T.);
#26787=EDGE_CURVE('',#20872,#21070,#13048,.T.);
#26788=EDGE_CURVE('',#21070,#21069,#13049,.T.);
#26789=EDGE_CURVE('',#20871,#21071,#13050,.T.);
#26790=EDGE_CURVE('',#21071,#21070,#13051,.T.);
#26791=EDGE_CURVE('',#20870,#21072,#13052,.T.);
#26792=EDGE_CURVE('',#21072,#21071,#13053,.T.);
#26793=EDGE_CURVE('',#20869,#21073,#13054,.T.);
#26794=EDGE_CURVE('',#21073,#21072,#13055,.T.);
#26795=EDGE_CURVE('',#21064,#21073,#13056,.T.);
#26796=EDGE_CURVE('',#21074,#21075,#350,.T.);
#26797=EDGE_CURVE('',#21074,#21076,#13057,.T.);
#26798=EDGE_CURVE('',#21076,#21077,#351,.T.);
#26799=EDGE_CURVE('',#21075,#21077,#13058,.T.);
#26800=EDGE_CURVE('',#21078,#21074,#352,.T.);
#26801=EDGE_CURVE('',#21078,#21079,#13059,.T.);
#26802=EDGE_CURVE('',#21079,#21076,#353,.T.);
#26803=EDGE_CURVE('',#21080,#21078,#354,.T.);
#26804=EDGE_CURVE('',#21080,#21081,#13060,.T.);
#26805=EDGE_CURVE('',#21081,#21079,#355,.T.);
#26806=EDGE_CURVE('',#21082,#21080,#356,.T.);
#26807=EDGE_CURVE('',#21082,#21083,#13061,.T.);
#26808=EDGE_CURVE('',#21083,#21081,#357,.T.);
#26809=EDGE_CURVE('',#21084,#21082,#13062,.T.);
#26810=EDGE_CURVE('',#21084,#21085,#13063,.T.);
#26811=EDGE_CURVE('',#21085,#21083,#13064,.T.);
#26812=EDGE_CURVE('',#21086,#21084,#358,.T.);
#26813=EDGE_CURVE('',#21086,#21087,#13065,.T.);
#26814=EDGE_CURVE('',#21087,#21085,#359,.T.);
#26815=EDGE_CURVE('',#21088,#21086,#360,.T.);
#26816=EDGE_CURVE('',#21088,#21089,#13066,.T.);
#26817=EDGE_CURVE('',#21089,#21087,#361,.T.);
#26818=EDGE_CURVE('',#21090,#21088,#362,.T.);
#26819=EDGE_CURVE('',#21090,#21091,#13067,.T.);
#26820=EDGE_CURVE('',#21091,#21089,#363,.T.);
#26821=EDGE_CURVE('',#21092,#21090,#364,.T.);
#26822=EDGE_CURVE('',#21092,#21093,#13068,.T.);
#26823=EDGE_CURVE('',#21093,#21091,#365,.T.);
#26824=EDGE_CURVE('',#21075,#21092,#13069,.T.);
#26825=EDGE_CURVE('',#21077,#21093,#13070,.T.);
#26826=EDGE_CURVE('',#20878,#21094,#13071,.T.);
#26827=EDGE_CURVE('',#21094,#21095,#366,.T.);
#26828=EDGE_CURVE('',#20879,#21095,#13072,.T.);
#26829=EDGE_CURVE('',#20907,#21096,#13073,.T.);
#26830=EDGE_CURVE('',#21096,#21094,#367,.T.);
#26831=EDGE_CURVE('',#20906,#21097,#13074,.T.);
#26832=EDGE_CURVE('',#21097,#21096,#368,.T.);
#26833=EDGE_CURVE('',#20905,#21098,#13075,.T.);
#26834=EDGE_CURVE('',#21098,#21097,#369,.T.);
#26835=EDGE_CURVE('',#20904,#21099,#13076,.T.);
#26836=EDGE_CURVE('',#21099,#21098,#370,.T.);
#26837=EDGE_CURVE('',#20903,#21100,#13077,.T.);
#26838=EDGE_CURVE('',#21100,#21099,#371,.T.);
#26839=EDGE_CURVE('',#20902,#21101,#13078,.T.);
#26840=EDGE_CURVE('',#21101,#21100,#13079,.T.);
#26841=EDGE_CURVE('',#20901,#21102,#13080,.T.);
#26842=EDGE_CURVE('',#21102,#21101,#372,.T.);
#26843=EDGE_CURVE('',#20900,#21103,#13081,.T.);
#26844=EDGE_CURVE('',#21103,#21102,#373,.T.);
#26845=EDGE_CURVE('',#20899,#21104,#13082,.T.);
#26846=EDGE_CURVE('',#21104,#21103,#374,.T.);
#26847=EDGE_CURVE('',#20898,#21105,#13083,.T.);
#26848=EDGE_CURVE('',#21105,#21104,#375,.T.);
#26849=EDGE_CURVE('',#20897,#21106,#13084,.T.);
#26850=EDGE_CURVE('',#21106,#21105,#376,.T.);
#26851=EDGE_CURVE('',#20896,#21107,#13085,.T.);
#26852=EDGE_CURVE('',#21107,#21106,#377,.T.);
#26853=EDGE_CURVE('',#20895,#21108,#13086,.T.);
#26854=EDGE_CURVE('',#21108,#21107,#378,.T.);
#26855=EDGE_CURVE('',#20894,#21109,#13087,.T.);
#26856=EDGE_CURVE('',#21109,#21108,#379,.T.);
#26857=EDGE_CURVE('',#20893,#21110,#13088,.T.);
#26858=EDGE_CURVE('',#21110,#21109,#13089,.T.);
#26859=EDGE_CURVE('',#20892,#21111,#13090,.T.);
#26860=EDGE_CURVE('',#21111,#21110,#380,.T.);
#26861=EDGE_CURVE('',#20891,#21112,#13091,.T.);
#26862=EDGE_CURVE('',#21112,#21111,#381,.T.);
#26863=EDGE_CURVE('',#20890,#21113,#13092,.T.);
#26864=EDGE_CURVE('',#21113,#21112,#382,.T.);
#26865=EDGE_CURVE('',#20889,#21114,#13093,.T.);
#26866=EDGE_CURVE('',#21114,#21113,#383,.T.);
#26867=EDGE_CURVE('',#20888,#21115,#13094,.T.);
#26868=EDGE_CURVE('',#21115,#21114,#384,.T.);
#26869=EDGE_CURVE('',#20887,#21116,#13095,.T.);
#26870=EDGE_CURVE('',#21116,#21115,#13096,.T.);
#26871=EDGE_CURVE('',#20886,#21117,#13097,.T.);
#26872=EDGE_CURVE('',#21117,#21116,#385,.T.);
#26873=EDGE_CURVE('',#20885,#21118,#13098,.T.);
#26874=EDGE_CURVE('',#21118,#21117,#386,.T.);
#26875=EDGE_CURVE('',#20884,#21119,#13099,.T.);
#26876=EDGE_CURVE('',#21119,#21118,#387,.T.);
#26877=EDGE_CURVE('',#20883,#21120,#13100,.T.);
#26878=EDGE_CURVE('',#21120,#21119,#388,.T.);
#26879=EDGE_CURVE('',#20882,#21121,#13101,.T.);
#26880=EDGE_CURVE('',#21121,#21120,#389,.T.);
#26881=EDGE_CURVE('',#20881,#21122,#13102,.T.);
#26882=EDGE_CURVE('',#21122,#21121,#390,.T.);
#26883=EDGE_CURVE('',#20880,#21123,#13103,.T.);
#26884=EDGE_CURVE('',#21123,#21122,#13104,.T.);
#26885=EDGE_CURVE('',#21095,#21123,#391,.T.);
#26886=EDGE_CURVE('',#21124,#21124,#1860,.F.);
#26887=EDGE_CURVE('',#21125,#21125,#1861,.F.);
#26888=EDGE_CURVE('',#21126,#21126,#1862,.F.);
#26889=EDGE_CURVE('',#21127,#21127,#1863,.F.);
#26890=EDGE_CURVE('',#21128,#21128,#1864,.F.);
#26891=EDGE_CURVE('',#21129,#21129,#1865,.F.);
#26892=EDGE_CURVE('',#21130,#21131,#13105,.T.);
#26893=EDGE_CURVE('',#21131,#21132,#1866,.T.);
#26894=EDGE_CURVE('',#21132,#21133,#13106,.T.);
#26895=EDGE_CURVE('',#21133,#21130,#175,.T.);
#26896=EDGE_CURVE('',#21133,#21134,#13107,.T.);
#26897=EDGE_CURVE('',#21134,#21135,#176,.T.);
#26898=EDGE_CURVE('',#21135,#21130,#13108,.T.);
#26899=EDGE_CURVE('',#21134,#21136,#13109,.T.);
#26900=EDGE_CURVE('',#21136,#21137,#1867,.T.);
#26901=EDGE_CURVE('',#21137,#21135,#13110,.T.);
#26902=EDGE_CURVE('',#20747,#21138,#13111,.T.);
#26903=EDGE_CURVE('',#21138,#21139,#1868,.T.);
#26904=EDGE_CURVE('',#21139,#21140,#13112,.T.);
#26905=EDGE_CURVE('',#21140,#21141,#13113,.T.);
#26906=EDGE_CURVE('',#21141,#21142,#1869,.T.);
#26907=EDGE_CURVE('',#21142,#21143,#1870,.T.);
#26908=EDGE_CURVE('',#21143,#20755,#1871,.T.);
#26909=EDGE_CURVE('',#20754,#21144,#1872,.T.);
#26910=EDGE_CURVE('',#21144,#21145,#1873,.T.);
#26911=EDGE_CURVE('',#21145,#21146,#1874,.T.);
#26912=EDGE_CURVE('',#21146,#21147,#13114,.T.);
#26913=EDGE_CURVE('',#21147,#21148,#13115,.T.);
#26914=EDGE_CURVE('',#21148,#21149,#1875,.T.);
#26915=EDGE_CURVE('',#21149,#20748,#13116,.T.);
#26916=EDGE_CURVE('',#21150,#21151,#13117,.T.);
#26917=EDGE_CURVE('',#21152,#21151,#13118,.T.);
#26918=EDGE_CURVE('',#21153,#21152,#13119,.T.);
#26919=EDGE_CURVE('',#21150,#21153,#13120,.T.);
#26920=EDGE_CURVE('',#21154,#21155,#13121,.T.);
#26921=EDGE_CURVE('',#21156,#21155,#13122,.T.);
#26922=EDGE_CURVE('',#21157,#21156,#13123,.T.);
#26923=EDGE_CURVE('',#21154,#21157,#13124,.T.);
#26924=EDGE_CURVE('',#21158,#21159,#13125,.T.);
#26925=EDGE_CURVE('',#21160,#21159,#13126,.T.);
#26926=EDGE_CURVE('',#21161,#21160,#13127,.T.);
#26927=EDGE_CURVE('',#21158,#21161,#13128,.T.);
#26928=EDGE_CURVE('',#21162,#21163,#1876,.T.);
#26929=EDGE_CURVE('',#21164,#21162,#13129,.T.);
#26930=EDGE_CURVE('',#21165,#21164,#1877,.T.);
#26931=EDGE_CURVE('',#21166,#21165,#13130,.T.);
#26932=EDGE_CURVE('',#21167,#21166,#1878,.T.);
#26933=EDGE_CURVE('',#21168,#21167,#13131,.T.);
#26934=EDGE_CURVE('',#21169,#21168,#1879,.T.);
#26935=EDGE_CURVE('',#21163,#21169,#13132,.T.);
#26936=EDGE_CURVE('',#21170,#21171,#1880,.T.);
#26937=EDGE_CURVE('',#21172,#21170,#13133,.T.);
#26938=EDGE_CURVE('',#21173,#21172,#1881,.T.);
#26939=EDGE_CURVE('',#21174,#21173,#13134,.T.);
#26940=EDGE_CURVE('',#21175,#21174,#1882,.T.);
#26941=EDGE_CURVE('',#21176,#21175,#13135,.T.);
#26942=EDGE_CURVE('',#21177,#21176,#1883,.T.);
#26943=EDGE_CURVE('',#21171,#21177,#13136,.T.);
#26944=EDGE_CURVE('',#21178,#21179,#1884,.T.);
#26945=EDGE_CURVE('',#21180,#21178,#13137,.T.);
#26946=EDGE_CURVE('',#21181,#21180,#1885,.T.);
#26947=EDGE_CURVE('',#21182,#21181,#13138,.T.);
#26948=EDGE_CURVE('',#21183,#21182,#1886,.T.);
#26949=EDGE_CURVE('',#21184,#21183,#13139,.T.);
#26950=EDGE_CURVE('',#21185,#21184,#1887,.T.);
#26951=EDGE_CURVE('',#21179,#21185,#13140,.T.);
#26952=EDGE_CURVE('',#21186,#21187,#1888,.T.);
#26953=EDGE_CURVE('',#21188,#21186,#13141,.T.);
#26954=EDGE_CURVE('',#21189,#21188,#1889,.T.);
#26955=EDGE_CURVE('',#21190,#21189,#13142,.T.);
#26956=EDGE_CURVE('',#21191,#21190,#1890,.T.);
#26957=EDGE_CURVE('',#21192,#21191,#13143,.T.);
#26958=EDGE_CURVE('',#21193,#21192,#1891,.T.);
#26959=EDGE_CURVE('',#21187,#21193,#13144,.T.);
#26960=EDGE_CURVE('',#21194,#21195,#1892,.T.);
#26961=EDGE_CURVE('',#21196,#21194,#13145,.T.);
#26962=EDGE_CURVE('',#21197,#21196,#1893,.T.);
#26963=EDGE_CURVE('',#21198,#21197,#13146,.T.);
#26964=EDGE_CURVE('',#21199,#21198,#1894,.T.);
#26965=EDGE_CURVE('',#21200,#21199,#13147,.T.);
#26966=EDGE_CURVE('',#21201,#21200,#1895,.T.);
#26967=EDGE_CURVE('',#21195,#21201,#13148,.T.);
#26968=EDGE_CURVE('',#21202,#21203,#1896,.T.);
#26969=EDGE_CURVE('',#21204,#21202,#13149,.T.);
#26970=EDGE_CURVE('',#21205,#21204,#1897,.T.);
#26971=EDGE_CURVE('',#21206,#21205,#13150,.T.);
#26972=EDGE_CURVE('',#21207,#21206,#1898,.T.);
#26973=EDGE_CURVE('',#21208,#21207,#13151,.T.);
#26974=EDGE_CURVE('',#21209,#21208,#1899,.T.);
#26975=EDGE_CURVE('',#21203,#21209,#13152,.T.);
#26976=EDGE_CURVE('',#21137,#21131,#13153,.T.);
#26977=EDGE_CURVE('',#21210,#21211,#13154,.T.);
#26978=EDGE_CURVE('',#21212,#21211,#13155,.T.);
#26979=EDGE_CURVE('',#21213,#21212,#13156,.T.);
#26980=EDGE_CURVE('',#21210,#21213,#13157,.T.);
#26981=EDGE_CURVE('',#21214,#21215,#13158,.T.);
#26982=EDGE_CURVE('',#21216,#21215,#13159,.T.);
#26983=EDGE_CURVE('',#21217,#21216,#13160,.T.);
#26984=EDGE_CURVE('',#21214,#21217,#13161,.T.);
#26985=EDGE_CURVE('',#21215,#21218,#1900,.T.);
#26986=EDGE_CURVE('',#21218,#21219,#13162,.T.);
#26987=EDGE_CURVE('',#21219,#21216,#177,.T.);
#26988=EDGE_CURVE('',#21220,#21221,#13163,.T.);
#26989=EDGE_CURVE('',#21221,#21214,#1901,.T.);
#26990=EDGE_CURVE('',#21217,#21220,#178,.T.);
#26991=EDGE_CURVE('',#21219,#21220,#13164,.T.);
#26992=EDGE_CURVE('',#21155,#21222,#1902,.T.);
#26993=EDGE_CURVE('',#21222,#21223,#13165,.T.);
#26994=EDGE_CURVE('',#21223,#21156,#179,.T.);
#26995=EDGE_CURVE('',#21224,#21225,#13166,.T.);
#26996=EDGE_CURVE('',#21225,#21154,#1903,.T.);
#26997=EDGE_CURVE('',#21157,#21224,#180,.T.);
#26998=EDGE_CURVE('',#21223,#21224,#13167,.T.);
#26999=EDGE_CURVE('',#21159,#21226,#1904,.T.);
#27000=EDGE_CURVE('',#21226,#21227,#13168,.T.);
#27001=EDGE_CURVE('',#21227,#21160,#181,.T.);
#27002=EDGE_CURVE('',#21228,#21229,#13169,.T.);
#27003=EDGE_CURVE('',#21229,#21158,#1905,.T.);
#27004=EDGE_CURVE('',#21161,#21228,#182,.T.);
#27005=EDGE_CURVE('',#21227,#21228,#13170,.T.);
#27006=EDGE_CURVE('',#21211,#21230,#1906,.T.);
#27007=EDGE_CURVE('',#21230,#21231,#13171,.T.);
#27008=EDGE_CURVE('',#21231,#21212,#183,.T.);
#27009=EDGE_CURVE('',#21232,#21233,#13172,.T.);
#27010=EDGE_CURVE('',#21233,#21210,#1907,.T.);
#27011=EDGE_CURVE('',#21213,#21232,#184,.T.);
#27012=EDGE_CURVE('',#21231,#21232,#13173,.T.);
#27013=EDGE_CURVE('',#21151,#21234,#1908,.T.);
#27014=EDGE_CURVE('',#21234,#21235,#13174,.T.);
#27015=EDGE_CURVE('',#21235,#21152,#185,.T.);
#27016=EDGE_CURVE('',#21236,#21237,#13175,.T.);
#27017=EDGE_CURVE('',#21237,#21150,#1909,.T.);
#27018=EDGE_CURVE('',#21153,#21236,#186,.T.);
#27019=EDGE_CURVE('',#21235,#21236,#13176,.T.);
#27020=EDGE_CURVE('',#21238,#21238,#1910,.T.);
#27021=EDGE_CURVE('',#21238,#21125,#13177,.T.);
#27022=EDGE_CURVE('',#21220,#21239,#13178,.T.);
#27023=EDGE_CURVE('',#21240,#21239,#13179,.T.);
#27024=EDGE_CURVE('',#21221,#21240,#13180,.T.);
#27025=EDGE_CURVE('',#21241,#21240,#13181,.T.);
#27026=EDGE_CURVE('',#21218,#21241,#13182,.T.);
#27027=EDGE_CURVE('',#21242,#21241,#13183,.T.);
#27028=EDGE_CURVE('',#21219,#21242,#13184,.T.);
#27029=EDGE_CURVE('',#21239,#21242,#13185,.T.);
#27030=EDGE_CURVE('',#21243,#21243,#1911,.T.);
#27031=EDGE_CURVE('',#21243,#21126,#13186,.T.);
#27032=EDGE_CURVE('',#21236,#21244,#13187,.T.);
#27033=EDGE_CURVE('',#21245,#21244,#13188,.T.);
#27034=EDGE_CURVE('',#21237,#21245,#13189,.T.);
#27035=EDGE_CURVE('',#21246,#21245,#13190,.T.);
#27036=EDGE_CURVE('',#21234,#21246,#13191,.T.);
#27037=EDGE_CURVE('',#21247,#21246,#13192,.T.);
#27038=EDGE_CURVE('',#21235,#21247,#13193,.T.);
#27039=EDGE_CURVE('',#21244,#21247,#13194,.T.);
#27040=EDGE_CURVE('',#21248,#21248,#1912,.T.);
#27041=EDGE_CURVE('',#21248,#21124,#13195,.T.);
#27042=EDGE_CURVE('',#21232,#21249,#13196,.T.);
#27043=EDGE_CURVE('',#21250,#21249,#13197,.T.);
#27044=EDGE_CURVE('',#21233,#21250,#13198,.T.);
#27045=EDGE_CURVE('',#21251,#21250,#13199,.T.);
#27046=EDGE_CURVE('',#21230,#21251,#13200,.T.);
#27047=EDGE_CURVE('',#21252,#21251,#13201,.T.);
#27048=EDGE_CURVE('',#21231,#21252,#13202,.T.);
#27049=EDGE_CURVE('',#21249,#21252,#13203,.T.);
#27050=EDGE_CURVE('',#21253,#21253,#1913,.T.);
#27051=EDGE_CURVE('',#21253,#21128,#13204,.T.);
#27052=EDGE_CURVE('',#21224,#21254,#13205,.T.);
#27053=EDGE_CURVE('',#21255,#21254,#13206,.T.);
#27054=EDGE_CURVE('',#21225,#21255,#13207,.T.);
#27055=EDGE_CURVE('',#21256,#21255,#13208,.T.);
#27056=EDGE_CURVE('',#21222,#21256,#13209,.T.);
#27057=EDGE_CURVE('',#21257,#21256,#13210,.T.);
#27058=EDGE_CURVE('',#21223,#21257,#13211,.T.);
#27059=EDGE_CURVE('',#21254,#21257,#13212,.T.);
#27060=EDGE_CURVE('',#21258,#21258,#1914,.T.);
#27061=EDGE_CURVE('',#21258,#21129,#13213,.T.);
#27062=EDGE_CURVE('',#21132,#21259,#13214,.T.);
#27063=EDGE_CURVE('',#21260,#21259,#13215,.T.);
#27064=EDGE_CURVE('',#21133,#21260,#13216,.T.);
#27065=EDGE_CURVE('',#21261,#21260,#13217,.T.);
#27066=EDGE_CURVE('',#21134,#21261,#13218,.T.);
#27067=EDGE_CURVE('',#21262,#21261,#13219,.T.);
#27068=EDGE_CURVE('',#21136,#21262,#13220,.T.);
#27069=EDGE_CURVE('',#21259,#21262,#13221,.T.);
#27070=EDGE_CURVE('',#21263,#21263,#1915,.T.);
#27071=EDGE_CURVE('',#21263,#21127,#13222,.T.);
#27072=EDGE_CURVE('',#21228,#21264,#13223,.T.);
#27073=EDGE_CURVE('',#21265,#21264,#13224,.T.);
#27074=EDGE_CURVE('',#21229,#21265,#13225,.T.);
#27075=EDGE_CURVE('',#21266,#21265,#13226,.T.);
#27076=EDGE_CURVE('',#21226,#21266,#13227,.T.);
#27077=EDGE_CURVE('',#21267,#21266,#13228,.T.);
#27078=EDGE_CURVE('',#21227,#21267,#13229,.T.);
#27079=EDGE_CURVE('',#21264,#21267,#13230,.T.);
#27080=EDGE_CURVE('',#21268,#20746,#13231,.T.);
#27081=EDGE_CURVE('',#21138,#21268,#13232,.T.);
#27082=EDGE_CURVE('',#21269,#21268,#1916,.T.);
#27083=EDGE_CURVE('',#21139,#21269,#13233,.T.);
#27084=EDGE_CURVE('',#21270,#21270,#1917,.T.);
#27085=EDGE_CURVE('',#21270,#21271,#13234,.T.);
#27086=EDGE_CURVE('',#21271,#21271,#1918,.F.);
#27087=EDGE_CURVE('',#21272,#21269,#13235,.T.);
#27088=EDGE_CURVE('',#21140,#21272,#13236,.T.);
#27089=EDGE_CURVE('',#21272,#20745,#13237,.T.);
#27090=EDGE_CURVE('',#21273,#21274,#1919,.T.);
#27091=EDGE_CURVE('',#21142,#21273,#13238,.T.);
#27092=EDGE_CURVE('',#21274,#21141,#13239,.T.);
#27093=EDGE_CURVE('',#21275,#21273,#1920,.T.);
#27094=EDGE_CURVE('',#21143,#21275,#13240,.T.);
#27095=EDGE_CURVE('',#21276,#21276,#1921,.T.);
#27096=EDGE_CURVE('',#21276,#21277,#13241,.T.);
#27097=EDGE_CURVE('',#21277,#21277,#1922,.F.);
#27098=EDGE_CURVE('',#20756,#21275,#1923,.T.);
#27099=EDGE_CURVE('',#20757,#21274,#13242,.T.);
#27100=EDGE_CURVE('',#21278,#21279,#13243,.T.);
#27101=EDGE_CURVE('',#21148,#21278,#13244,.T.);
#27102=EDGE_CURVE('',#21279,#21147,#13245,.T.);
#27103=EDGE_CURVE('',#21280,#21278,#1924,.T.);
#27104=EDGE_CURVE('',#21149,#21280,#13246,.T.);
#27105=EDGE_CURVE('',#21281,#21281,#1925,.T.);
#27106=EDGE_CURVE('',#21281,#21282,#13247,.T.);
#27107=EDGE_CURVE('',#21282,#21282,#1926,.F.);
#27108=EDGE_CURVE('',#20749,#21280,#13248,.T.);
#27109=EDGE_CURVE('',#20750,#21279,#13249,.T.);
#27110=EDGE_CURVE('',#21283,#21146,#13250,.T.);
#27111=EDGE_CURVE('',#21283,#20752,#13251,.T.);
#27112=EDGE_CURVE('',#21284,#20753,#1927,.T.);
#27113=EDGE_CURVE('',#21144,#21284,#13252,.T.);
#27114=EDGE_CURVE('',#21285,#21284,#1928,.T.);
#27115=EDGE_CURVE('',#21145,#21285,#13253,.T.);
#27116=EDGE_CURVE('',#21286,#21286,#1929,.T.);
#27117=EDGE_CURVE('',#21286,#21287,#13254,.T.);
#27118=EDGE_CURVE('',#21287,#21287,#1930,.F.);
#27119=EDGE_CURVE('',#21283,#21285,#1931,.T.);
#27120=EDGE_CURVE('',#21202,#20948,#13255,.T.);
#27121=EDGE_CURVE('',#21204,#20955,#13256,.T.);
#27122=EDGE_CURVE('',#21205,#20954,#13257,.T.);
#27123=EDGE_CURVE('',#21206,#20953,#13258,.T.);
#27124=EDGE_CURVE('',#21207,#20952,#13259,.T.);
#27125=EDGE_CURVE('',#21208,#20951,#13260,.T.);
#27126=EDGE_CURVE('',#21209,#20950,#13261,.T.);
#27127=EDGE_CURVE('',#21203,#20949,#13262,.T.);
#27128=EDGE_CURVE('',#21194,#20940,#13263,.T.);
#27129=EDGE_CURVE('',#21196,#20947,#13264,.T.);
#27130=EDGE_CURVE('',#21197,#20946,#13265,.T.);
#27131=EDGE_CURVE('',#21198,#20945,#13266,.T.);
#27132=EDGE_CURVE('',#21199,#20944,#13267,.T.);
#27133=EDGE_CURVE('',#21200,#20943,#13268,.T.);
#27134=EDGE_CURVE('',#21201,#20942,#13269,.T.);
#27135=EDGE_CURVE('',#21195,#20941,#13270,.T.);
#27136=EDGE_CURVE('',#21186,#20932,#13271,.T.);
#27137=EDGE_CURVE('',#21188,#20939,#13272,.T.);
#27138=EDGE_CURVE('',#21189,#20938,#13273,.T.);
#27139=EDGE_CURVE('',#21190,#20937,#13274,.T.);
#27140=EDGE_CURVE('',#21191,#20936,#13275,.T.);
#27141=EDGE_CURVE('',#21192,#20935,#13276,.T.);
#27142=EDGE_CURVE('',#21193,#20934,#13277,.T.);
#27143=EDGE_CURVE('',#21187,#20933,#13278,.T.);
#27144=EDGE_CURVE('',#21178,#20924,#13279,.T.);
#27145=EDGE_CURVE('',#21180,#20931,#13280,.T.);
#27146=EDGE_CURVE('',#21181,#20930,#13281,.T.);
#27147=EDGE_CURVE('',#21182,#20929,#13282,.T.);
#27148=EDGE_CURVE('',#21183,#20928,#13283,.T.);
#27149=EDGE_CURVE('',#21184,#20927,#13284,.T.);
#27150=EDGE_CURVE('',#21185,#20926,#13285,.T.);
#27151=EDGE_CURVE('',#21179,#20925,#13286,.T.);
#27152=EDGE_CURVE('',#21170,#20916,#13287,.T.);
#27153=EDGE_CURVE('',#21172,#20923,#13288,.T.);
#27154=EDGE_CURVE('',#21173,#20922,#13289,.T.);
#27155=EDGE_CURVE('',#21174,#20921,#13290,.T.);
#27156=EDGE_CURVE('',#21175,#20920,#13291,.T.);
#27157=EDGE_CURVE('',#21176,#20919,#13292,.T.);
#27158=EDGE_CURVE('',#21177,#20918,#13293,.T.);
#27159=EDGE_CURVE('',#21171,#20917,#13294,.T.);
#27160=EDGE_CURVE('',#21162,#20908,#13295,.T.);
#27161=EDGE_CURVE('',#21164,#20915,#13296,.T.);
#27162=EDGE_CURVE('',#21165,#20914,#13297,.T.);
#27163=EDGE_CURVE('',#21166,#20913,#13298,.T.);
#27164=EDGE_CURVE('',#21167,#20912,#13299,.T.);
#27165=EDGE_CURVE('',#21168,#20911,#13300,.T.);
#27166=EDGE_CURVE('',#21169,#20910,#13301,.T.);
#27167=EDGE_CURVE('',#21163,#20909,#13302,.T.);
#27168=ORIENTED_EDGE('',*,*,#21288,.T.);
#27169=ORIENTED_EDGE('',*,*,#21289,.T.);
#27170=ORIENTED_EDGE('',*,*,#21290,.F.);
#27171=ORIENTED_EDGE('',*,*,#21291,.F.);
#27172=ORIENTED_EDGE('',*,*,#21292,.T.);
#27173=ORIENTED_EDGE('',*,*,#21291,.T.);
#27174=ORIENTED_EDGE('',*,*,#21293,.F.);
#27175=ORIENTED_EDGE('',*,*,#21294,.F.);
#27176=ORIENTED_EDGE('',*,*,#21295,.T.);
#27177=ORIENTED_EDGE('',*,*,#21294,.T.);
#27178=ORIENTED_EDGE('',*,*,#21296,.F.);
#27179=ORIENTED_EDGE('',*,*,#21297,.F.);
#27180=ORIENTED_EDGE('',*,*,#21298,.T.);
#27181=ORIENTED_EDGE('',*,*,#21297,.T.);
#27182=ORIENTED_EDGE('',*,*,#21299,.F.);
#27183=ORIENTED_EDGE('',*,*,#21289,.F.);
#27184=ORIENTED_EDGE('',*,*,#21298,.F.);
#27185=ORIENTED_EDGE('',*,*,#21288,.F.);
#27186=ORIENTED_EDGE('',*,*,#21292,.F.);
#27187=ORIENTED_EDGE('',*,*,#21295,.F.);
#27188=ORIENTED_EDGE('',*,*,#21299,.T.);
#27189=ORIENTED_EDGE('',*,*,#21296,.T.);
#27190=ORIENTED_EDGE('',*,*,#21293,.T.);
#27191=ORIENTED_EDGE('',*,*,#21290,.T.);
#27192=ORIENTED_EDGE('',*,*,#21300,.F.);
#27193=ORIENTED_EDGE('',*,*,#21301,.T.);
#27194=ORIENTED_EDGE('',*,*,#21302,.F.);
#27195=ORIENTED_EDGE('',*,*,#21303,.F.);
#27196=ORIENTED_EDGE('',*,*,#21304,.F.);
#27197=ORIENTED_EDGE('',*,*,#21305,.T.);
#27198=ORIENTED_EDGE('',*,*,#21306,.F.);
#27199=ORIENTED_EDGE('',*,*,#21301,.F.);
#27200=ORIENTED_EDGE('',*,*,#21307,.F.);
#27201=ORIENTED_EDGE('',*,*,#21303,.T.);
#27202=ORIENTED_EDGE('',*,*,#21308,.F.);
#27203=ORIENTED_EDGE('',*,*,#21309,.F.);
#27204=ORIENTED_EDGE('',*,*,#21310,.F.);
#27205=ORIENTED_EDGE('',*,*,#21309,.T.);
#27206=ORIENTED_EDGE('',*,*,#21311,.F.);
#27207=ORIENTED_EDGE('',*,*,#21305,.F.);
#27208=ORIENTED_EDGE('',*,*,#21306,.T.);
#27209=ORIENTED_EDGE('',*,*,#21311,.T.);
#27210=ORIENTED_EDGE('',*,*,#21308,.T.);
#27211=ORIENTED_EDGE('',*,*,#21302,.T.);
#27212=ORIENTED_EDGE('',*,*,#21312,.F.);
#27213=ORIENTED_EDGE('',*,*,#21313,.T.);
#27214=ORIENTED_EDGE('',*,*,#21314,.F.);
#27215=ORIENTED_EDGE('',*,*,#21315,.F.);
#27216=ORIENTED_EDGE('',*,*,#21316,.F.);
#27217=ORIENTED_EDGE('',*,*,#21315,.T.);
#27218=ORIENTED_EDGE('',*,*,#21317,.F.);
#27219=ORIENTED_EDGE('',*,*,#21318,.F.);
#27220=ORIENTED_EDGE('',*,*,#21319,.F.);
#27221=ORIENTED_EDGE('',*,*,#21318,.T.);
#27222=ORIENTED_EDGE('',*,*,#21320,.F.);
#27223=ORIENTED_EDGE('',*,*,#21321,.F.);
#27224=ORIENTED_EDGE('',*,*,#21322,.F.);
#27225=ORIENTED_EDGE('',*,*,#21321,.T.);
#27226=ORIENTED_EDGE('',*,*,#21323,.F.);
#27227=ORIENTED_EDGE('',*,*,#21313,.F.);
#27228=ORIENTED_EDGE('',*,*,#21323,.T.);
#27229=ORIENTED_EDGE('',*,*,#21320,.T.);
#27230=ORIENTED_EDGE('',*,*,#21317,.T.);
#27231=ORIENTED_EDGE('',*,*,#21314,.T.);
#27232=ORIENTED_EDGE('',*,*,#21304,.T.);
#27233=ORIENTED_EDGE('',*,*,#21300,.T.);
#27234=ORIENTED_EDGE('',*,*,#21307,.T.);
#27235=ORIENTED_EDGE('',*,*,#21310,.T.);
#27236=ORIENTED_EDGE('',*,*,#21322,.T.);
#27237=ORIENTED_EDGE('',*,*,#21312,.T.);
#27238=ORIENTED_EDGE('',*,*,#21316,.T.);
#27239=ORIENTED_EDGE('',*,*,#21319,.T.);
#27240=ORIENTED_EDGE('',*,*,#21324,.F.);
#27241=ORIENTED_EDGE('',*,*,#21325,.T.);
#27242=ORIENTED_EDGE('',*,*,#21326,.F.);
#27243=ORIENTED_EDGE('',*,*,#21327,.F.);
#27244=ORIENTED_EDGE('',*,*,#21328,.F.);
#27245=ORIENTED_EDGE('',*,*,#21327,.T.);
#27246=ORIENTED_EDGE('',*,*,#21329,.F.);
#27247=ORIENTED_EDGE('',*,*,#21330,.F.);
#27248=ORIENTED_EDGE('',*,*,#21331,.T.);
#27249=ORIENTED_EDGE('',*,*,#21330,.T.);
#27250=ORIENTED_EDGE('',*,*,#21332,.F.);
#27251=ORIENTED_EDGE('',*,*,#21333,.F.);
#27252=ORIENTED_EDGE('',*,*,#21334,.F.);
#27253=ORIENTED_EDGE('',*,*,#21333,.T.);
#27254=ORIENTED_EDGE('',*,*,#21335,.F.);
#27255=ORIENTED_EDGE('',*,*,#21325,.F.);
#27256=ORIENTED_EDGE('',*,*,#21335,.T.);
#27257=ORIENTED_EDGE('',*,*,#21332,.T.);
#27258=ORIENTED_EDGE('',*,*,#21329,.T.);
#27259=ORIENTED_EDGE('',*,*,#21326,.T.);
#27260=ORIENTED_EDGE('',*,*,#21334,.T.);
#27261=ORIENTED_EDGE('',*,*,#21324,.T.);
#27262=ORIENTED_EDGE('',*,*,#21328,.T.);
#27263=ORIENTED_EDGE('',*,*,#21331,.F.);
#27264=ORIENTED_EDGE('',*,*,#21336,.F.);
#27265=ORIENTED_EDGE('',*,*,#21337,.T.);
#27266=ORIENTED_EDGE('',*,*,#21338,.F.);
#27267=ORIENTED_EDGE('',*,*,#21339,.F.);
#27268=ORIENTED_EDGE('',*,*,#21340,.T.);
#27269=ORIENTED_EDGE('',*,*,#21339,.T.);
#27270=ORIENTED_EDGE('',*,*,#21341,.F.);
#27271=ORIENTED_EDGE('',*,*,#21342,.F.);
#27272=ORIENTED_EDGE('',*,*,#21343,.T.);
#27273=ORIENTED_EDGE('',*,*,#21342,.T.);
#27274=ORIENTED_EDGE('',*,*,#21344,.F.);
#27275=ORIENTED_EDGE('',*,*,#21345,.F.);
#27276=ORIENTED_EDGE('',*,*,#21346,.T.);
#27277=ORIENTED_EDGE('',*,*,#21345,.T.);
#27278=ORIENTED_EDGE('',*,*,#21347,.F.);
#27279=ORIENTED_EDGE('',*,*,#21337,.F.);
#27280=ORIENTED_EDGE('',*,*,#21347,.T.);
#27281=ORIENTED_EDGE('',*,*,#21344,.T.);
#27282=ORIENTED_EDGE('',*,*,#21341,.T.);
#27283=ORIENTED_EDGE('',*,*,#21338,.T.);
#27284=ORIENTED_EDGE('',*,*,#21346,.F.);
#27285=ORIENTED_EDGE('',*,*,#21336,.T.);
#27286=ORIENTED_EDGE('',*,*,#21340,.F.);
#27287=ORIENTED_EDGE('',*,*,#21343,.F.);
#27288=ORIENTED_EDGE('',*,*,#21348,.F.);
#27289=ORIENTED_EDGE('',*,*,#21349,.T.);
#27290=ORIENTED_EDGE('',*,*,#21350,.F.);
#27291=ORIENTED_EDGE('',*,*,#21351,.F.);
#27292=ORIENTED_EDGE('',*,*,#21352,.F.);
#27293=ORIENTED_EDGE('',*,*,#21351,.T.);
#27294=ORIENTED_EDGE('',*,*,#21353,.F.);
#27295=ORIENTED_EDGE('',*,*,#21354,.F.);
#27296=ORIENTED_EDGE('',*,*,#21355,.T.);
#27297=ORIENTED_EDGE('',*,*,#21354,.T.);
#27298=ORIENTED_EDGE('',*,*,#21356,.F.);
#27299=ORIENTED_EDGE('',*,*,#21357,.F.);
#27300=ORIENTED_EDGE('',*,*,#21358,.F.);
#27301=ORIENTED_EDGE('',*,*,#21357,.T.);
#27302=ORIENTED_EDGE('',*,*,#21359,.F.);
#27303=ORIENTED_EDGE('',*,*,#21349,.F.);
#27304=ORIENTED_EDGE('',*,*,#21359,.T.);
#27305=ORIENTED_EDGE('',*,*,#21356,.T.);
#27306=ORIENTED_EDGE('',*,*,#21353,.T.);
#27307=ORIENTED_EDGE('',*,*,#21350,.T.);
#27308=ORIENTED_EDGE('',*,*,#21358,.T.);
#27309=ORIENTED_EDGE('',*,*,#21348,.T.);
#27310=ORIENTED_EDGE('',*,*,#21352,.T.);
#27311=ORIENTED_EDGE('',*,*,#21355,.F.);
#27312=ORIENTED_EDGE('',*,*,#21360,.T.);
#27313=ORIENTED_EDGE('',*,*,#21361,.T.);
#27314=ORIENTED_EDGE('',*,*,#21362,.F.);
#27315=ORIENTED_EDGE('',*,*,#21363,.F.);
#27316=ORIENTED_EDGE('',*,*,#21364,.F.);
#27317=ORIENTED_EDGE('',*,*,#21363,.T.);
#27318=ORIENTED_EDGE('',*,*,#21365,.F.);
#27319=ORIENTED_EDGE('',*,*,#21366,.F.);
#27320=ORIENTED_EDGE('',*,*,#21367,.T.);
#27321=ORIENTED_EDGE('',*,*,#21366,.T.);
#27322=ORIENTED_EDGE('',*,*,#21368,.F.);
#27323=ORIENTED_EDGE('',*,*,#21369,.F.);
#27324=ORIENTED_EDGE('',*,*,#21370,.T.);
#27325=ORIENTED_EDGE('',*,*,#21369,.T.);
#27326=ORIENTED_EDGE('',*,*,#21371,.F.);
#27327=ORIENTED_EDGE('',*,*,#21361,.F.);
#27328=ORIENTED_EDGE('',*,*,#21371,.T.);
#27329=ORIENTED_EDGE('',*,*,#21368,.T.);
#27330=ORIENTED_EDGE('',*,*,#21365,.T.);
#27331=ORIENTED_EDGE('',*,*,#21362,.T.);
#27332=ORIENTED_EDGE('',*,*,#21370,.F.);
#27333=ORIENTED_EDGE('',*,*,#21360,.F.);
#27334=ORIENTED_EDGE('',*,*,#21364,.T.);
#27335=ORIENTED_EDGE('',*,*,#21367,.F.);
#27336=ORIENTED_EDGE('',*,*,#21372,.T.);
#27337=ORIENTED_EDGE('',*,*,#21373,.T.);
#27338=ORIENTED_EDGE('',*,*,#21374,.F.);
#27339=ORIENTED_EDGE('',*,*,#21375,.F.);
#27340=ORIENTED_EDGE('',*,*,#21376,.F.);
#27341=ORIENTED_EDGE('',*,*,#21375,.T.);
#27342=ORIENTED_EDGE('',*,*,#21377,.F.);
#27343=ORIENTED_EDGE('',*,*,#21378,.F.);
#27344=ORIENTED_EDGE('',*,*,#21379,.T.);
#27345=ORIENTED_EDGE('',*,*,#21378,.T.);
#27346=ORIENTED_EDGE('',*,*,#21380,.F.);
#27347=ORIENTED_EDGE('',*,*,#21381,.F.);
#27348=ORIENTED_EDGE('',*,*,#21382,.T.);
#27349=ORIENTED_EDGE('',*,*,#21381,.T.);
#27350=ORIENTED_EDGE('',*,*,#21383,.F.);
#27351=ORIENTED_EDGE('',*,*,#21373,.F.);
#27352=ORIENTED_EDGE('',*,*,#21383,.T.);
#27353=ORIENTED_EDGE('',*,*,#21380,.T.);
#27354=ORIENTED_EDGE('',*,*,#21377,.T.);
#27355=ORIENTED_EDGE('',*,*,#21374,.T.);
#27356=ORIENTED_EDGE('',*,*,#21382,.F.);
#27357=ORIENTED_EDGE('',*,*,#21372,.F.);
#27358=ORIENTED_EDGE('',*,*,#21376,.T.);
#27359=ORIENTED_EDGE('',*,*,#21379,.F.);
#27360=ORIENTED_EDGE('',*,*,#21384,.F.);
#27361=ORIENTED_EDGE('',*,*,#21385,.T.);
#27362=ORIENTED_EDGE('',*,*,#21386,.F.);
#27363=ORIENTED_EDGE('',*,*,#21387,.F.);
#27364=ORIENTED_EDGE('',*,*,#21388,.F.);
#27365=ORIENTED_EDGE('',*,*,#21387,.T.);
#27366=ORIENTED_EDGE('',*,*,#21389,.F.);
#27367=ORIENTED_EDGE('',*,*,#21390,.F.);
#27368=ORIENTED_EDGE('',*,*,#21391,.T.);
#27369=ORIENTED_EDGE('',*,*,#21390,.T.);
#27370=ORIENTED_EDGE('',*,*,#21392,.F.);
#27371=ORIENTED_EDGE('',*,*,#21393,.F.);
#27372=ORIENTED_EDGE('',*,*,#21394,.F.);
#27373=ORIENTED_EDGE('',*,*,#21393,.T.);
#27374=ORIENTED_EDGE('',*,*,#21395,.F.);
#27375=ORIENTED_EDGE('',*,*,#21385,.F.);
#27376=ORIENTED_EDGE('',*,*,#21395,.T.);
#27377=ORIENTED_EDGE('',*,*,#21392,.T.);
#27378=ORIENTED_EDGE('',*,*,#21389,.T.);
#27379=ORIENTED_EDGE('',*,*,#21386,.T.);
#27380=ORIENTED_EDGE('',*,*,#21394,.T.);
#27381=ORIENTED_EDGE('',*,*,#21384,.T.);
#27382=ORIENTED_EDGE('',*,*,#21388,.T.);
#27383=ORIENTED_EDGE('',*,*,#21391,.F.);
#27384=ORIENTED_EDGE('',*,*,#21396,.F.);
#27385=ORIENTED_EDGE('',*,*,#21397,.T.);
#27386=ORIENTED_EDGE('',*,*,#21398,.F.);
#27387=ORIENTED_EDGE('',*,*,#21397,.F.);
#27388=ORIENTED_EDGE('',*,*,#21396,.T.);
#27389=ORIENTED_EDGE('',*,*,#21399,.F.);
#27390=ORIENTED_EDGE('',*,*,#21400,.T.);
#27391=ORIENTED_EDGE('',*,*,#21401,.F.);
#27392=ORIENTED_EDGE('',*,*,#21400,.F.);
#27393=ORIENTED_EDGE('',*,*,#21399,.T.);
#27394=ORIENTED_EDGE('',*,*,#21402,.F.);
#27395=ORIENTED_EDGE('',*,*,#21403,.T.);
#27396=ORIENTED_EDGE('',*,*,#21404,.F.);
#27397=ORIENTED_EDGE('',*,*,#21403,.F.);
#27398=ORIENTED_EDGE('',*,*,#21402,.T.);
#27399=ORIENTED_EDGE('',*,*,#21405,.F.);
#27400=ORIENTED_EDGE('',*,*,#21406,.T.);
#27401=ORIENTED_EDGE('',*,*,#21407,.F.);
#27402=ORIENTED_EDGE('',*,*,#21406,.F.);
#27403=ORIENTED_EDGE('',*,*,#21405,.T.);
#27404=ORIENTED_EDGE('',*,*,#21408,.F.);
#27405=ORIENTED_EDGE('',*,*,#21409,.T.);
#27406=ORIENTED_EDGE('',*,*,#21410,.F.);
#27407=ORIENTED_EDGE('',*,*,#21409,.F.);
#27408=ORIENTED_EDGE('',*,*,#21408,.T.);
#27409=ORIENTED_EDGE('',*,*,#21411,.F.);
#27410=ORIENTED_EDGE('',*,*,#21412,.T.);
#27411=ORIENTED_EDGE('',*,*,#21413,.F.);
#27412=ORIENTED_EDGE('',*,*,#21412,.F.);
#27413=ORIENTED_EDGE('',*,*,#21414,.F.);
#27414=ORIENTED_EDGE('',*,*,#21415,.F.);
#27415=ORIENTED_EDGE('',*,*,#21416,.F.);
#27416=ORIENTED_EDGE('',*,*,#21417,.F.);
#27417=ORIENTED_EDGE('',*,*,#21418,.F.);
#27418=ORIENTED_EDGE('',*,*,#21419,.T.);
#27419=ORIENTED_EDGE('',*,*,#21420,.F.);
#27420=ORIENTED_EDGE('',*,*,#21414,.T.);
#27421=ORIENTED_EDGE('',*,*,#21421,.F.);
#27422=ORIENTED_EDGE('',*,*,#21416,.T.);
#27423=ORIENTED_EDGE('',*,*,#21422,.F.);
#27424=ORIENTED_EDGE('',*,*,#21419,.F.);
#27425=ORIENTED_EDGE('',*,*,#21418,.T.);
#27426=ORIENTED_EDGE('',*,*,#21411,.T.);
#27427=ORIENTED_EDGE('',*,*,#21420,.T.);
#27428=ORIENTED_EDGE('',*,*,#21422,.T.);
#27429=ORIENTED_EDGE('',*,*,#21423,.F.);
#27430=ORIENTED_EDGE('',*,*,#21424,.F.);
#27431=ORIENTED_EDGE('',*,*,#21425,.F.);
#27432=ORIENTED_EDGE('',*,*,#21421,.T.);
#27433=ORIENTED_EDGE('',*,*,#21426,.F.);
#27434=ORIENTED_EDGE('',*,*,#21427,.T.);
#27435=ORIENTED_EDGE('',*,*,#21428,.F.);
#27436=ORIENTED_EDGE('',*,*,#21429,.F.);
#27437=ORIENTED_EDGE('',*,*,#21430,.T.);
#27438=ORIENTED_EDGE('',*,*,#21431,.F.);
#27439=ORIENTED_EDGE('',*,*,#21432,.F.);
#27440=ORIENTED_EDGE('',*,*,#21433,.F.);
#27441=ORIENTED_EDGE('',*,*,#21432,.T.);
#27442=ORIENTED_EDGE('',*,*,#21434,.F.);
#27443=ORIENTED_EDGE('',*,*,#21435,.F.);
#27444=ORIENTED_EDGE('',*,*,#21436,.F.);
#27445=ORIENTED_EDGE('',*,*,#21435,.T.);
#27446=ORIENTED_EDGE('',*,*,#21437,.F.);
#27447=ORIENTED_EDGE('',*,*,#21438,.F.);
#27448=ORIENTED_EDGE('',*,*,#21413,.T.);
#27449=ORIENTED_EDGE('',*,*,#21439,.F.);
#27450=ORIENTED_EDGE('',*,*,#21438,.T.);
#27451=ORIENTED_EDGE('',*,*,#21440,.F.);
#27452=ORIENTED_EDGE('',*,*,#21441,.F.);
#27453=ORIENTED_EDGE('',*,*,#21442,.F.);
#27454=ORIENTED_EDGE('',*,*,#21441,.T.);
#27455=ORIENTED_EDGE('',*,*,#21443,.F.);
#27456=ORIENTED_EDGE('',*,*,#21444,.F.);
#27457=ORIENTED_EDGE('',*,*,#21445,.F.);
#27458=ORIENTED_EDGE('',*,*,#21444,.T.);
#27459=ORIENTED_EDGE('',*,*,#21446,.F.);
#27460=ORIENTED_EDGE('',*,*,#21427,.F.);
#27461=ORIENTED_EDGE('',*,*,#21447,.F.);
#27462=ORIENTED_EDGE('',*,*,#21424,.T.);
#27463=ORIENTED_EDGE('',*,*,#21448,.F.);
#27464=ORIENTED_EDGE('',*,*,#21430,.F.);
#27465=ORIENTED_EDGE('',*,*,#21448,.T.);
#27466=ORIENTED_EDGE('',*,*,#21423,.T.);
#27467=ORIENTED_EDGE('',*,*,#21417,.T.);
#27468=ORIENTED_EDGE('',*,*,#21428,.T.);
#27469=ORIENTED_EDGE('',*,*,#21446,.T.);
#27470=ORIENTED_EDGE('',*,*,#21443,.T.);
#27471=ORIENTED_EDGE('',*,*,#21440,.T.);
#27472=ORIENTED_EDGE('',*,*,#21437,.T.);
#27473=ORIENTED_EDGE('',*,*,#21434,.T.);
#27474=ORIENTED_EDGE('',*,*,#21431,.T.);
#27475=ORIENTED_EDGE('',*,*,#21447,.T.);
#27476=ORIENTED_EDGE('',*,*,#21429,.T.);
#27477=ORIENTED_EDGE('',*,*,#21433,.T.);
#27478=ORIENTED_EDGE('',*,*,#21436,.T.);
#27479=ORIENTED_EDGE('',*,*,#21439,.T.);
#27480=ORIENTED_EDGE('',*,*,#21442,.T.);
#27481=ORIENTED_EDGE('',*,*,#21445,.T.);
#27482=ORIENTED_EDGE('',*,*,#21426,.T.);
#27483=ORIENTED_EDGE('',*,*,#21415,.T.);
#27484=ORIENTED_EDGE('',*,*,#21425,.T.);
#27485=ORIENTED_EDGE('',*,*,#21398,.T.);
#27486=ORIENTED_EDGE('',*,*,#21401,.T.);
#27487=ORIENTED_EDGE('',*,*,#21404,.T.);
#27488=ORIENTED_EDGE('',*,*,#21407,.T.);
#27489=ORIENTED_EDGE('',*,*,#21410,.T.);
#27490=ORIENTED_EDGE('',*,*,#21449,.T.);
#27491=ORIENTED_EDGE('',*,*,#21450,.T.);
#27492=ORIENTED_EDGE('',*,*,#21451,.F.);
#27493=ORIENTED_EDGE('',*,*,#21452,.F.);
#27494=ORIENTED_EDGE('',*,*,#21453,.T.);
#27495=ORIENTED_EDGE('',*,*,#21452,.T.);
#27496=ORIENTED_EDGE('',*,*,#21454,.F.);
#27497=ORIENTED_EDGE('',*,*,#21455,.F.);
#27498=ORIENTED_EDGE('',*,*,#21456,.T.);
#27499=ORIENTED_EDGE('',*,*,#21455,.T.);
#27500=ORIENTED_EDGE('',*,*,#21457,.F.);
#27501=ORIENTED_EDGE('',*,*,#21458,.F.);
#27502=ORIENTED_EDGE('',*,*,#21459,.T.);
#27503=ORIENTED_EDGE('',*,*,#21458,.T.);
#27504=ORIENTED_EDGE('',*,*,#21460,.F.);
#27505=ORIENTED_EDGE('',*,*,#21450,.F.);
#27506=ORIENTED_EDGE('',*,*,#21460,.T.);
#27507=ORIENTED_EDGE('',*,*,#21457,.T.);
#27508=ORIENTED_EDGE('',*,*,#21454,.T.);
#27509=ORIENTED_EDGE('',*,*,#21451,.T.);
#27510=ORIENTED_EDGE('',*,*,#21459,.F.);
#27511=ORIENTED_EDGE('',*,*,#21449,.F.);
#27512=ORIENTED_EDGE('',*,*,#21453,.F.);
#27513=ORIENTED_EDGE('',*,*,#21456,.F.);
#27514=ORIENTED_EDGE('',*,*,#21461,.F.);
#27515=ORIENTED_EDGE('',*,*,#21462,.T.);
#27516=ORIENTED_EDGE('',*,*,#21463,.F.);
#27517=ORIENTED_EDGE('',*,*,#21464,.F.);
#27518=ORIENTED_EDGE('',*,*,#21465,.F.);
#27519=ORIENTED_EDGE('',*,*,#21464,.T.);
#27520=ORIENTED_EDGE('',*,*,#21466,.F.);
#27521=ORIENTED_EDGE('',*,*,#21467,.F.);
#27522=ORIENTED_EDGE('',*,*,#21468,.F.);
#27523=ORIENTED_EDGE('',*,*,#21467,.T.);
#27524=ORIENTED_EDGE('',*,*,#21469,.F.);
#27525=ORIENTED_EDGE('',*,*,#21470,.F.);
#27526=ORIENTED_EDGE('',*,*,#21471,.F.);
#27527=ORIENTED_EDGE('',*,*,#21470,.T.);
#27528=ORIENTED_EDGE('',*,*,#21472,.F.);
#27529=ORIENTED_EDGE('',*,*,#21462,.F.);
#27530=ORIENTED_EDGE('',*,*,#21472,.T.);
#27531=ORIENTED_EDGE('',*,*,#21469,.T.);
#27532=ORIENTED_EDGE('',*,*,#21466,.T.);
#27533=ORIENTED_EDGE('',*,*,#21463,.T.);
#27534=ORIENTED_EDGE('',*,*,#21471,.T.);
#27535=ORIENTED_EDGE('',*,*,#21461,.T.);
#27536=ORIENTED_EDGE('',*,*,#21465,.T.);
#27537=ORIENTED_EDGE('',*,*,#21468,.T.);
#27538=ORIENTED_EDGE('',*,*,#21473,.T.);
#27539=ORIENTED_EDGE('',*,*,#21474,.T.);
#27540=ORIENTED_EDGE('',*,*,#21475,.F.);
#27541=ORIENTED_EDGE('',*,*,#21476,.F.);
#27542=ORIENTED_EDGE('',*,*,#21477,.T.);
#27543=ORIENTED_EDGE('',*,*,#21476,.T.);
#27544=ORIENTED_EDGE('',*,*,#21478,.F.);
#27545=ORIENTED_EDGE('',*,*,#21479,.F.);
#27546=ORIENTED_EDGE('',*,*,#21480,.T.);
#27547=ORIENTED_EDGE('',*,*,#21479,.T.);
#27548=ORIENTED_EDGE('',*,*,#21481,.F.);
#27549=ORIENTED_EDGE('',*,*,#21482,.F.);
#27550=ORIENTED_EDGE('',*,*,#21483,.T.);
#27551=ORIENTED_EDGE('',*,*,#21482,.T.);
#27552=ORIENTED_EDGE('',*,*,#21484,.F.);
#27553=ORIENTED_EDGE('',*,*,#21474,.F.);
#27554=ORIENTED_EDGE('',*,*,#21484,.T.);
#27555=ORIENTED_EDGE('',*,*,#21481,.T.);
#27556=ORIENTED_EDGE('',*,*,#21478,.T.);
#27557=ORIENTED_EDGE('',*,*,#21475,.T.);
#27558=ORIENTED_EDGE('',*,*,#21483,.F.);
#27559=ORIENTED_EDGE('',*,*,#21473,.F.);
#27560=ORIENTED_EDGE('',*,*,#21477,.F.);
#27561=ORIENTED_EDGE('',*,*,#21480,.F.);
#27562=ORIENTED_EDGE('',*,*,#21485,.F.);
#27563=ORIENTED_EDGE('',*,*,#21486,.T.);
#27564=ORIENTED_EDGE('',*,*,#21487,.F.);
#27565=ORIENTED_EDGE('',*,*,#21488,.F.);
#27566=ORIENTED_EDGE('',*,*,#21489,.F.);
#27567=ORIENTED_EDGE('',*,*,#21488,.T.);
#27568=ORIENTED_EDGE('',*,*,#21490,.F.);
#27569=ORIENTED_EDGE('',*,*,#21491,.F.);
#27570=ORIENTED_EDGE('',*,*,#21492,.F.);
#27571=ORIENTED_EDGE('',*,*,#21491,.T.);
#27572=ORIENTED_EDGE('',*,*,#21493,.F.);
#27573=ORIENTED_EDGE('',*,*,#21494,.F.);
#27574=ORIENTED_EDGE('',*,*,#21495,.F.);
#27575=ORIENTED_EDGE('',*,*,#21494,.T.);
#27576=ORIENTED_EDGE('',*,*,#21496,.F.);
#27577=ORIENTED_EDGE('',*,*,#21486,.F.);
#27578=ORIENTED_EDGE('',*,*,#21496,.T.);
#27579=ORIENTED_EDGE('',*,*,#21493,.T.);
#27580=ORIENTED_EDGE('',*,*,#21490,.T.);
#27581=ORIENTED_EDGE('',*,*,#21487,.T.);
#27582=ORIENTED_EDGE('',*,*,#21495,.T.);
#27583=ORIENTED_EDGE('',*,*,#21485,.T.);
#27584=ORIENTED_EDGE('',*,*,#21489,.T.);
#27585=ORIENTED_EDGE('',*,*,#21492,.T.);
#27586=ORIENTED_EDGE('',*,*,#21497,.F.);
#27587=ORIENTED_EDGE('',*,*,#21498,.T.);
#27588=ORIENTED_EDGE('',*,*,#21499,.F.);
#27589=ORIENTED_EDGE('',*,*,#21500,.F.);
#27590=ORIENTED_EDGE('',*,*,#21501,.F.);
#27591=ORIENTED_EDGE('',*,*,#21500,.T.);
#27592=ORIENTED_EDGE('',*,*,#21502,.F.);
#27593=ORIENTED_EDGE('',*,*,#21503,.F.);
#27594=ORIENTED_EDGE('',*,*,#21504,.F.);
#27595=ORIENTED_EDGE('',*,*,#21503,.T.);
#27596=ORIENTED_EDGE('',*,*,#21505,.F.);
#27597=ORIENTED_EDGE('',*,*,#21506,.F.);
#27598=ORIENTED_EDGE('',*,*,#21507,.F.);
#27599=ORIENTED_EDGE('',*,*,#21506,.T.);
#27600=ORIENTED_EDGE('',*,*,#21508,.F.);
#27601=ORIENTED_EDGE('',*,*,#21498,.F.);
#27602=ORIENTED_EDGE('',*,*,#21508,.T.);
#27603=ORIENTED_EDGE('',*,*,#21505,.T.);
#27604=ORIENTED_EDGE('',*,*,#21502,.T.);
#27605=ORIENTED_EDGE('',*,*,#21499,.T.);
#27606=ORIENTED_EDGE('',*,*,#21507,.T.);
#27607=ORIENTED_EDGE('',*,*,#21497,.T.);
#27608=ORIENTED_EDGE('',*,*,#21501,.T.);
#27609=ORIENTED_EDGE('',*,*,#21504,.T.);
#27610=ORIENTED_EDGE('',*,*,#21509,.F.);
#27611=ORIENTED_EDGE('',*,*,#21510,.T.);
#27612=ORIENTED_EDGE('',*,*,#21511,.F.);
#27613=ORIENTED_EDGE('',*,*,#21512,.T.);
#27614=ORIENTED_EDGE('',*,*,#21513,.T.);
#27615=ORIENTED_EDGE('',*,*,#21514,.T.);
#27616=ORIENTED_EDGE('',*,*,#21509,.T.);
#27617=ORIENTED_EDGE('',*,*,#21515,.T.);
#27618=ORIENTED_EDGE('',*,*,#21513,.F.);
#27619=ORIENTED_EDGE('',*,*,#21516,.T.);
#27620=ORIENTED_EDGE('',*,*,#21515,.F.);
#27621=ORIENTED_EDGE('',*,*,#21511,.T.);
#27622=ORIENTED_EDGE('',*,*,#21510,.F.);
#27623=ORIENTED_EDGE('',*,*,#21514,.F.);
#27624=ORIENTED_EDGE('',*,*,#21512,.F.);
#27625=ORIENTED_EDGE('',*,*,#21516,.F.);
#27626=ORIENTED_EDGE('',*,*,#21517,.F.);
#27627=ORIENTED_EDGE('',*,*,#21518,.F.);
#27628=ORIENTED_EDGE('',*,*,#21519,.F.);
#27629=ORIENTED_EDGE('',*,*,#21520,.F.);
#27630=ORIENTED_EDGE('',*,*,#21521,.T.);
#27631=ORIENTED_EDGE('',*,*,#21518,.T.);
#27632=ORIENTED_EDGE('',*,*,#21517,.T.);
#27633=ORIENTED_EDGE('',*,*,#21521,.F.);
#27634=ORIENTED_EDGE('',*,*,#21522,.F.);
#27635=ORIENTED_EDGE('',*,*,#21523,.F.);
#27636=ORIENTED_EDGE('',*,*,#21519,.T.);
#27637=ORIENTED_EDGE('',*,*,#21520,.T.);
#27638=ORIENTED_EDGE('',*,*,#21524,.T.);
#27639=ORIENTED_EDGE('',*,*,#21525,.T.);
#27640=ORIENTED_EDGE('',*,*,#21526,.T.);
#27641=ORIENTED_EDGE('',*,*,#21527,.T.);
#27642=ORIENTED_EDGE('',*,*,#21528,.F.);
#27643=ORIENTED_EDGE('',*,*,#21522,.T.);
#27644=ORIENTED_EDGE('',*,*,#21528,.T.);
#27645=ORIENTED_EDGE('',*,*,#21529,.T.);
#27646=ORIENTED_EDGE('',*,*,#21526,.F.);
#27647=ORIENTED_EDGE('',*,*,#21523,.T.);
#27648=ORIENTED_EDGE('',*,*,#21524,.F.);
#27649=ORIENTED_EDGE('',*,*,#21525,.F.);
#27650=ORIENTED_EDGE('',*,*,#21530,.T.);
#27651=ORIENTED_EDGE('',*,*,#21531,.T.);
#27652=ORIENTED_EDGE('',*,*,#21532,.T.);
#27653=ORIENTED_EDGE('',*,*,#21530,.F.);
#27654=ORIENTED_EDGE('',*,*,#21533,.T.);
#27655=ORIENTED_EDGE('',*,*,#21534,.T.);
#27656=ORIENTED_EDGE('',*,*,#21535,.F.);
#27657=ORIENTED_EDGE('',*,*,#21527,.F.);
#27658=ORIENTED_EDGE('',*,*,#21529,.F.);
#27659=ORIENTED_EDGE('',*,*,#21535,.T.);
#27660=ORIENTED_EDGE('',*,*,#21536,.T.);
#27661=ORIENTED_EDGE('',*,*,#21533,.F.);
#27662=ORIENTED_EDGE('',*,*,#21532,.F.);
#27663=ORIENTED_EDGE('',*,*,#21531,.F.);
#27664=ORIENTED_EDGE('',*,*,#21534,.F.);
#27665=ORIENTED_EDGE('',*,*,#21537,.T.);
#27666=ORIENTED_EDGE('',*,*,#21538,.T.);
#27667=ORIENTED_EDGE('',*,*,#21539,.F.);
#27668=ORIENTED_EDGE('',*,*,#21536,.F.);
#27669=ORIENTED_EDGE('',*,*,#21539,.T.);
#27670=ORIENTED_EDGE('',*,*,#21540,.T.);
#27671=ORIENTED_EDGE('',*,*,#21537,.F.);
#27672=ORIENTED_EDGE('',*,*,#21541,.T.);
#27673=ORIENTED_EDGE('',*,*,#21542,.F.);
#27674=ORIENTED_EDGE('',*,*,#21538,.F.);
#27675=ORIENTED_EDGE('',*,*,#21543,.T.);
#27676=ORIENTED_EDGE('',*,*,#21544,.T.);
#27677=ORIENTED_EDGE('',*,*,#21543,.F.);
#27678=ORIENTED_EDGE('',*,*,#21540,.F.);
#27679=ORIENTED_EDGE('',*,*,#21542,.T.);
#27680=ORIENTED_EDGE('',*,*,#21545,.T.);
#27681=ORIENTED_EDGE('',*,*,#21546,.T.);
#27682=ORIENTED_EDGE('',*,*,#21547,.F.);
#27683=ORIENTED_EDGE('',*,*,#21541,.F.);
#27684=ORIENTED_EDGE('',*,*,#21547,.T.);
#27685=ORIENTED_EDGE('',*,*,#21548,.T.);
#27686=ORIENTED_EDGE('',*,*,#21545,.F.);
#27687=ORIENTED_EDGE('',*,*,#21544,.F.);
#27688=ORIENTED_EDGE('',*,*,#21549,.T.);
#27689=ORIENTED_EDGE('',*,*,#21550,.T.);
#27690=ORIENTED_EDGE('',*,*,#21551,.F.);
#27691=ORIENTED_EDGE('',*,*,#21546,.F.);
#27692=ORIENTED_EDGE('',*,*,#21551,.T.);
#27693=ORIENTED_EDGE('',*,*,#21552,.T.);
#27694=ORIENTED_EDGE('',*,*,#21553,.F.);
#27695=ORIENTED_EDGE('',*,*,#21554,.F.);
#27696=ORIENTED_EDGE('',*,*,#21555,.F.);
#27697=ORIENTED_EDGE('',*,*,#21556,.F.);
#27698=ORIENTED_EDGE('',*,*,#21557,.T.);
#27699=ORIENTED_EDGE('',*,*,#21558,.T.);
#27700=ORIENTED_EDGE('',*,*,#21549,.F.);
#27701=ORIENTED_EDGE('',*,*,#21548,.F.);
#27702=ORIENTED_EDGE('',*,*,#21559,.T.);
#27703=ORIENTED_EDGE('',*,*,#21560,.T.);
#27704=ORIENTED_EDGE('',*,*,#21561,.F.);
#27705=ORIENTED_EDGE('',*,*,#21550,.F.);
#27706=ORIENTED_EDGE('',*,*,#21552,.F.);
#27707=ORIENTED_EDGE('',*,*,#21561,.T.);
#27708=ORIENTED_EDGE('',*,*,#21562,.T.);
#27709=ORIENTED_EDGE('',*,*,#21563,.T.);
#27710=ORIENTED_EDGE('',*,*,#21564,.T.);
#27711=ORIENTED_EDGE('',*,*,#21557,.F.);
#27712=ORIENTED_EDGE('',*,*,#21556,.T.);
#27713=ORIENTED_EDGE('',*,*,#21555,.T.);
#27714=ORIENTED_EDGE('',*,*,#21554,.T.);
#27715=ORIENTED_EDGE('',*,*,#21553,.T.);
#27716=ORIENTED_EDGE('',*,*,#21558,.F.);
#27717=ORIENTED_EDGE('',*,*,#21565,.F.);
#27718=ORIENTED_EDGE('',*,*,#21566,.T.);
#27719=ORIENTED_EDGE('',*,*,#21559,.F.);
#27720=ORIENTED_EDGE('',*,*,#21567,.T.);
#27721=ORIENTED_EDGE('',*,*,#21568,.F.);
#27722=ORIENTED_EDGE('',*,*,#21560,.F.);
#27723=ORIENTED_EDGE('',*,*,#21569,.T.);
#27724=ORIENTED_EDGE('',*,*,#21564,.F.);
#27725=ORIENTED_EDGE('',*,*,#21563,.F.);
#27726=ORIENTED_EDGE('',*,*,#21570,.T.);
#27727=ORIENTED_EDGE('',*,*,#21565,.T.);
#27728=ORIENTED_EDGE('',*,*,#21571,.T.);
#27729=ORIENTED_EDGE('',*,*,#21569,.F.);
#27730=ORIENTED_EDGE('',*,*,#21566,.F.);
#27731=ORIENTED_EDGE('',*,*,#21572,.T.);
#27732=ORIENTED_EDGE('',*,*,#21562,.F.);
#27733=ORIENTED_EDGE('',*,*,#21568,.T.);
#27734=ORIENTED_EDGE('',*,*,#21573,.T.);
#27735=ORIENTED_EDGE('',*,*,#21574,.T.);
#27736=ORIENTED_EDGE('',*,*,#21575,.F.);
#27737=ORIENTED_EDGE('',*,*,#21567,.F.);
#27738=ORIENTED_EDGE('',*,*,#21572,.F.);
#27739=ORIENTED_EDGE('',*,*,#21570,.F.);
#27740=ORIENTED_EDGE('',*,*,#21575,.T.);
#27741=ORIENTED_EDGE('',*,*,#21576,.T.);
#27742=ORIENTED_EDGE('',*,*,#21573,.F.);
#27743=ORIENTED_EDGE('',*,*,#21571,.F.);
#27744=ORIENTED_EDGE('',*,*,#21574,.F.);
#27745=ORIENTED_EDGE('',*,*,#21577,.T.);
#27746=ORIENTED_EDGE('',*,*,#21578,.T.);
#27747=ORIENTED_EDGE('',*,*,#21579,.F.);
#27748=ORIENTED_EDGE('',*,*,#21576,.F.);
#27749=ORIENTED_EDGE('',*,*,#21579,.T.);
#27750=ORIENTED_EDGE('',*,*,#21580,.T.);
#27751=ORIENTED_EDGE('',*,*,#21577,.F.);
#27752=ORIENTED_EDGE('',*,*,#21581,.T.);
#27753=ORIENTED_EDGE('',*,*,#21582,.F.);
#27754=ORIENTED_EDGE('',*,*,#21583,.T.);
#27755=ORIENTED_EDGE('',*,*,#21584,.T.);
#27756=ORIENTED_EDGE('',*,*,#21585,.F.);
#27757=ORIENTED_EDGE('',*,*,#21586,.F.);
#27758=ORIENTED_EDGE('',*,*,#21587,.T.);
#27759=ORIENTED_EDGE('',*,*,#21588,.T.);
#27760=ORIENTED_EDGE('',*,*,#21589,.F.);
#27761=ORIENTED_EDGE('',*,*,#21590,.F.);
#27762=ORIENTED_EDGE('',*,*,#21591,.T.);
#27763=ORIENTED_EDGE('',*,*,#21592,.T.);
#27764=ORIENTED_EDGE('',*,*,#21593,.F.);
#27765=ORIENTED_EDGE('',*,*,#21594,.F.);
#27766=ORIENTED_EDGE('',*,*,#21595,.F.);
#27767=ORIENTED_EDGE('',*,*,#21578,.F.);
#27768=ORIENTED_EDGE('',*,*,#21595,.T.);
#27769=ORIENTED_EDGE('',*,*,#21596,.F.);
#27770=ORIENTED_EDGE('',*,*,#21597,.T.);
#27771=ORIENTED_EDGE('',*,*,#21598,.T.);
#27772=ORIENTED_EDGE('',*,*,#21599,.F.);
#27773=ORIENTED_EDGE('',*,*,#21600,.F.);
#27774=ORIENTED_EDGE('',*,*,#21581,.F.);
#27775=ORIENTED_EDGE('',*,*,#21580,.F.);
#27776=ORIENTED_EDGE('',*,*,#21601,.F.);
#27777=ORIENTED_EDGE('',*,*,#21582,.T.);
#27778=ORIENTED_EDGE('',*,*,#21600,.T.);
#27779=ORIENTED_EDGE('',*,*,#21602,.F.);
#27780=ORIENTED_EDGE('',*,*,#21601,.T.);
#27781=ORIENTED_EDGE('',*,*,#21603,.T.);
#27782=ORIENTED_EDGE('',*,*,#21604,.F.);
#27783=ORIENTED_EDGE('',*,*,#21583,.F.);
#27784=ORIENTED_EDGE('',*,*,#21596,.T.);
#27785=ORIENTED_EDGE('',*,*,#21594,.T.);
#27786=ORIENTED_EDGE('',*,*,#21605,.F.);
#27787=ORIENTED_EDGE('',*,*,#21606,.F.);
#27788=ORIENTED_EDGE('',*,*,#21607,.T.);
#27789=ORIENTED_EDGE('',*,*,#21608,.T.);
#27790=ORIENTED_EDGE('',*,*,#21584,.F.);
#27791=ORIENTED_EDGE('',*,*,#21604,.T.);
#27792=ORIENTED_EDGE('',*,*,#21609,.T.);
#27793=ORIENTED_EDGE('',*,*,#21598,.F.);
#27794=ORIENTED_EDGE('',*,*,#21610,.T.);
#27795=ORIENTED_EDGE('',*,*,#21611,.T.);
#27796=ORIENTED_EDGE('',*,*,#21592,.F.);
#27797=ORIENTED_EDGE('',*,*,#21612,.T.);
#27798=ORIENTED_EDGE('',*,*,#21613,.T.);
#27799=ORIENTED_EDGE('',*,*,#21588,.F.);
#27800=ORIENTED_EDGE('',*,*,#21605,.T.);
#27801=ORIENTED_EDGE('',*,*,#21593,.T.);
#27802=ORIENTED_EDGE('',*,*,#21611,.F.);
#27803=ORIENTED_EDGE('',*,*,#21614,.F.);
#27804=ORIENTED_EDGE('',*,*,#21615,.T.);
#27805=ORIENTED_EDGE('',*,*,#21585,.T.);
#27806=ORIENTED_EDGE('',*,*,#21608,.F.);
#27807=ORIENTED_EDGE('',*,*,#21616,.F.);
#27808=ORIENTED_EDGE('',*,*,#21617,.F.);
#27809=ORIENTED_EDGE('',*,*,#21586,.T.);
#27810=ORIENTED_EDGE('',*,*,#21615,.F.);
#27811=ORIENTED_EDGE('',*,*,#21618,.T.);
#27812=ORIENTED_EDGE('',*,*,#21619,.T.);
#27813=ORIENTED_EDGE('',*,*,#21612,.F.);
#27814=ORIENTED_EDGE('',*,*,#21591,.F.);
#27815=ORIENTED_EDGE('',*,*,#21617,.T.);
#27816=ORIENTED_EDGE('',*,*,#21616,.T.);
#27817=ORIENTED_EDGE('',*,*,#21607,.F.);
#27818=ORIENTED_EDGE('',*,*,#21587,.F.);
#27819=ORIENTED_EDGE('',*,*,#21590,.T.);
#27820=ORIENTED_EDGE('',*,*,#21620,.F.);
#27821=ORIENTED_EDGE('',*,*,#21618,.F.);
#27822=ORIENTED_EDGE('',*,*,#21620,.T.);
#27823=ORIENTED_EDGE('',*,*,#21589,.T.);
#27824=ORIENTED_EDGE('',*,*,#21613,.F.);
#27825=ORIENTED_EDGE('',*,*,#21619,.F.);
#27826=ORIENTED_EDGE('',*,*,#21606,.T.);
#27827=ORIENTED_EDGE('',*,*,#21614,.T.);
#27828=ORIENTED_EDGE('',*,*,#21610,.F.);
#27829=ORIENTED_EDGE('',*,*,#21597,.F.);
#27830=ORIENTED_EDGE('',*,*,#21602,.T.);
#27831=ORIENTED_EDGE('',*,*,#21599,.T.);
#27832=ORIENTED_EDGE('',*,*,#21609,.F.);
#27833=ORIENTED_EDGE('',*,*,#21603,.F.);
#27834=ORIENTED_EDGE('',*,*,#21621,.T.);
#27835=ORIENTED_EDGE('',*,*,#21622,.T.);
#27836=ORIENTED_EDGE('',*,*,#21623,.F.);
#27837=ORIENTED_EDGE('',*,*,#21624,.F.);
#27838=ORIENTED_EDGE('',*,*,#21621,.F.);
#27839=ORIENTED_EDGE('',*,*,#21625,.T.);
#27840=ORIENTED_EDGE('',*,*,#21626,.T.);
#27841=ORIENTED_EDGE('',*,*,#21627,.T.);
#27842=ORIENTED_EDGE('',*,*,#21628,.T.);
#27843=ORIENTED_EDGE('',*,*,#21629,.T.);
#27844=ORIENTED_EDGE('',*,*,#21622,.F.);
#27845=ORIENTED_EDGE('',*,*,#21630,.F.);
#27846=ORIENTED_EDGE('',*,*,#21624,.T.);
#27847=ORIENTED_EDGE('',*,*,#21631,.T.);
#27848=ORIENTED_EDGE('',*,*,#21632,.T.);
#27849=ORIENTED_EDGE('',*,*,#21633,.F.);
#27850=ORIENTED_EDGE('',*,*,#21634,.F.);
#27851=ORIENTED_EDGE('',*,*,#21635,.T.);
#27852=ORIENTED_EDGE('',*,*,#21636,.F.);
#27853=ORIENTED_EDGE('',*,*,#21625,.F.);
#27854=ORIENTED_EDGE('',*,*,#21637,.T.);
#27855=ORIENTED_EDGE('',*,*,#21638,.T.);
#27856=ORIENTED_EDGE('',*,*,#21639,.T.);
#27857=ORIENTED_EDGE('',*,*,#21640,.T.);
#27858=ORIENTED_EDGE('',*,*,#21641,.T.);
#27859=ORIENTED_EDGE('',*,*,#21642,.F.);
#27860=ORIENTED_EDGE('',*,*,#21631,.F.);
#27861=ORIENTED_EDGE('',*,*,#21623,.T.);
#27862=ORIENTED_EDGE('',*,*,#21643,.F.);
#27863=ORIENTED_EDGE('',*,*,#21630,.T.);
#27864=ORIENTED_EDGE('',*,*,#21627,.F.);
#27865=ORIENTED_EDGE('',*,*,#21626,.F.);
#27866=ORIENTED_EDGE('',*,*,#21636,.T.);
#27867=ORIENTED_EDGE('',*,*,#21644,.T.);
#27868=ORIENTED_EDGE('',*,*,#21645,.T.);
#27869=ORIENTED_EDGE('',*,*,#21646,.F.);
#27870=ORIENTED_EDGE('',*,*,#21647,.F.);
#27871=ORIENTED_EDGE('',*,*,#21648,.F.);
#27872=ORIENTED_EDGE('',*,*,#21628,.F.);
#27873=ORIENTED_EDGE('',*,*,#21629,.F.);
#27874=ORIENTED_EDGE('',*,*,#21649,.T.);
#27875=ORIENTED_EDGE('',*,*,#21641,.F.);
#27876=ORIENTED_EDGE('',*,*,#21642,.T.);
#27877=ORIENTED_EDGE('',*,*,#21650,.T.);
#27878=ORIENTED_EDGE('',*,*,#21651,.F.);
#27879=ORIENTED_EDGE('',*,*,#21632,.F.);
#27880=ORIENTED_EDGE('',*,*,#21652,.T.);
#27881=ORIENTED_EDGE('',*,*,#21644,.F.);
#27882=ORIENTED_EDGE('',*,*,#21635,.F.);
#27883=ORIENTED_EDGE('',*,*,#21653,.T.);
#27884=ORIENTED_EDGE('',*,*,#21654,.F.);
#27885=ORIENTED_EDGE('',*,*,#21633,.T.);
#27886=ORIENTED_EDGE('',*,*,#21651,.T.);
#27887=ORIENTED_EDGE('',*,*,#21655,.T.);
#27888=ORIENTED_EDGE('',*,*,#21653,.F.);
#27889=ORIENTED_EDGE('',*,*,#21634,.T.);
#27890=ORIENTED_EDGE('',*,*,#21654,.T.);
#27891=ORIENTED_EDGE('',*,*,#21656,.T.);
#27892=ORIENTED_EDGE('',*,*,#21637,.F.);
#27893=ORIENTED_EDGE('',*,*,#21638,.F.);
#27894=ORIENTED_EDGE('',*,*,#21657,.T.);
#27895=ORIENTED_EDGE('',*,*,#21658,.T.);
#27896=ORIENTED_EDGE('',*,*,#21659,.T.);
#27897=ORIENTED_EDGE('',*,*,#21657,.F.);
#27898=ORIENTED_EDGE('',*,*,#21639,.F.);
#27899=ORIENTED_EDGE('',*,*,#21640,.F.);
#27900=ORIENTED_EDGE('',*,*,#21660,.T.);
#27901=ORIENTED_EDGE('',*,*,#21661,.T.);
#27902=ORIENTED_EDGE('',*,*,#21662,.T.);
#27903=ORIENTED_EDGE('',*,*,#21660,.F.);
#27904=ORIENTED_EDGE('',*,*,#21646,.T.);
#27905=ORIENTED_EDGE('',*,*,#21643,.T.);
#27906=ORIENTED_EDGE('',*,*,#21645,.F.);
#27907=ORIENTED_EDGE('',*,*,#21663,.F.);
#27908=ORIENTED_EDGE('',*,*,#21647,.T.);
#27909=ORIENTED_EDGE('',*,*,#21664,.T.);
#27910=ORIENTED_EDGE('',*,*,#21656,.F.);
#27911=ORIENTED_EDGE('',*,*,#21665,.F.);
#27912=ORIENTED_EDGE('',*,*,#21648,.T.);
#27913=ORIENTED_EDGE('',*,*,#21666,.T.);
#27914=ORIENTED_EDGE('',*,*,#21650,.F.);
#27915=ORIENTED_EDGE('',*,*,#21649,.F.);
#27916=ORIENTED_EDGE('',*,*,#21664,.F.);
#27917=ORIENTED_EDGE('',*,*,#21663,.T.);
#27918=ORIENTED_EDGE('',*,*,#21652,.F.);
#27919=ORIENTED_EDGE('',*,*,#21666,.F.);
#27920=ORIENTED_EDGE('',*,*,#21665,.T.);
#27921=ORIENTED_EDGE('',*,*,#21655,.F.);
#27922=ORIENTED_EDGE('',*,*,#21659,.F.);
#27923=ORIENTED_EDGE('',*,*,#21658,.F.);
#27924=ORIENTED_EDGE('',*,*,#21662,.F.);
#27925=ORIENTED_EDGE('',*,*,#21661,.F.);
#27926=ORIENTED_EDGE('',*,*,#21667,.F.);
#27927=ORIENTED_EDGE('',*,*,#21668,.T.);
#27928=ORIENTED_EDGE('',*,*,#21669,.T.);
#27929=ORIENTED_EDGE('',*,*,#21670,.F.);
#27930=ORIENTED_EDGE('',*,*,#21671,.T.);
#27931=ORIENTED_EDGE('',*,*,#21667,.T.);
#27932=ORIENTED_EDGE('',*,*,#21672,.F.);
#27933=ORIENTED_EDGE('',*,*,#21673,.F.);
#27934=ORIENTED_EDGE('',*,*,#21669,.F.);
#27935=ORIENTED_EDGE('',*,*,#21674,.T.);
#27936=ORIENTED_EDGE('',*,*,#21675,.T.);
#27937=ORIENTED_EDGE('',*,*,#21676,.F.);
#27938=ORIENTED_EDGE('',*,*,#21677,.T.);
#27939=ORIENTED_EDGE('',*,*,#21678,.F.);
#27940=ORIENTED_EDGE('',*,*,#21679,.F.);
#27941=ORIENTED_EDGE('',*,*,#21680,.F.);
#27942=ORIENTED_EDGE('',*,*,#21681,.F.);
#27943=ORIENTED_EDGE('',*,*,#21682,.F.);
#27944=ORIENTED_EDGE('',*,*,#21683,.F.);
#27945=ORIENTED_EDGE('',*,*,#21684,.F.);
#27946=ORIENTED_EDGE('',*,*,#21685,.F.);
#27947=ORIENTED_EDGE('',*,*,#21674,.F.);
#27948=ORIENTED_EDGE('',*,*,#21668,.F.);
#27949=ORIENTED_EDGE('',*,*,#21671,.F.);
#27950=ORIENTED_EDGE('',*,*,#21686,.F.);
#27951=ORIENTED_EDGE('',*,*,#21672,.T.);
#27952=ORIENTED_EDGE('',*,*,#21670,.T.);
#27953=ORIENTED_EDGE('',*,*,#21676,.T.);
#27954=ORIENTED_EDGE('',*,*,#21687,.T.);
#27955=ORIENTED_EDGE('',*,*,#21688,.T.);
#27956=ORIENTED_EDGE('',*,*,#21689,.T.);
#27957=ORIENTED_EDGE('',*,*,#21690,.T.);
#27958=ORIENTED_EDGE('',*,*,#21691,.T.);
#27959=ORIENTED_EDGE('',*,*,#21692,.T.);
#27960=ORIENTED_EDGE('',*,*,#21693,.T.);
#27961=ORIENTED_EDGE('',*,*,#21694,.T.);
#27962=ORIENTED_EDGE('',*,*,#21695,.F.);
#27963=ORIENTED_EDGE('',*,*,#21696,.T.);
#27964=ORIENTED_EDGE('',*,*,#21697,.T.);
#27965=ORIENTED_EDGE('',*,*,#21673,.T.);
#27966=ORIENTED_EDGE('',*,*,#21698,.T.);
#27967=ORIENTED_EDGE('',*,*,#21699,.T.);
#27968=ORIENTED_EDGE('',*,*,#21700,.T.);
#27969=ORIENTED_EDGE('',*,*,#21701,.T.);
#27970=ORIENTED_EDGE('',*,*,#21702,.T.);
#27971=ORIENTED_EDGE('',*,*,#21703,.T.);
#27972=ORIENTED_EDGE('',*,*,#21704,.T.);
#27973=ORIENTED_EDGE('',*,*,#21705,.T.);
#27974=ORIENTED_EDGE('',*,*,#21706,.T.);
#27975=ORIENTED_EDGE('',*,*,#21707,.T.);
#27976=ORIENTED_EDGE('',*,*,#21708,.T.);
#27977=ORIENTED_EDGE('',*,*,#21709,.T.);
#27978=ORIENTED_EDGE('',*,*,#21710,.T.);
#27979=ORIENTED_EDGE('',*,*,#21711,.T.);
#27980=ORIENTED_EDGE('',*,*,#21712,.T.);
#27981=ORIENTED_EDGE('',*,*,#21713,.T.);
#27982=ORIENTED_EDGE('',*,*,#21714,.T.);
#27983=ORIENTED_EDGE('',*,*,#21715,.T.);
#27984=ORIENTED_EDGE('',*,*,#21716,.T.);
#27985=ORIENTED_EDGE('',*,*,#21717,.T.);
#27986=ORIENTED_EDGE('',*,*,#21718,.T.);
#27987=ORIENTED_EDGE('',*,*,#21719,.T.);
#27988=ORIENTED_EDGE('',*,*,#21720,.T.);
#27989=ORIENTED_EDGE('',*,*,#21721,.F.);
#27990=ORIENTED_EDGE('',*,*,#21685,.T.);
#27991=ORIENTED_EDGE('',*,*,#21722,.T.);
#27992=ORIENTED_EDGE('',*,*,#21687,.F.);
#27993=ORIENTED_EDGE('',*,*,#21675,.F.);
#27994=ORIENTED_EDGE('',*,*,#21723,.T.);
#27995=ORIENTED_EDGE('',*,*,#21724,.T.);
#27996=ORIENTED_EDGE('',*,*,#21677,.F.);
#27997=ORIENTED_EDGE('',*,*,#21697,.F.);
#27998=ORIENTED_EDGE('',*,*,#21678,.T.);
#27999=ORIENTED_EDGE('',*,*,#21725,.T.);
#28000=ORIENTED_EDGE('',*,*,#21726,.T.);
#28001=ORIENTED_EDGE('',*,*,#21727,.F.);
#28002=ORIENTED_EDGE('',*,*,#21694,.F.);
#28003=ORIENTED_EDGE('',*,*,#21728,.F.);
#28004=ORIENTED_EDGE('',*,*,#21679,.T.);
#28005=ORIENTED_EDGE('',*,*,#21728,.T.);
#28006=ORIENTED_EDGE('',*,*,#21693,.F.);
#28007=ORIENTED_EDGE('',*,*,#21729,.F.);
#28008=ORIENTED_EDGE('',*,*,#21730,.F.);
#28009=ORIENTED_EDGE('',*,*,#21680,.T.);
#28010=ORIENTED_EDGE('',*,*,#21729,.T.);
#28011=ORIENTED_EDGE('',*,*,#21692,.F.);
#28012=ORIENTED_EDGE('',*,*,#21731,.F.);
#28013=ORIENTED_EDGE('',*,*,#21681,.T.);
#28014=ORIENTED_EDGE('',*,*,#21730,.T.);
#28015=ORIENTED_EDGE('',*,*,#21691,.F.);
#28016=ORIENTED_EDGE('',*,*,#21732,.F.);
#28017=ORIENTED_EDGE('',*,*,#21682,.T.);
#28018=ORIENTED_EDGE('',*,*,#21731,.T.);
#28019=ORIENTED_EDGE('',*,*,#21690,.F.);
#28020=ORIENTED_EDGE('',*,*,#21733,.F.);
#28021=ORIENTED_EDGE('',*,*,#21683,.T.);
#28022=ORIENTED_EDGE('',*,*,#21732,.T.);
#28023=ORIENTED_EDGE('',*,*,#21689,.F.);
#28024=ORIENTED_EDGE('',*,*,#21722,.F.);
#28025=ORIENTED_EDGE('',*,*,#21684,.T.);
#28026=ORIENTED_EDGE('',*,*,#21733,.T.);
#28027=ORIENTED_EDGE('',*,*,#21688,.F.);
#28028=ORIENTED_EDGE('',*,*,#21686,.T.);
#28029=ORIENTED_EDGE('',*,*,#21734,.T.);
#28030=ORIENTED_EDGE('',*,*,#21735,.F.);
#28031=ORIENTED_EDGE('',*,*,#21698,.F.);
#28032=ORIENTED_EDGE('',*,*,#21736,.T.);
#28033=ORIENTED_EDGE('',*,*,#21695,.T.);
#28034=ORIENTED_EDGE('',*,*,#21737,.T.);
#28035=ORIENTED_EDGE('',*,*,#21738,.T.);
#28036=ORIENTED_EDGE('',*,*,#21739,.T.);
#28037=ORIENTED_EDGE('',*,*,#21740,.T.);
#28038=ORIENTED_EDGE('',*,*,#21741,.T.);
#28039=ORIENTED_EDGE('',*,*,#21742,.T.);
#28040=ORIENTED_EDGE('',*,*,#21736,.F.);
#28041=ORIENTED_EDGE('',*,*,#21743,.T.);
#28042=ORIENTED_EDGE('',*,*,#21744,.T.);
#28043=ORIENTED_EDGE('',*,*,#21696,.F.);
#28044=ORIENTED_EDGE('',*,*,#21737,.F.);
#28045=ORIENTED_EDGE('',*,*,#21721,.T.);
#28046=ORIENTED_EDGE('',*,*,#21745,.T.);
#28047=ORIENTED_EDGE('',*,*,#21746,.F.);
#28048=ORIENTED_EDGE('',*,*,#21747,.T.);
#28049=ORIENTED_EDGE('',*,*,#21748,.T.);
#28050=ORIENTED_EDGE('',*,*,#21749,.F.);
#28051=ORIENTED_EDGE('',*,*,#21720,.F.);
#28052=ORIENTED_EDGE('',*,*,#21750,.T.);
#28053=ORIENTED_EDGE('',*,*,#21751,.T.);
#28054=ORIENTED_EDGE('',*,*,#21752,.F.);
#28055=ORIENTED_EDGE('',*,*,#21719,.F.);
#28056=ORIENTED_EDGE('',*,*,#21753,.T.);
#28057=ORIENTED_EDGE('',*,*,#21754,.T.);
#28058=ORIENTED_EDGE('',*,*,#21755,.F.);
#28059=ORIENTED_EDGE('',*,*,#21718,.F.);
#28060=ORIENTED_EDGE('',*,*,#21756,.T.);
#28061=ORIENTED_EDGE('',*,*,#21757,.T.);
#28062=ORIENTED_EDGE('',*,*,#21758,.F.);
#28063=ORIENTED_EDGE('',*,*,#21699,.F.);
#28064=ORIENTED_EDGE('',*,*,#21759,.T.);
#28065=ORIENTED_EDGE('',*,*,#21760,.T.);
#28066=ORIENTED_EDGE('',*,*,#21761,.F.);
#28067=ORIENTED_EDGE('',*,*,#21717,.F.);
#28068=ORIENTED_EDGE('',*,*,#21762,.T.);
#28069=ORIENTED_EDGE('',*,*,#21763,.T.);
#28070=ORIENTED_EDGE('',*,*,#21764,.F.);
#28071=ORIENTED_EDGE('',*,*,#21700,.F.);
#28072=ORIENTED_EDGE('',*,*,#21765,.T.);
#28073=ORIENTED_EDGE('',*,*,#21766,.T.);
#28074=ORIENTED_EDGE('',*,*,#21767,.F.);
#28075=ORIENTED_EDGE('',*,*,#21716,.F.);
#28076=ORIENTED_EDGE('',*,*,#21768,.T.);
#28077=ORIENTED_EDGE('',*,*,#21769,.T.);
#28078=ORIENTED_EDGE('',*,*,#21770,.F.);
#28079=ORIENTED_EDGE('',*,*,#21701,.F.);
#28080=ORIENTED_EDGE('',*,*,#21771,.T.);
#28081=ORIENTED_EDGE('',*,*,#21772,.T.);
#28082=ORIENTED_EDGE('',*,*,#21773,.F.);
#28083=ORIENTED_EDGE('',*,*,#21715,.F.);
#28084=ORIENTED_EDGE('',*,*,#21774,.T.);
#28085=ORIENTED_EDGE('',*,*,#21775,.T.);
#28086=ORIENTED_EDGE('',*,*,#21776,.F.);
#28087=ORIENTED_EDGE('',*,*,#21702,.F.);
#28088=ORIENTED_EDGE('',*,*,#21777,.T.);
#28089=ORIENTED_EDGE('',*,*,#21778,.T.);
#28090=ORIENTED_EDGE('',*,*,#21779,.F.);
#28091=ORIENTED_EDGE('',*,*,#21714,.F.);
#28092=ORIENTED_EDGE('',*,*,#21780,.T.);
#28093=ORIENTED_EDGE('',*,*,#21781,.T.);
#28094=ORIENTED_EDGE('',*,*,#21782,.F.);
#28095=ORIENTED_EDGE('',*,*,#21703,.F.);
#28096=ORIENTED_EDGE('',*,*,#21783,.T.);
#28097=ORIENTED_EDGE('',*,*,#21784,.T.);
#28098=ORIENTED_EDGE('',*,*,#21785,.F.);
#28099=ORIENTED_EDGE('',*,*,#21713,.F.);
#28100=ORIENTED_EDGE('',*,*,#21786,.T.);
#28101=ORIENTED_EDGE('',*,*,#21787,.T.);
#28102=ORIENTED_EDGE('',*,*,#21788,.F.);
#28103=ORIENTED_EDGE('',*,*,#21704,.F.);
#28104=ORIENTED_EDGE('',*,*,#21789,.T.);
#28105=ORIENTED_EDGE('',*,*,#21790,.T.);
#28106=ORIENTED_EDGE('',*,*,#21791,.F.);
#28107=ORIENTED_EDGE('',*,*,#21712,.F.);
#28108=ORIENTED_EDGE('',*,*,#21792,.T.);
#28109=ORIENTED_EDGE('',*,*,#21793,.T.);
#28110=ORIENTED_EDGE('',*,*,#21794,.F.);
#28111=ORIENTED_EDGE('',*,*,#21705,.F.);
#28112=ORIENTED_EDGE('',*,*,#21795,.T.);
#28113=ORIENTED_EDGE('',*,*,#21796,.T.);
#28114=ORIENTED_EDGE('',*,*,#21797,.F.);
#28115=ORIENTED_EDGE('',*,*,#21711,.F.);
#28116=ORIENTED_EDGE('',*,*,#21798,.T.);
#28117=ORIENTED_EDGE('',*,*,#21799,.T.);
#28118=ORIENTED_EDGE('',*,*,#21800,.F.);
#28119=ORIENTED_EDGE('',*,*,#21706,.F.);
#28120=ORIENTED_EDGE('',*,*,#21801,.T.);
#28121=ORIENTED_EDGE('',*,*,#21802,.T.);
#28122=ORIENTED_EDGE('',*,*,#21803,.F.);
#28123=ORIENTED_EDGE('',*,*,#21710,.F.);
#28124=ORIENTED_EDGE('',*,*,#21804,.T.);
#28125=ORIENTED_EDGE('',*,*,#21805,.T.);
#28126=ORIENTED_EDGE('',*,*,#21806,.F.);
#28127=ORIENTED_EDGE('',*,*,#21707,.F.);
#28128=ORIENTED_EDGE('',*,*,#21807,.T.);
#28129=ORIENTED_EDGE('',*,*,#21808,.T.);
#28130=ORIENTED_EDGE('',*,*,#21809,.F.);
#28131=ORIENTED_EDGE('',*,*,#21709,.F.);
#28132=ORIENTED_EDGE('',*,*,#21810,.T.);
#28133=ORIENTED_EDGE('',*,*,#21811,.T.);
#28134=ORIENTED_EDGE('',*,*,#21812,.F.);
#28135=ORIENTED_EDGE('',*,*,#21708,.F.);
#28136=ORIENTED_EDGE('',*,*,#21744,.F.);
#28137=ORIENTED_EDGE('',*,*,#21813,.T.);
#28138=ORIENTED_EDGE('',*,*,#21814,.T.);
#28139=ORIENTED_EDGE('',*,*,#21723,.F.);
#28140=ORIENTED_EDGE('',*,*,#21815,.T.);
#28141=ORIENTED_EDGE('',*,*,#21816,.T.);
#28142=ORIENTED_EDGE('',*,*,#21725,.F.);
#28143=ORIENTED_EDGE('',*,*,#21724,.F.);
#28144=ORIENTED_EDGE('',*,*,#21817,.F.);
#28145=ORIENTED_EDGE('',*,*,#21818,.F.);
#28146=ORIENTED_EDGE('',*,*,#21819,.F.);
#28147=ORIENTED_EDGE('',*,*,#21820,.F.);
#28148=ORIENTED_EDGE('',*,*,#21821,.F.);
#28149=ORIENTED_EDGE('',*,*,#21822,.F.);
#28150=ORIENTED_EDGE('',*,*,#21823,.F.);
#28151=ORIENTED_EDGE('',*,*,#21824,.F.);
#28152=ORIENTED_EDGE('',*,*,#21825,.F.);
#28153=ORIENTED_EDGE('',*,*,#21826,.F.);
#28154=ORIENTED_EDGE('',*,*,#21827,.F.);
#28155=ORIENTED_EDGE('',*,*,#21828,.F.);
#28156=ORIENTED_EDGE('',*,*,#21829,.F.);
#28157=ORIENTED_EDGE('',*,*,#21830,.F.);
#28158=ORIENTED_EDGE('',*,*,#21831,.F.);
#28159=ORIENTED_EDGE('',*,*,#21832,.F.);
#28160=ORIENTED_EDGE('',*,*,#21833,.F.);
#28161=ORIENTED_EDGE('',*,*,#21834,.F.);
#28162=ORIENTED_EDGE('',*,*,#21835,.F.);
#28163=ORIENTED_EDGE('',*,*,#21836,.F.);
#28164=ORIENTED_EDGE('',*,*,#21837,.F.);
#28165=ORIENTED_EDGE('',*,*,#21838,.F.);
#28166=ORIENTED_EDGE('',*,*,#21839,.F.);
#28167=ORIENTED_EDGE('',*,*,#21840,.F.);
#28168=ORIENTED_EDGE('',*,*,#21726,.F.);
#28169=ORIENTED_EDGE('',*,*,#21816,.F.);
#28170=ORIENTED_EDGE('',*,*,#21841,.T.);
#28171=ORIENTED_EDGE('',*,*,#21842,.T.);
#28172=ORIENTED_EDGE('',*,*,#21727,.T.);
#28173=ORIENTED_EDGE('',*,*,#21840,.T.);
#28174=ORIENTED_EDGE('',*,*,#21843,.F.);
#28175=ORIENTED_EDGE('',*,*,#21734,.F.);
#28176=ORIENTED_EDGE('',*,*,#21735,.T.);
#28177=ORIENTED_EDGE('',*,*,#21844,.F.);
#28178=ORIENTED_EDGE('',*,*,#21845,.F.);
#28179=ORIENTED_EDGE('',*,*,#21846,.F.);
#28180=ORIENTED_EDGE('',*,*,#21847,.F.);
#28181=ORIENTED_EDGE('',*,*,#21848,.F.);
#28182=ORIENTED_EDGE('',*,*,#21849,.F.);
#28183=ORIENTED_EDGE('',*,*,#21850,.F.);
#28184=ORIENTED_EDGE('',*,*,#21851,.F.);
#28185=ORIENTED_EDGE('',*,*,#21852,.F.);
#28186=ORIENTED_EDGE('',*,*,#21853,.F.);
#28187=ORIENTED_EDGE('',*,*,#21756,.F.);
#28188=ORIENTED_EDGE('',*,*,#21738,.F.);
#28189=ORIENTED_EDGE('',*,*,#21746,.T.);
#28190=ORIENTED_EDGE('',*,*,#21854,.T.);
#28191=ORIENTED_EDGE('',*,*,#21855,.T.);
#28192=ORIENTED_EDGE('',*,*,#21856,.T.);
#28193=ORIENTED_EDGE('',*,*,#21857,.T.);
#28194=ORIENTED_EDGE('',*,*,#21858,.T.);
#28195=ORIENTED_EDGE('',*,*,#21859,.T.);
#28196=ORIENTED_EDGE('',*,*,#21860,.T.);
#28197=ORIENTED_EDGE('',*,*,#21861,.T.);
#28198=ORIENTED_EDGE('',*,*,#21862,.T.);
#28199=ORIENTED_EDGE('',*,*,#21863,.T.);
#28200=ORIENTED_EDGE('',*,*,#21864,.T.);
#28201=ORIENTED_EDGE('',*,*,#21865,.T.);
#28202=ORIENTED_EDGE('',*,*,#21866,.T.);
#28203=ORIENTED_EDGE('',*,*,#21867,.T.);
#28204=ORIENTED_EDGE('',*,*,#21868,.T.);
#28205=ORIENTED_EDGE('',*,*,#21869,.T.);
#28206=ORIENTED_EDGE('',*,*,#21870,.T.);
#28207=ORIENTED_EDGE('',*,*,#21871,.T.);
#28208=ORIENTED_EDGE('',*,*,#21872,.T.);
#28209=ORIENTED_EDGE('',*,*,#21873,.T.);
#28210=ORIENTED_EDGE('',*,*,#21874,.T.);
#28211=ORIENTED_EDGE('',*,*,#21875,.T.);
#28212=ORIENTED_EDGE('',*,*,#21876,.T.);
#28213=ORIENTED_EDGE('',*,*,#21877,.T.);
#28214=ORIENTED_EDGE('',*,*,#21878,.T.);
#28215=ORIENTED_EDGE('',*,*,#21879,.F.);
#28216=ORIENTED_EDGE('',*,*,#21739,.F.);
#28217=ORIENTED_EDGE('',*,*,#21879,.T.);
#28218=ORIENTED_EDGE('',*,*,#21880,.T.);
#28219=ORIENTED_EDGE('',*,*,#21881,.F.);
#28220=ORIENTED_EDGE('',*,*,#21740,.F.);
#28221=ORIENTED_EDGE('',*,*,#21881,.T.);
#28222=ORIENTED_EDGE('',*,*,#21882,.T.);
#28223=ORIENTED_EDGE('',*,*,#21883,.T.);
#28224=ORIENTED_EDGE('',*,*,#21884,.T.);
#28225=ORIENTED_EDGE('',*,*,#21885,.T.);
#28226=ORIENTED_EDGE('',*,*,#21886,.T.);
#28227=ORIENTED_EDGE('',*,*,#21887,.T.);
#28228=ORIENTED_EDGE('',*,*,#21888,.T.);
#28229=ORIENTED_EDGE('',*,*,#21889,.T.);
#28230=ORIENTED_EDGE('',*,*,#21890,.T.);
#28231=ORIENTED_EDGE('',*,*,#21891,.T.);
#28232=ORIENTED_EDGE('',*,*,#21892,.T.);
#28233=ORIENTED_EDGE('',*,*,#21893,.T.);
#28234=ORIENTED_EDGE('',*,*,#21894,.T.);
#28235=ORIENTED_EDGE('',*,*,#21895,.T.);
#28236=ORIENTED_EDGE('',*,*,#21896,.T.);
#28237=ORIENTED_EDGE('',*,*,#21897,.T.);
#28238=ORIENTED_EDGE('',*,*,#21898,.T.);
#28239=ORIENTED_EDGE('',*,*,#21899,.T.);
#28240=ORIENTED_EDGE('',*,*,#21900,.T.);
#28241=ORIENTED_EDGE('',*,*,#21901,.T.);
#28242=ORIENTED_EDGE('',*,*,#21902,.T.);
#28243=ORIENTED_EDGE('',*,*,#21903,.T.);
#28244=ORIENTED_EDGE('',*,*,#21904,.T.);
#28245=ORIENTED_EDGE('',*,*,#21905,.T.);
#28246=ORIENTED_EDGE('',*,*,#21906,.T.);
#28247=ORIENTED_EDGE('',*,*,#21907,.F.);
#28248=ORIENTED_EDGE('',*,*,#21741,.F.);
#28249=ORIENTED_EDGE('',*,*,#21907,.T.);
#28250=ORIENTED_EDGE('',*,*,#21908,.T.);
#28251=ORIENTED_EDGE('',*,*,#21909,.F.);
#28252=ORIENTED_EDGE('',*,*,#21742,.F.);
#28253=ORIENTED_EDGE('',*,*,#21909,.T.);
#28254=ORIENTED_EDGE('',*,*,#21910,.T.);
#28255=ORIENTED_EDGE('',*,*,#21911,.T.);
#28256=ORIENTED_EDGE('',*,*,#21912,.T.);
#28257=ORIENTED_EDGE('',*,*,#21913,.T.);
#28258=ORIENTED_EDGE('',*,*,#21914,.T.);
#28259=ORIENTED_EDGE('',*,*,#21915,.T.);
#28260=ORIENTED_EDGE('',*,*,#21916,.T.);
#28261=ORIENTED_EDGE('',*,*,#21917,.T.);
#28262=ORIENTED_EDGE('',*,*,#21918,.T.);
#28263=ORIENTED_EDGE('',*,*,#21919,.T.);
#28264=ORIENTED_EDGE('',*,*,#21920,.T.);
#28265=ORIENTED_EDGE('',*,*,#21921,.T.);
#28266=ORIENTED_EDGE('',*,*,#21922,.T.);
#28267=ORIENTED_EDGE('',*,*,#21923,.T.);
#28268=ORIENTED_EDGE('',*,*,#21924,.T.);
#28269=ORIENTED_EDGE('',*,*,#21925,.T.);
#28270=ORIENTED_EDGE('',*,*,#21926,.T.);
#28271=ORIENTED_EDGE('',*,*,#21927,.T.);
#28272=ORIENTED_EDGE('',*,*,#21928,.T.);
#28273=ORIENTED_EDGE('',*,*,#21929,.T.);
#28274=ORIENTED_EDGE('',*,*,#21930,.T.);
#28275=ORIENTED_EDGE('',*,*,#21931,.T.);
#28276=ORIENTED_EDGE('',*,*,#21932,.T.);
#28277=ORIENTED_EDGE('',*,*,#21933,.T.);
#28278=ORIENTED_EDGE('',*,*,#21934,.T.);
#28279=ORIENTED_EDGE('',*,*,#21743,.F.);
#28280=ORIENTED_EDGE('',*,*,#21745,.F.);
#28281=ORIENTED_EDGE('',*,*,#21749,.T.);
#28282=ORIENTED_EDGE('',*,*,#21935,.T.);
#28283=ORIENTED_EDGE('',*,*,#21936,.F.);
#28284=ORIENTED_EDGE('',*,*,#21747,.F.);
#28285=ORIENTED_EDGE('',*,*,#21752,.T.);
#28286=ORIENTED_EDGE('',*,*,#21937,.T.);
#28287=ORIENTED_EDGE('',*,*,#21938,.T.);
#28288=ORIENTED_EDGE('',*,*,#21939,.T.);
#28289=ORIENTED_EDGE('',*,*,#21940,.T.);
#28290=ORIENTED_EDGE('',*,*,#21941,.T.);
#28291=ORIENTED_EDGE('',*,*,#21942,.T.);
#28292=ORIENTED_EDGE('',*,*,#21943,.T.);
#28293=ORIENTED_EDGE('',*,*,#21944,.T.);
#28294=ORIENTED_EDGE('',*,*,#21945,.T.);
#28295=ORIENTED_EDGE('',*,*,#21946,.T.);
#28296=ORIENTED_EDGE('',*,*,#21947,.T.);
#28297=ORIENTED_EDGE('',*,*,#21818,.T.);
#28298=ORIENTED_EDGE('',*,*,#21948,.F.);
#28299=ORIENTED_EDGE('',*,*,#21748,.F.);
#28300=ORIENTED_EDGE('',*,*,#21755,.T.);
#28301=ORIENTED_EDGE('',*,*,#21949,.F.);
#28302=ORIENTED_EDGE('',*,*,#21950,.F.);
#28303=ORIENTED_EDGE('',*,*,#21951,.F.);
#28304=ORIENTED_EDGE('',*,*,#21952,.F.);
#28305=ORIENTED_EDGE('',*,*,#21953,.F.);
#28306=ORIENTED_EDGE('',*,*,#21954,.F.);
#28307=ORIENTED_EDGE('',*,*,#21955,.F.);
#28308=ORIENTED_EDGE('',*,*,#21956,.F.);
#28309=ORIENTED_EDGE('',*,*,#21957,.F.);
#28310=ORIENTED_EDGE('',*,*,#21958,.F.);
#28311=ORIENTED_EDGE('',*,*,#21750,.F.);
#28312=ORIENTED_EDGE('',*,*,#21751,.F.);
#28313=ORIENTED_EDGE('',*,*,#21958,.T.);
#28314=ORIENTED_EDGE('',*,*,#21959,.T.);
#28315=ORIENTED_EDGE('',*,*,#21937,.F.);
#28316=ORIENTED_EDGE('',*,*,#21753,.F.);
#28317=ORIENTED_EDGE('',*,*,#21761,.T.);
#28318=ORIENTED_EDGE('',*,*,#21960,.T.);
#28319=ORIENTED_EDGE('',*,*,#21961,.T.);
#28320=ORIENTED_EDGE('',*,*,#21962,.T.);
#28321=ORIENTED_EDGE('',*,*,#21963,.T.);
#28322=ORIENTED_EDGE('',*,*,#21964,.T.);
#28323=ORIENTED_EDGE('',*,*,#21965,.T.);
#28324=ORIENTED_EDGE('',*,*,#21966,.T.);
#28325=ORIENTED_EDGE('',*,*,#21967,.T.);
#28326=ORIENTED_EDGE('',*,*,#21968,.T.);
#28327=ORIENTED_EDGE('',*,*,#21969,.T.);
#28328=ORIENTED_EDGE('',*,*,#21970,.T.);
#28329=ORIENTED_EDGE('',*,*,#21820,.T.);
#28330=ORIENTED_EDGE('',*,*,#21971,.F.);
#28331=ORIENTED_EDGE('',*,*,#21754,.F.);
#28332=ORIENTED_EDGE('',*,*,#21757,.F.);
#28333=ORIENTED_EDGE('',*,*,#21853,.T.);
#28334=ORIENTED_EDGE('',*,*,#21972,.T.);
#28335=ORIENTED_EDGE('',*,*,#21973,.F.);
#28336=ORIENTED_EDGE('',*,*,#21762,.F.);
#28337=ORIENTED_EDGE('',*,*,#21758,.T.);
#28338=ORIENTED_EDGE('',*,*,#21973,.T.);
#28339=ORIENTED_EDGE('',*,*,#21974,.T.);
#28340=ORIENTED_EDGE('',*,*,#21975,.T.);
#28341=ORIENTED_EDGE('',*,*,#21976,.T.);
#28342=ORIENTED_EDGE('',*,*,#21977,.T.);
#28343=ORIENTED_EDGE('',*,*,#21978,.T.);
#28344=ORIENTED_EDGE('',*,*,#21979,.T.);
#28345=ORIENTED_EDGE('',*,*,#21980,.T.);
#28346=ORIENTED_EDGE('',*,*,#21981,.T.);
#28347=ORIENTED_EDGE('',*,*,#21982,.T.);
#28348=ORIENTED_EDGE('',*,*,#21767,.T.);
#28349=ORIENTED_EDGE('',*,*,#21983,.F.);
#28350=ORIENTED_EDGE('',*,*,#21984,.F.);
#28351=ORIENTED_EDGE('',*,*,#21985,.F.);
#28352=ORIENTED_EDGE('',*,*,#21986,.F.);
#28353=ORIENTED_EDGE('',*,*,#21987,.F.);
#28354=ORIENTED_EDGE('',*,*,#21988,.F.);
#28355=ORIENTED_EDGE('',*,*,#21989,.F.);
#28356=ORIENTED_EDGE('',*,*,#21990,.F.);
#28357=ORIENTED_EDGE('',*,*,#21991,.F.);
#28358=ORIENTED_EDGE('',*,*,#21992,.F.);
#28359=ORIENTED_EDGE('',*,*,#21759,.F.);
#28360=ORIENTED_EDGE('',*,*,#21760,.F.);
#28361=ORIENTED_EDGE('',*,*,#21992,.T.);
#28362=ORIENTED_EDGE('',*,*,#21993,.T.);
#28363=ORIENTED_EDGE('',*,*,#21960,.F.);
#28364=ORIENTED_EDGE('',*,*,#21994,.T.);
#28365=ORIENTED_EDGE('',*,*,#21838,.T.);
#28366=ORIENTED_EDGE('',*,*,#21995,.F.);
#28367=ORIENTED_EDGE('',*,*,#21763,.F.);
#28368=ORIENTED_EDGE('',*,*,#21764,.T.);
#28369=ORIENTED_EDGE('',*,*,#21996,.F.);
#28370=ORIENTED_EDGE('',*,*,#21997,.F.);
#28371=ORIENTED_EDGE('',*,*,#21998,.F.);
#28372=ORIENTED_EDGE('',*,*,#21999,.F.);
#28373=ORIENTED_EDGE('',*,*,#22000,.F.);
#28374=ORIENTED_EDGE('',*,*,#22001,.F.);
#28375=ORIENTED_EDGE('',*,*,#22002,.F.);
#28376=ORIENTED_EDGE('',*,*,#22003,.F.);
#28377=ORIENTED_EDGE('',*,*,#22004,.F.);
#28378=ORIENTED_EDGE('',*,*,#22005,.F.);
#28379=ORIENTED_EDGE('',*,*,#21768,.F.);
#28380=ORIENTED_EDGE('',*,*,#21765,.F.);
#28381=ORIENTED_EDGE('',*,*,#21773,.T.);
#28382=ORIENTED_EDGE('',*,*,#22006,.T.);
#28383=ORIENTED_EDGE('',*,*,#22007,.T.);
#28384=ORIENTED_EDGE('',*,*,#22008,.T.);
#28385=ORIENTED_EDGE('',*,*,#22009,.T.);
#28386=ORIENTED_EDGE('',*,*,#22010,.T.);
#28387=ORIENTED_EDGE('',*,*,#22011,.T.);
#28388=ORIENTED_EDGE('',*,*,#22012,.T.);
#28389=ORIENTED_EDGE('',*,*,#22013,.T.);
#28390=ORIENTED_EDGE('',*,*,#22014,.T.);
#28391=ORIENTED_EDGE('',*,*,#22015,.T.);
#28392=ORIENTED_EDGE('',*,*,#22016,.T.);
#28393=ORIENTED_EDGE('',*,*,#21822,.T.);
#28394=ORIENTED_EDGE('',*,*,#22017,.F.);
#28395=ORIENTED_EDGE('',*,*,#21766,.F.);
#28396=ORIENTED_EDGE('',*,*,#21769,.F.);
#28397=ORIENTED_EDGE('',*,*,#22005,.T.);
#28398=ORIENTED_EDGE('',*,*,#22018,.T.);
#28399=ORIENTED_EDGE('',*,*,#22019,.F.);
#28400=ORIENTED_EDGE('',*,*,#21774,.F.);
#28401=ORIENTED_EDGE('',*,*,#21770,.T.);
#28402=ORIENTED_EDGE('',*,*,#22019,.T.);
#28403=ORIENTED_EDGE('',*,*,#22020,.T.);
#28404=ORIENTED_EDGE('',*,*,#22021,.T.);
#28405=ORIENTED_EDGE('',*,*,#22022,.T.);
#28406=ORIENTED_EDGE('',*,*,#22023,.T.);
#28407=ORIENTED_EDGE('',*,*,#22024,.T.);
#28408=ORIENTED_EDGE('',*,*,#22025,.T.);
#28409=ORIENTED_EDGE('',*,*,#22026,.T.);
#28410=ORIENTED_EDGE('',*,*,#22027,.T.);
#28411=ORIENTED_EDGE('',*,*,#22028,.T.);
#28412=ORIENTED_EDGE('',*,*,#21779,.T.);
#28413=ORIENTED_EDGE('',*,*,#22029,.F.);
#28414=ORIENTED_EDGE('',*,*,#22030,.F.);
#28415=ORIENTED_EDGE('',*,*,#22031,.F.);
#28416=ORIENTED_EDGE('',*,*,#22032,.F.);
#28417=ORIENTED_EDGE('',*,*,#22033,.F.);
#28418=ORIENTED_EDGE('',*,*,#22034,.F.);
#28419=ORIENTED_EDGE('',*,*,#22035,.F.);
#28420=ORIENTED_EDGE('',*,*,#22036,.F.);
#28421=ORIENTED_EDGE('',*,*,#22037,.F.);
#28422=ORIENTED_EDGE('',*,*,#22038,.F.);
#28423=ORIENTED_EDGE('',*,*,#21771,.F.);
#28424=ORIENTED_EDGE('',*,*,#21772,.F.);
#28425=ORIENTED_EDGE('',*,*,#22038,.T.);
#28426=ORIENTED_EDGE('',*,*,#22039,.T.);
#28427=ORIENTED_EDGE('',*,*,#22006,.F.);
#28428=ORIENTED_EDGE('',*,*,#22040,.T.);
#28429=ORIENTED_EDGE('',*,*,#21836,.T.);
#28430=ORIENTED_EDGE('',*,*,#22041,.F.);
#28431=ORIENTED_EDGE('',*,*,#21775,.F.);
#28432=ORIENTED_EDGE('',*,*,#21776,.T.);
#28433=ORIENTED_EDGE('',*,*,#22042,.F.);
#28434=ORIENTED_EDGE('',*,*,#22043,.F.);
#28435=ORIENTED_EDGE('',*,*,#22044,.F.);
#28436=ORIENTED_EDGE('',*,*,#22045,.F.);
#28437=ORIENTED_EDGE('',*,*,#22046,.F.);
#28438=ORIENTED_EDGE('',*,*,#22047,.F.);
#28439=ORIENTED_EDGE('',*,*,#22048,.F.);
#28440=ORIENTED_EDGE('',*,*,#22049,.F.);
#28441=ORIENTED_EDGE('',*,*,#22050,.F.);
#28442=ORIENTED_EDGE('',*,*,#22051,.F.);
#28443=ORIENTED_EDGE('',*,*,#21780,.F.);
#28444=ORIENTED_EDGE('',*,*,#21777,.F.);
#28445=ORIENTED_EDGE('',*,*,#21785,.T.);
#28446=ORIENTED_EDGE('',*,*,#22052,.T.);
#28447=ORIENTED_EDGE('',*,*,#22053,.T.);
#28448=ORIENTED_EDGE('',*,*,#22054,.T.);
#28449=ORIENTED_EDGE('',*,*,#22055,.T.);
#28450=ORIENTED_EDGE('',*,*,#22056,.T.);
#28451=ORIENTED_EDGE('',*,*,#22057,.T.);
#28452=ORIENTED_EDGE('',*,*,#22058,.T.);
#28453=ORIENTED_EDGE('',*,*,#22059,.T.);
#28454=ORIENTED_EDGE('',*,*,#22060,.T.);
#28455=ORIENTED_EDGE('',*,*,#22061,.T.);
#28456=ORIENTED_EDGE('',*,*,#22062,.T.);
#28457=ORIENTED_EDGE('',*,*,#21824,.T.);
#28458=ORIENTED_EDGE('',*,*,#22063,.F.);
#28459=ORIENTED_EDGE('',*,*,#21778,.F.);
#28460=ORIENTED_EDGE('',*,*,#21781,.F.);
#28461=ORIENTED_EDGE('',*,*,#22051,.T.);
#28462=ORIENTED_EDGE('',*,*,#22064,.T.);
#28463=ORIENTED_EDGE('',*,*,#22065,.F.);
#28464=ORIENTED_EDGE('',*,*,#21786,.F.);
#28465=ORIENTED_EDGE('',*,*,#21782,.T.);
#28466=ORIENTED_EDGE('',*,*,#22065,.T.);
#28467=ORIENTED_EDGE('',*,*,#22066,.T.);
#28468=ORIENTED_EDGE('',*,*,#22067,.T.);
#28469=ORIENTED_EDGE('',*,*,#22068,.T.);
#28470=ORIENTED_EDGE('',*,*,#22069,.T.);
#28471=ORIENTED_EDGE('',*,*,#22070,.T.);
#28472=ORIENTED_EDGE('',*,*,#22071,.T.);
#28473=ORIENTED_EDGE('',*,*,#22072,.T.);
#28474=ORIENTED_EDGE('',*,*,#22073,.T.);
#28475=ORIENTED_EDGE('',*,*,#22074,.T.);
#28476=ORIENTED_EDGE('',*,*,#21791,.T.);
#28477=ORIENTED_EDGE('',*,*,#22075,.F.);
#28478=ORIENTED_EDGE('',*,*,#22076,.F.);
#28479=ORIENTED_EDGE('',*,*,#22077,.F.);
#28480=ORIENTED_EDGE('',*,*,#22078,.F.);
#28481=ORIENTED_EDGE('',*,*,#22079,.F.);
#28482=ORIENTED_EDGE('',*,*,#22080,.F.);
#28483=ORIENTED_EDGE('',*,*,#22081,.F.);
#28484=ORIENTED_EDGE('',*,*,#22082,.F.);
#28485=ORIENTED_EDGE('',*,*,#22083,.F.);
#28486=ORIENTED_EDGE('',*,*,#22084,.F.);
#28487=ORIENTED_EDGE('',*,*,#21783,.F.);
#28488=ORIENTED_EDGE('',*,*,#21784,.F.);
#28489=ORIENTED_EDGE('',*,*,#22084,.T.);
#28490=ORIENTED_EDGE('',*,*,#22085,.T.);
#28491=ORIENTED_EDGE('',*,*,#22052,.F.);
#28492=ORIENTED_EDGE('',*,*,#22086,.T.);
#28493=ORIENTED_EDGE('',*,*,#21834,.T.);
#28494=ORIENTED_EDGE('',*,*,#22087,.F.);
#28495=ORIENTED_EDGE('',*,*,#21787,.F.);
#28496=ORIENTED_EDGE('',*,*,#21788,.T.);
#28497=ORIENTED_EDGE('',*,*,#22088,.F.);
#28498=ORIENTED_EDGE('',*,*,#22089,.F.);
#28499=ORIENTED_EDGE('',*,*,#22090,.F.);
#28500=ORIENTED_EDGE('',*,*,#22091,.F.);
#28501=ORIENTED_EDGE('',*,*,#22092,.F.);
#28502=ORIENTED_EDGE('',*,*,#22093,.F.);
#28503=ORIENTED_EDGE('',*,*,#22094,.F.);
#28504=ORIENTED_EDGE('',*,*,#22095,.F.);
#28505=ORIENTED_EDGE('',*,*,#22096,.F.);
#28506=ORIENTED_EDGE('',*,*,#22097,.F.);
#28507=ORIENTED_EDGE('',*,*,#21792,.F.);
#28508=ORIENTED_EDGE('',*,*,#21789,.F.);
#28509=ORIENTED_EDGE('',*,*,#21797,.T.);
#28510=ORIENTED_EDGE('',*,*,#22098,.T.);
#28511=ORIENTED_EDGE('',*,*,#22099,.T.);
#28512=ORIENTED_EDGE('',*,*,#22100,.T.);
#28513=ORIENTED_EDGE('',*,*,#22101,.T.);
#28514=ORIENTED_EDGE('',*,*,#22102,.T.);
#28515=ORIENTED_EDGE('',*,*,#22103,.T.);
#28516=ORIENTED_EDGE('',*,*,#22104,.T.);
#28517=ORIENTED_EDGE('',*,*,#22105,.T.);
#28518=ORIENTED_EDGE('',*,*,#22106,.T.);
#28519=ORIENTED_EDGE('',*,*,#22107,.T.);
#28520=ORIENTED_EDGE('',*,*,#22108,.T.);
#28521=ORIENTED_EDGE('',*,*,#21826,.T.);
#28522=ORIENTED_EDGE('',*,*,#22109,.F.);
#28523=ORIENTED_EDGE('',*,*,#21790,.F.);
#28524=ORIENTED_EDGE('',*,*,#21793,.F.);
#28525=ORIENTED_EDGE('',*,*,#22097,.T.);
#28526=ORIENTED_EDGE('',*,*,#22110,.T.);
#28527=ORIENTED_EDGE('',*,*,#22111,.F.);
#28528=ORIENTED_EDGE('',*,*,#21798,.F.);
#28529=ORIENTED_EDGE('',*,*,#21794,.T.);
#28530=ORIENTED_EDGE('',*,*,#22111,.T.);
#28531=ORIENTED_EDGE('',*,*,#22112,.T.);
#28532=ORIENTED_EDGE('',*,*,#22113,.T.);
#28533=ORIENTED_EDGE('',*,*,#22114,.T.);
#28534=ORIENTED_EDGE('',*,*,#22115,.T.);
#28535=ORIENTED_EDGE('',*,*,#22116,.T.);
#28536=ORIENTED_EDGE('',*,*,#22117,.T.);
#28537=ORIENTED_EDGE('',*,*,#22118,.T.);
#28538=ORIENTED_EDGE('',*,*,#22119,.T.);
#28539=ORIENTED_EDGE('',*,*,#22120,.T.);
#28540=ORIENTED_EDGE('',*,*,#21803,.T.);
#28541=ORIENTED_EDGE('',*,*,#22121,.F.);
#28542=ORIENTED_EDGE('',*,*,#22122,.F.);
#28543=ORIENTED_EDGE('',*,*,#22123,.F.);
#28544=ORIENTED_EDGE('',*,*,#22124,.F.);
#28545=ORIENTED_EDGE('',*,*,#22125,.F.);
#28546=ORIENTED_EDGE('',*,*,#22126,.F.);
#28547=ORIENTED_EDGE('',*,*,#22127,.F.);
#28548=ORIENTED_EDGE('',*,*,#22128,.F.);
#28549=ORIENTED_EDGE('',*,*,#22129,.F.);
#28550=ORIENTED_EDGE('',*,*,#22130,.F.);
#28551=ORIENTED_EDGE('',*,*,#21795,.F.);
#28552=ORIENTED_EDGE('',*,*,#21796,.F.);
#28553=ORIENTED_EDGE('',*,*,#22130,.T.);
#28554=ORIENTED_EDGE('',*,*,#22131,.T.);
#28555=ORIENTED_EDGE('',*,*,#22098,.F.);
#28556=ORIENTED_EDGE('',*,*,#22132,.T.);
#28557=ORIENTED_EDGE('',*,*,#21832,.T.);
#28558=ORIENTED_EDGE('',*,*,#22133,.F.);
#28559=ORIENTED_EDGE('',*,*,#21799,.F.);
#28560=ORIENTED_EDGE('',*,*,#21800,.T.);
#28561=ORIENTED_EDGE('',*,*,#22134,.F.);
#28562=ORIENTED_EDGE('',*,*,#22135,.F.);
#28563=ORIENTED_EDGE('',*,*,#22136,.F.);
#28564=ORIENTED_EDGE('',*,*,#22137,.F.);
#28565=ORIENTED_EDGE('',*,*,#22138,.F.);
#28566=ORIENTED_EDGE('',*,*,#22139,.F.);
#28567=ORIENTED_EDGE('',*,*,#22140,.F.);
#28568=ORIENTED_EDGE('',*,*,#22141,.F.);
#28569=ORIENTED_EDGE('',*,*,#22142,.F.);
#28570=ORIENTED_EDGE('',*,*,#22143,.F.);
#28571=ORIENTED_EDGE('',*,*,#21804,.F.);
#28572=ORIENTED_EDGE('',*,*,#21801,.F.);
#28573=ORIENTED_EDGE('',*,*,#21809,.T.);
#28574=ORIENTED_EDGE('',*,*,#22144,.T.);
#28575=ORIENTED_EDGE('',*,*,#22145,.T.);
#28576=ORIENTED_EDGE('',*,*,#22146,.T.);
#28577=ORIENTED_EDGE('',*,*,#22147,.T.);
#28578=ORIENTED_EDGE('',*,*,#22148,.T.);
#28579=ORIENTED_EDGE('',*,*,#22149,.T.);
#28580=ORIENTED_EDGE('',*,*,#22150,.T.);
#28581=ORIENTED_EDGE('',*,*,#22151,.T.);
#28582=ORIENTED_EDGE('',*,*,#22152,.T.);
#28583=ORIENTED_EDGE('',*,*,#22153,.T.);
#28584=ORIENTED_EDGE('',*,*,#22154,.T.);
#28585=ORIENTED_EDGE('',*,*,#21828,.T.);
#28586=ORIENTED_EDGE('',*,*,#22155,.F.);
#28587=ORIENTED_EDGE('',*,*,#21802,.F.);
#28588=ORIENTED_EDGE('',*,*,#21805,.F.);
#28589=ORIENTED_EDGE('',*,*,#22143,.T.);
#28590=ORIENTED_EDGE('',*,*,#22156,.T.);
#28591=ORIENTED_EDGE('',*,*,#22157,.F.);
#28592=ORIENTED_EDGE('',*,*,#21810,.F.);
#28593=ORIENTED_EDGE('',*,*,#21806,.T.);
#28594=ORIENTED_EDGE('',*,*,#22157,.T.);
#28595=ORIENTED_EDGE('',*,*,#22158,.T.);
#28596=ORIENTED_EDGE('',*,*,#22159,.T.);
#28597=ORIENTED_EDGE('',*,*,#22160,.T.);
#28598=ORIENTED_EDGE('',*,*,#22161,.T.);
#28599=ORIENTED_EDGE('',*,*,#22162,.T.);
#28600=ORIENTED_EDGE('',*,*,#22163,.T.);
#28601=ORIENTED_EDGE('',*,*,#22164,.T.);
#28602=ORIENTED_EDGE('',*,*,#22165,.T.);
#28603=ORIENTED_EDGE('',*,*,#22166,.T.);
#28604=ORIENTED_EDGE('',*,*,#21812,.T.);
#28605=ORIENTED_EDGE('',*,*,#22167,.F.);
#28606=ORIENTED_EDGE('',*,*,#22168,.F.);
#28607=ORIENTED_EDGE('',*,*,#22169,.F.);
#28608=ORIENTED_EDGE('',*,*,#22170,.F.);
#28609=ORIENTED_EDGE('',*,*,#22171,.F.);
#28610=ORIENTED_EDGE('',*,*,#22172,.F.);
#28611=ORIENTED_EDGE('',*,*,#22173,.F.);
#28612=ORIENTED_EDGE('',*,*,#22174,.F.);
#28613=ORIENTED_EDGE('',*,*,#22175,.F.);
#28614=ORIENTED_EDGE('',*,*,#22176,.F.);
#28615=ORIENTED_EDGE('',*,*,#21807,.F.);
#28616=ORIENTED_EDGE('',*,*,#21808,.F.);
#28617=ORIENTED_EDGE('',*,*,#22176,.T.);
#28618=ORIENTED_EDGE('',*,*,#22177,.T.);
#28619=ORIENTED_EDGE('',*,*,#22144,.F.);
#28620=ORIENTED_EDGE('',*,*,#22178,.T.);
#28621=ORIENTED_EDGE('',*,*,#21830,.T.);
#28622=ORIENTED_EDGE('',*,*,#22179,.F.);
#28623=ORIENTED_EDGE('',*,*,#21811,.F.);
#28624=ORIENTED_EDGE('',*,*,#21814,.F.);
#28625=ORIENTED_EDGE('',*,*,#22180,.T.);
#28626=ORIENTED_EDGE('',*,*,#22181,.T.);
#28627=ORIENTED_EDGE('',*,*,#21815,.F.);
#28628=ORIENTED_EDGE('',*,*,#22182,.T.);
#28629=ORIENTED_EDGE('',*,*,#22183,.T.);
#28630=ORIENTED_EDGE('',*,*,#21813,.F.);
#28631=ORIENTED_EDGE('',*,*,#21934,.F.);
#28632=ORIENTED_EDGE('',*,*,#22184,.F.);
#28633=ORIENTED_EDGE('',*,*,#22185,.F.);
#28634=ORIENTED_EDGE('',*,*,#21817,.T.);
#28635=ORIENTED_EDGE('',*,*,#22186,.T.);
#28636=ORIENTED_EDGE('',*,*,#22187,.T.);
#28637=ORIENTED_EDGE('',*,*,#22188,.T.);
#28638=ORIENTED_EDGE('',*,*,#22189,.F.);
#28639=ORIENTED_EDGE('',*,*,#21842,.F.);
#28640=ORIENTED_EDGE('',*,*,#21841,.F.);
#28641=ORIENTED_EDGE('',*,*,#22181,.F.);
#28642=ORIENTED_EDGE('',*,*,#22190,.T.);
#28643=ORIENTED_EDGE('',*,*,#22191,.T.);
#28644=ORIENTED_EDGE('',*,*,#21949,.T.);
#28645=ORIENTED_EDGE('',*,*,#21971,.T.);
#28646=ORIENTED_EDGE('',*,*,#21819,.T.);
#28647=ORIENTED_EDGE('',*,*,#21947,.F.);
#28648=ORIENTED_EDGE('',*,*,#21946,.F.);
#28649=ORIENTED_EDGE('',*,*,#22192,.F.);
#28650=ORIENTED_EDGE('',*,*,#21983,.T.);
#28651=ORIENTED_EDGE('',*,*,#22017,.T.);
#28652=ORIENTED_EDGE('',*,*,#21821,.T.);
#28653=ORIENTED_EDGE('',*,*,#21970,.F.);
#28654=ORIENTED_EDGE('',*,*,#21969,.F.);
#28655=ORIENTED_EDGE('',*,*,#22193,.F.);
#28656=ORIENTED_EDGE('',*,*,#21844,.T.);
#28657=ORIENTED_EDGE('',*,*,#21843,.T.);
#28658=ORIENTED_EDGE('',*,*,#21839,.T.);
#28659=ORIENTED_EDGE('',*,*,#21994,.F.);
#28660=ORIENTED_EDGE('',*,*,#21982,.F.);
#28661=ORIENTED_EDGE('',*,*,#22194,.F.);
#28662=ORIENTED_EDGE('',*,*,#22029,.T.);
#28663=ORIENTED_EDGE('',*,*,#22063,.T.);
#28664=ORIENTED_EDGE('',*,*,#21823,.T.);
#28665=ORIENTED_EDGE('',*,*,#22016,.F.);
#28666=ORIENTED_EDGE('',*,*,#22015,.F.);
#28667=ORIENTED_EDGE('',*,*,#22195,.F.);
#28668=ORIENTED_EDGE('',*,*,#21996,.T.);
#28669=ORIENTED_EDGE('',*,*,#21995,.T.);
#28670=ORIENTED_EDGE('',*,*,#21837,.T.);
#28671=ORIENTED_EDGE('',*,*,#22040,.F.);
#28672=ORIENTED_EDGE('',*,*,#22028,.F.);
#28673=ORIENTED_EDGE('',*,*,#22196,.F.);
#28674=ORIENTED_EDGE('',*,*,#22075,.T.);
#28675=ORIENTED_EDGE('',*,*,#22109,.T.);
#28676=ORIENTED_EDGE('',*,*,#21825,.T.);
#28677=ORIENTED_EDGE('',*,*,#22062,.F.);
#28678=ORIENTED_EDGE('',*,*,#22061,.F.);
#28679=ORIENTED_EDGE('',*,*,#22197,.F.);
#28680=ORIENTED_EDGE('',*,*,#22042,.T.);
#28681=ORIENTED_EDGE('',*,*,#22041,.T.);
#28682=ORIENTED_EDGE('',*,*,#21835,.T.);
#28683=ORIENTED_EDGE('',*,*,#22086,.F.);
#28684=ORIENTED_EDGE('',*,*,#22074,.F.);
#28685=ORIENTED_EDGE('',*,*,#22198,.F.);
#28686=ORIENTED_EDGE('',*,*,#22121,.T.);
#28687=ORIENTED_EDGE('',*,*,#22155,.T.);
#28688=ORIENTED_EDGE('',*,*,#21827,.T.);
#28689=ORIENTED_EDGE('',*,*,#22108,.F.);
#28690=ORIENTED_EDGE('',*,*,#22107,.F.);
#28691=ORIENTED_EDGE('',*,*,#22199,.F.);
#28692=ORIENTED_EDGE('',*,*,#22088,.T.);
#28693=ORIENTED_EDGE('',*,*,#22087,.T.);
#28694=ORIENTED_EDGE('',*,*,#21833,.T.);
#28695=ORIENTED_EDGE('',*,*,#22132,.F.);
#28696=ORIENTED_EDGE('',*,*,#22120,.F.);
#28697=ORIENTED_EDGE('',*,*,#22200,.F.);
#28698=ORIENTED_EDGE('',*,*,#22167,.T.);
#28699=ORIENTED_EDGE('',*,*,#22179,.T.);
#28700=ORIENTED_EDGE('',*,*,#21829,.T.);
#28701=ORIENTED_EDGE('',*,*,#22154,.F.);
#28702=ORIENTED_EDGE('',*,*,#22153,.F.);
#28703=ORIENTED_EDGE('',*,*,#22201,.F.);
#28704=ORIENTED_EDGE('',*,*,#22134,.T.);
#28705=ORIENTED_EDGE('',*,*,#22133,.T.);
#28706=ORIENTED_EDGE('',*,*,#21831,.T.);
#28707=ORIENTED_EDGE('',*,*,#22178,.F.);
#28708=ORIENTED_EDGE('',*,*,#22166,.F.);
#28709=ORIENTED_EDGE('',*,*,#22202,.F.);
#28710=ORIENTED_EDGE('',*,*,#21845,.T.);
#28711=ORIENTED_EDGE('',*,*,#22194,.T.);
#28712=ORIENTED_EDGE('',*,*,#21981,.F.);
#28713=ORIENTED_EDGE('',*,*,#22203,.F.);
#28714=ORIENTED_EDGE('',*,*,#22204,.F.);
#28715=ORIENTED_EDGE('',*,*,#21846,.T.);
#28716=ORIENTED_EDGE('',*,*,#22203,.T.);
#28717=ORIENTED_EDGE('',*,*,#21980,.F.);
#28718=ORIENTED_EDGE('',*,*,#22205,.F.);
#28719=ORIENTED_EDGE('',*,*,#21847,.T.);
#28720=ORIENTED_EDGE('',*,*,#22204,.T.);
#28721=ORIENTED_EDGE('',*,*,#21979,.F.);
#28722=ORIENTED_EDGE('',*,*,#22206,.F.);
#28723=ORIENTED_EDGE('',*,*,#21848,.T.);
#28724=ORIENTED_EDGE('',*,*,#22205,.T.);
#28725=ORIENTED_EDGE('',*,*,#21978,.F.);
#28726=ORIENTED_EDGE('',*,*,#22207,.F.);
#28727=ORIENTED_EDGE('',*,*,#21849,.T.);
#28728=ORIENTED_EDGE('',*,*,#22206,.T.);
#28729=ORIENTED_EDGE('',*,*,#21977,.F.);
#28730=ORIENTED_EDGE('',*,*,#22208,.F.);
#28731=ORIENTED_EDGE('',*,*,#21850,.T.);
#28732=ORIENTED_EDGE('',*,*,#22207,.T.);
#28733=ORIENTED_EDGE('',*,*,#21976,.F.);
#28734=ORIENTED_EDGE('',*,*,#21851,.T.);
#28735=ORIENTED_EDGE('',*,*,#22208,.T.);
#28736=ORIENTED_EDGE('',*,*,#21975,.F.);
#28737=ORIENTED_EDGE('',*,*,#22209,.F.);
#28738=ORIENTED_EDGE('',*,*,#21972,.F.);
#28739=ORIENTED_EDGE('',*,*,#21852,.T.);
#28740=ORIENTED_EDGE('',*,*,#22209,.T.);
#28741=ORIENTED_EDGE('',*,*,#21974,.F.);
#28742=ORIENTED_EDGE('',*,*,#21936,.T.);
#28743=ORIENTED_EDGE('',*,*,#22210,.T.);
#28744=ORIENTED_EDGE('',*,*,#22211,.F.);
#28745=ORIENTED_EDGE('',*,*,#21854,.F.);
#28746=ORIENTED_EDGE('',*,*,#22212,.T.);
#28747=ORIENTED_EDGE('',*,*,#22213,.T.);
#28748=ORIENTED_EDGE('',*,*,#22214,.F.);
#28749=ORIENTED_EDGE('',*,*,#21878,.F.);
#28750=ORIENTED_EDGE('',*,*,#22215,.T.);
#28751=ORIENTED_EDGE('',*,*,#22216,.T.);
#28752=ORIENTED_EDGE('',*,*,#22217,.F.);
#28753=ORIENTED_EDGE('',*,*,#21855,.F.);
#28754=ORIENTED_EDGE('',*,*,#22218,.T.);
#28755=ORIENTED_EDGE('',*,*,#22219,.T.);
#28756=ORIENTED_EDGE('',*,*,#22220,.F.);
#28757=ORIENTED_EDGE('',*,*,#21877,.F.);
#28758=ORIENTED_EDGE('',*,*,#22221,.T.);
#28759=ORIENTED_EDGE('',*,*,#22222,.T.);
#28760=ORIENTED_EDGE('',*,*,#22223,.F.);
#28761=ORIENTED_EDGE('',*,*,#21856,.F.);
#28762=ORIENTED_EDGE('',*,*,#22224,.T.);
#28763=ORIENTED_EDGE('',*,*,#22225,.T.);
#28764=ORIENTED_EDGE('',*,*,#22226,.F.);
#28765=ORIENTED_EDGE('',*,*,#21876,.F.);
#28766=ORIENTED_EDGE('',*,*,#22227,.T.);
#28767=ORIENTED_EDGE('',*,*,#22228,.T.);
#28768=ORIENTED_EDGE('',*,*,#22229,.F.);
#28769=ORIENTED_EDGE('',*,*,#21857,.F.);
#28770=ORIENTED_EDGE('',*,*,#22230,.T.);
#28771=ORIENTED_EDGE('',*,*,#22231,.T.);
#28772=ORIENTED_EDGE('',*,*,#22232,.F.);
#28773=ORIENTED_EDGE('',*,*,#21875,.F.);
#28774=ORIENTED_EDGE('',*,*,#22233,.T.);
#28775=ORIENTED_EDGE('',*,*,#22234,.T.);
#28776=ORIENTED_EDGE('',*,*,#22235,.F.);
#28777=ORIENTED_EDGE('',*,*,#21858,.F.);
#28778=ORIENTED_EDGE('',*,*,#22236,.T.);
#28779=ORIENTED_EDGE('',*,*,#22237,.T.);
#28780=ORIENTED_EDGE('',*,*,#22238,.F.);
#28781=ORIENTED_EDGE('',*,*,#21874,.F.);
#28782=ORIENTED_EDGE('',*,*,#22239,.T.);
#28783=ORIENTED_EDGE('',*,*,#22240,.T.);
#28784=ORIENTED_EDGE('',*,*,#22241,.F.);
#28785=ORIENTED_EDGE('',*,*,#21859,.F.);
#28786=ORIENTED_EDGE('',*,*,#22242,.T.);
#28787=ORIENTED_EDGE('',*,*,#22243,.T.);
#28788=ORIENTED_EDGE('',*,*,#22244,.F.);
#28789=ORIENTED_EDGE('',*,*,#21873,.F.);
#28790=ORIENTED_EDGE('',*,*,#22245,.T.);
#28791=ORIENTED_EDGE('',*,*,#22246,.T.);
#28792=ORIENTED_EDGE('',*,*,#22247,.F.);
#28793=ORIENTED_EDGE('',*,*,#21860,.F.);
#28794=ORIENTED_EDGE('',*,*,#22248,.T.);
#28795=ORIENTED_EDGE('',*,*,#22249,.T.);
#28796=ORIENTED_EDGE('',*,*,#22250,.F.);
#28797=ORIENTED_EDGE('',*,*,#21872,.F.);
#28798=ORIENTED_EDGE('',*,*,#22251,.T.);
#28799=ORIENTED_EDGE('',*,*,#22252,.T.);
#28800=ORIENTED_EDGE('',*,*,#22253,.F.);
#28801=ORIENTED_EDGE('',*,*,#21861,.F.);
#28802=ORIENTED_EDGE('',*,*,#22254,.T.);
#28803=ORIENTED_EDGE('',*,*,#22255,.T.);
#28804=ORIENTED_EDGE('',*,*,#22256,.F.);
#28805=ORIENTED_EDGE('',*,*,#21871,.F.);
#28806=ORIENTED_EDGE('',*,*,#22257,.T.);
#28807=ORIENTED_EDGE('',*,*,#22258,.T.);
#28808=ORIENTED_EDGE('',*,*,#22259,.F.);
#28809=ORIENTED_EDGE('',*,*,#21862,.F.);
#28810=ORIENTED_EDGE('',*,*,#22260,.T.);
#28811=ORIENTED_EDGE('',*,*,#22261,.T.);
#28812=ORIENTED_EDGE('',*,*,#22262,.F.);
#28813=ORIENTED_EDGE('',*,*,#21870,.F.);
#28814=ORIENTED_EDGE('',*,*,#22263,.T.);
#28815=ORIENTED_EDGE('',*,*,#22264,.T.);
#28816=ORIENTED_EDGE('',*,*,#22265,.F.);
#28817=ORIENTED_EDGE('',*,*,#21863,.F.);
#28818=ORIENTED_EDGE('',*,*,#22266,.T.);
#28819=ORIENTED_EDGE('',*,*,#22267,.T.);
#28820=ORIENTED_EDGE('',*,*,#22268,.F.);
#28821=ORIENTED_EDGE('',*,*,#21869,.F.);
#28822=ORIENTED_EDGE('',*,*,#22269,.T.);
#28823=ORIENTED_EDGE('',*,*,#22270,.T.);
#28824=ORIENTED_EDGE('',*,*,#22271,.F.);
#28825=ORIENTED_EDGE('',*,*,#21864,.F.);
#28826=ORIENTED_EDGE('',*,*,#22272,.T.);
#28827=ORIENTED_EDGE('',*,*,#22273,.T.);
#28828=ORIENTED_EDGE('',*,*,#22274,.F.);
#28829=ORIENTED_EDGE('',*,*,#21868,.F.);
#28830=ORIENTED_EDGE('',*,*,#22275,.T.);
#28831=ORIENTED_EDGE('',*,*,#22276,.T.);
#28832=ORIENTED_EDGE('',*,*,#22277,.F.);
#28833=ORIENTED_EDGE('',*,*,#21865,.F.);
#28834=ORIENTED_EDGE('',*,*,#22278,.T.);
#28835=ORIENTED_EDGE('',*,*,#22279,.T.);
#28836=ORIENTED_EDGE('',*,*,#22280,.F.);
#28837=ORIENTED_EDGE('',*,*,#21867,.F.);
#28838=ORIENTED_EDGE('',*,*,#22281,.T.);
#28839=ORIENTED_EDGE('',*,*,#22282,.T.);
#28840=ORIENTED_EDGE('',*,*,#22283,.F.);
#28841=ORIENTED_EDGE('',*,*,#21866,.F.);
#28842=ORIENTED_EDGE('',*,*,#21880,.F.);
#28843=ORIENTED_EDGE('',*,*,#22214,.T.);
#28844=ORIENTED_EDGE('',*,*,#22284,.T.);
#28845=ORIENTED_EDGE('',*,*,#22285,.F.);
#28846=ORIENTED_EDGE('',*,*,#22285,.T.);
#28847=ORIENTED_EDGE('',*,*,#22286,.T.);
#28848=ORIENTED_EDGE('',*,*,#22287,.F.);
#28849=ORIENTED_EDGE('',*,*,#21882,.F.);
#28850=ORIENTED_EDGE('',*,*,#22288,.T.);
#28851=ORIENTED_EDGE('',*,*,#22289,.T.);
#28852=ORIENTED_EDGE('',*,*,#22290,.F.);
#28853=ORIENTED_EDGE('',*,*,#21906,.F.);
#28854=ORIENTED_EDGE('',*,*,#22291,.T.);
#28855=ORIENTED_EDGE('',*,*,#22292,.T.);
#28856=ORIENTED_EDGE('',*,*,#22293,.F.);
#28857=ORIENTED_EDGE('',*,*,#21883,.F.);
#28858=ORIENTED_EDGE('',*,*,#22294,.T.);
#28859=ORIENTED_EDGE('',*,*,#22295,.T.);
#28860=ORIENTED_EDGE('',*,*,#22296,.F.);
#28861=ORIENTED_EDGE('',*,*,#21905,.F.);
#28862=ORIENTED_EDGE('',*,*,#22297,.T.);
#28863=ORIENTED_EDGE('',*,*,#22298,.T.);
#28864=ORIENTED_EDGE('',*,*,#22299,.F.);
#28865=ORIENTED_EDGE('',*,*,#21884,.F.);
#28866=ORIENTED_EDGE('',*,*,#22300,.T.);
#28867=ORIENTED_EDGE('',*,*,#22301,.T.);
#28868=ORIENTED_EDGE('',*,*,#22302,.F.);
#28869=ORIENTED_EDGE('',*,*,#21904,.F.);
#28870=ORIENTED_EDGE('',*,*,#22303,.T.);
#28871=ORIENTED_EDGE('',*,*,#22304,.T.);
#28872=ORIENTED_EDGE('',*,*,#22305,.F.);
#28873=ORIENTED_EDGE('',*,*,#21885,.F.);
#28874=ORIENTED_EDGE('',*,*,#22306,.T.);
#28875=ORIENTED_EDGE('',*,*,#22307,.T.);
#28876=ORIENTED_EDGE('',*,*,#22308,.F.);
#28877=ORIENTED_EDGE('',*,*,#21903,.F.);
#28878=ORIENTED_EDGE('',*,*,#22309,.T.);
#28879=ORIENTED_EDGE('',*,*,#22310,.T.);
#28880=ORIENTED_EDGE('',*,*,#22311,.F.);
#28881=ORIENTED_EDGE('',*,*,#21886,.F.);
#28882=ORIENTED_EDGE('',*,*,#22312,.T.);
#28883=ORIENTED_EDGE('',*,*,#22313,.T.);
#28884=ORIENTED_EDGE('',*,*,#22314,.F.);
#28885=ORIENTED_EDGE('',*,*,#21902,.F.);
#28886=ORIENTED_EDGE('',*,*,#22315,.T.);
#28887=ORIENTED_EDGE('',*,*,#22316,.T.);
#28888=ORIENTED_EDGE('',*,*,#22317,.F.);
#28889=ORIENTED_EDGE('',*,*,#21887,.F.);
#28890=ORIENTED_EDGE('',*,*,#22318,.T.);
#28891=ORIENTED_EDGE('',*,*,#22319,.T.);
#28892=ORIENTED_EDGE('',*,*,#22320,.F.);
#28893=ORIENTED_EDGE('',*,*,#21901,.F.);
#28894=ORIENTED_EDGE('',*,*,#22321,.T.);
#28895=ORIENTED_EDGE('',*,*,#22322,.T.);
#28896=ORIENTED_EDGE('',*,*,#22323,.F.);
#28897=ORIENTED_EDGE('',*,*,#21888,.F.);
#28898=ORIENTED_EDGE('',*,*,#22324,.T.);
#28899=ORIENTED_EDGE('',*,*,#22325,.T.);
#28900=ORIENTED_EDGE('',*,*,#22326,.F.);
#28901=ORIENTED_EDGE('',*,*,#21900,.F.);
#28902=ORIENTED_EDGE('',*,*,#22327,.T.);
#28903=ORIENTED_EDGE('',*,*,#22328,.T.);
#28904=ORIENTED_EDGE('',*,*,#22329,.F.);
#28905=ORIENTED_EDGE('',*,*,#21889,.F.);
#28906=ORIENTED_EDGE('',*,*,#22330,.T.);
#28907=ORIENTED_EDGE('',*,*,#22331,.T.);
#28908=ORIENTED_EDGE('',*,*,#22332,.F.);
#28909=ORIENTED_EDGE('',*,*,#21899,.F.);
#28910=ORIENTED_EDGE('',*,*,#22333,.T.);
#28911=ORIENTED_EDGE('',*,*,#22334,.T.);
#28912=ORIENTED_EDGE('',*,*,#22335,.F.);
#28913=ORIENTED_EDGE('',*,*,#21890,.F.);
#28914=ORIENTED_EDGE('',*,*,#22336,.T.);
#28915=ORIENTED_EDGE('',*,*,#22337,.T.);
#28916=ORIENTED_EDGE('',*,*,#22338,.F.);
#28917=ORIENTED_EDGE('',*,*,#21898,.F.);
#28918=ORIENTED_EDGE('',*,*,#22339,.T.);
#28919=ORIENTED_EDGE('',*,*,#22340,.T.);
#28920=ORIENTED_EDGE('',*,*,#22341,.F.);
#28921=ORIENTED_EDGE('',*,*,#21891,.F.);
#28922=ORIENTED_EDGE('',*,*,#22342,.T.);
#28923=ORIENTED_EDGE('',*,*,#22343,.T.);
#28924=ORIENTED_EDGE('',*,*,#22344,.F.);
#28925=ORIENTED_EDGE('',*,*,#21897,.F.);
#28926=ORIENTED_EDGE('',*,*,#22345,.T.);
#28927=ORIENTED_EDGE('',*,*,#22346,.T.);
#28928=ORIENTED_EDGE('',*,*,#22347,.F.);
#28929=ORIENTED_EDGE('',*,*,#21892,.F.);
#28930=ORIENTED_EDGE('',*,*,#22348,.T.);
#28931=ORIENTED_EDGE('',*,*,#22349,.T.);
#28932=ORIENTED_EDGE('',*,*,#22350,.F.);
#28933=ORIENTED_EDGE('',*,*,#21896,.F.);
#28934=ORIENTED_EDGE('',*,*,#22351,.T.);
#28935=ORIENTED_EDGE('',*,*,#22352,.T.);
#28936=ORIENTED_EDGE('',*,*,#22353,.F.);
#28937=ORIENTED_EDGE('',*,*,#21893,.F.);
#28938=ORIENTED_EDGE('',*,*,#22354,.T.);
#28939=ORIENTED_EDGE('',*,*,#22355,.T.);
#28940=ORIENTED_EDGE('',*,*,#22356,.F.);
#28941=ORIENTED_EDGE('',*,*,#21895,.F.);
#28942=ORIENTED_EDGE('',*,*,#22357,.T.);
#28943=ORIENTED_EDGE('',*,*,#22358,.T.);
#28944=ORIENTED_EDGE('',*,*,#22359,.F.);
#28945=ORIENTED_EDGE('',*,*,#21894,.F.);
#28946=ORIENTED_EDGE('',*,*,#21908,.F.);
#28947=ORIENTED_EDGE('',*,*,#22290,.T.);
#28948=ORIENTED_EDGE('',*,*,#22360,.T.);
#28949=ORIENTED_EDGE('',*,*,#22361,.F.);
#28950=ORIENTED_EDGE('',*,*,#22361,.T.);
#28951=ORIENTED_EDGE('',*,*,#22362,.T.);
#28952=ORIENTED_EDGE('',*,*,#22363,.F.);
#28953=ORIENTED_EDGE('',*,*,#21910,.F.);
#28954=ORIENTED_EDGE('',*,*,#22364,.T.);
#28955=ORIENTED_EDGE('',*,*,#22365,.T.);
#28956=ORIENTED_EDGE('',*,*,#22366,.F.);
#28957=ORIENTED_EDGE('',*,*,#21911,.F.);
#28958=ORIENTED_EDGE('',*,*,#22367,.T.);
#28959=ORIENTED_EDGE('',*,*,#22368,.T.);
#28960=ORIENTED_EDGE('',*,*,#22369,.F.);
#28961=ORIENTED_EDGE('',*,*,#21933,.F.);
#28962=ORIENTED_EDGE('',*,*,#22370,.T.);
#28963=ORIENTED_EDGE('',*,*,#22371,.T.);
#28964=ORIENTED_EDGE('',*,*,#22372,.F.);
#28965=ORIENTED_EDGE('',*,*,#21912,.F.);
#28966=ORIENTED_EDGE('',*,*,#22373,.T.);
#28967=ORIENTED_EDGE('',*,*,#22374,.T.);
#28968=ORIENTED_EDGE('',*,*,#22375,.F.);
#28969=ORIENTED_EDGE('',*,*,#21932,.F.);
#28970=ORIENTED_EDGE('',*,*,#22376,.T.);
#28971=ORIENTED_EDGE('',*,*,#22377,.T.);
#28972=ORIENTED_EDGE('',*,*,#22378,.F.);
#28973=ORIENTED_EDGE('',*,*,#21913,.F.);
#28974=ORIENTED_EDGE('',*,*,#22379,.T.);
#28975=ORIENTED_EDGE('',*,*,#22380,.T.);
#28976=ORIENTED_EDGE('',*,*,#22381,.F.);
#28977=ORIENTED_EDGE('',*,*,#21931,.F.);
#28978=ORIENTED_EDGE('',*,*,#22382,.T.);
#28979=ORIENTED_EDGE('',*,*,#22383,.T.);
#28980=ORIENTED_EDGE('',*,*,#22384,.F.);
#28981=ORIENTED_EDGE('',*,*,#21914,.F.);
#28982=ORIENTED_EDGE('',*,*,#22385,.T.);
#28983=ORIENTED_EDGE('',*,*,#22386,.T.);
#28984=ORIENTED_EDGE('',*,*,#22387,.F.);
#28985=ORIENTED_EDGE('',*,*,#21930,.F.);
#28986=ORIENTED_EDGE('',*,*,#22388,.T.);
#28987=ORIENTED_EDGE('',*,*,#22389,.T.);
#28988=ORIENTED_EDGE('',*,*,#22390,.F.);
#28989=ORIENTED_EDGE('',*,*,#21915,.F.);
#28990=ORIENTED_EDGE('',*,*,#22391,.T.);
#28991=ORIENTED_EDGE('',*,*,#22392,.T.);
#28992=ORIENTED_EDGE('',*,*,#22393,.F.);
#28993=ORIENTED_EDGE('',*,*,#21929,.F.);
#28994=ORIENTED_EDGE('',*,*,#22394,.T.);
#28995=ORIENTED_EDGE('',*,*,#22395,.T.);
#28996=ORIENTED_EDGE('',*,*,#22396,.F.);
#28997=ORIENTED_EDGE('',*,*,#21916,.F.);
#28998=ORIENTED_EDGE('',*,*,#22397,.T.);
#28999=ORIENTED_EDGE('',*,*,#22398,.T.);
#29000=ORIENTED_EDGE('',*,*,#22399,.F.);
#29001=ORIENTED_EDGE('',*,*,#21928,.F.);
#29002=ORIENTED_EDGE('',*,*,#22400,.T.);
#29003=ORIENTED_EDGE('',*,*,#22401,.T.);
#29004=ORIENTED_EDGE('',*,*,#22402,.F.);
#29005=ORIENTED_EDGE('',*,*,#21917,.F.);
#29006=ORIENTED_EDGE('',*,*,#22403,.T.);
#29007=ORIENTED_EDGE('',*,*,#22404,.T.);
#29008=ORIENTED_EDGE('',*,*,#22405,.F.);
#29009=ORIENTED_EDGE('',*,*,#21927,.F.);
#29010=ORIENTED_EDGE('',*,*,#22406,.T.);
#29011=ORIENTED_EDGE('',*,*,#22407,.T.);
#29012=ORIENTED_EDGE('',*,*,#22408,.F.);
#29013=ORIENTED_EDGE('',*,*,#21918,.F.);
#29014=ORIENTED_EDGE('',*,*,#22409,.T.);
#29015=ORIENTED_EDGE('',*,*,#22410,.T.);
#29016=ORIENTED_EDGE('',*,*,#22411,.F.);
#29017=ORIENTED_EDGE('',*,*,#21926,.F.);
#29018=ORIENTED_EDGE('',*,*,#22412,.T.);
#29019=ORIENTED_EDGE('',*,*,#22413,.T.);
#29020=ORIENTED_EDGE('',*,*,#22414,.F.);
#29021=ORIENTED_EDGE('',*,*,#21919,.F.);
#29022=ORIENTED_EDGE('',*,*,#22415,.T.);
#29023=ORIENTED_EDGE('',*,*,#22416,.T.);
#29024=ORIENTED_EDGE('',*,*,#22417,.F.);
#29025=ORIENTED_EDGE('',*,*,#21925,.F.);
#29026=ORIENTED_EDGE('',*,*,#22418,.T.);
#29027=ORIENTED_EDGE('',*,*,#22419,.T.);
#29028=ORIENTED_EDGE('',*,*,#22420,.F.);
#29029=ORIENTED_EDGE('',*,*,#21920,.F.);
#29030=ORIENTED_EDGE('',*,*,#22421,.T.);
#29031=ORIENTED_EDGE('',*,*,#22422,.T.);
#29032=ORIENTED_EDGE('',*,*,#22423,.F.);
#29033=ORIENTED_EDGE('',*,*,#21924,.F.);
#29034=ORIENTED_EDGE('',*,*,#22424,.T.);
#29035=ORIENTED_EDGE('',*,*,#22425,.T.);
#29036=ORIENTED_EDGE('',*,*,#22426,.F.);
#29037=ORIENTED_EDGE('',*,*,#21921,.F.);
#29038=ORIENTED_EDGE('',*,*,#22427,.T.);
#29039=ORIENTED_EDGE('',*,*,#22428,.T.);
#29040=ORIENTED_EDGE('',*,*,#22429,.F.);
#29041=ORIENTED_EDGE('',*,*,#21923,.F.);
#29042=ORIENTED_EDGE('',*,*,#22430,.T.);
#29043=ORIENTED_EDGE('',*,*,#22431,.T.);
#29044=ORIENTED_EDGE('',*,*,#22432,.F.);
#29045=ORIENTED_EDGE('',*,*,#21922,.F.);
#29046=ORIENTED_EDGE('',*,*,#21935,.F.);
#29047=ORIENTED_EDGE('',*,*,#21948,.T.);
#29048=ORIENTED_EDGE('',*,*,#22185,.T.);
#29049=ORIENTED_EDGE('',*,*,#22433,.F.);
#29050=ORIENTED_EDGE('',*,*,#21950,.T.);
#29051=ORIENTED_EDGE('',*,*,#22192,.T.);
#29052=ORIENTED_EDGE('',*,*,#21945,.F.);
#29053=ORIENTED_EDGE('',*,*,#22434,.F.);
#29054=ORIENTED_EDGE('',*,*,#22435,.F.);
#29055=ORIENTED_EDGE('',*,*,#21951,.T.);
#29056=ORIENTED_EDGE('',*,*,#22434,.T.);
#29057=ORIENTED_EDGE('',*,*,#21944,.F.);
#29058=ORIENTED_EDGE('',*,*,#22436,.F.);
#29059=ORIENTED_EDGE('',*,*,#21952,.T.);
#29060=ORIENTED_EDGE('',*,*,#22435,.T.);
#29061=ORIENTED_EDGE('',*,*,#21943,.F.);
#29062=ORIENTED_EDGE('',*,*,#22437,.F.);
#29063=ORIENTED_EDGE('',*,*,#21953,.T.);
#29064=ORIENTED_EDGE('',*,*,#22436,.T.);
#29065=ORIENTED_EDGE('',*,*,#21942,.F.);
#29066=ORIENTED_EDGE('',*,*,#22438,.F.);
#29067=ORIENTED_EDGE('',*,*,#21954,.T.);
#29068=ORIENTED_EDGE('',*,*,#22437,.T.);
#29069=ORIENTED_EDGE('',*,*,#21941,.F.);
#29070=ORIENTED_EDGE('',*,*,#22439,.F.);
#29071=ORIENTED_EDGE('',*,*,#21955,.T.);
#29072=ORIENTED_EDGE('',*,*,#22438,.T.);
#29073=ORIENTED_EDGE('',*,*,#21940,.F.);
#29074=ORIENTED_EDGE('',*,*,#21956,.T.);
#29075=ORIENTED_EDGE('',*,*,#22439,.T.);
#29076=ORIENTED_EDGE('',*,*,#21939,.F.);
#29077=ORIENTED_EDGE('',*,*,#22440,.F.);
#29078=ORIENTED_EDGE('',*,*,#21959,.F.);
#29079=ORIENTED_EDGE('',*,*,#21957,.T.);
#29080=ORIENTED_EDGE('',*,*,#22440,.T.);
#29081=ORIENTED_EDGE('',*,*,#21938,.F.);
#29082=ORIENTED_EDGE('',*,*,#21984,.T.);
#29083=ORIENTED_EDGE('',*,*,#22193,.T.);
#29084=ORIENTED_EDGE('',*,*,#21968,.F.);
#29085=ORIENTED_EDGE('',*,*,#22441,.F.);
#29086=ORIENTED_EDGE('',*,*,#22442,.F.);
#29087=ORIENTED_EDGE('',*,*,#21985,.T.);
#29088=ORIENTED_EDGE('',*,*,#22441,.T.);
#29089=ORIENTED_EDGE('',*,*,#21967,.F.);
#29090=ORIENTED_EDGE('',*,*,#22443,.F.);
#29091=ORIENTED_EDGE('',*,*,#21986,.T.);
#29092=ORIENTED_EDGE('',*,*,#22442,.T.);
#29093=ORIENTED_EDGE('',*,*,#21966,.F.);
#29094=ORIENTED_EDGE('',*,*,#22444,.F.);
#29095=ORIENTED_EDGE('',*,*,#21987,.T.);
#29096=ORIENTED_EDGE('',*,*,#22443,.T.);
#29097=ORIENTED_EDGE('',*,*,#21965,.F.);
#29098=ORIENTED_EDGE('',*,*,#22445,.F.);
#29099=ORIENTED_EDGE('',*,*,#21988,.T.);
#29100=ORIENTED_EDGE('',*,*,#22444,.T.);
#29101=ORIENTED_EDGE('',*,*,#21964,.F.);
#29102=ORIENTED_EDGE('',*,*,#22446,.F.);
#29103=ORIENTED_EDGE('',*,*,#21989,.T.);
#29104=ORIENTED_EDGE('',*,*,#22445,.T.);
#29105=ORIENTED_EDGE('',*,*,#21963,.F.);
#29106=ORIENTED_EDGE('',*,*,#21990,.T.);
#29107=ORIENTED_EDGE('',*,*,#22446,.T.);
#29108=ORIENTED_EDGE('',*,*,#21962,.F.);
#29109=ORIENTED_EDGE('',*,*,#22447,.F.);
#29110=ORIENTED_EDGE('',*,*,#21993,.F.);
#29111=ORIENTED_EDGE('',*,*,#21991,.T.);
#29112=ORIENTED_EDGE('',*,*,#22447,.T.);
#29113=ORIENTED_EDGE('',*,*,#21961,.F.);
#29114=ORIENTED_EDGE('',*,*,#21997,.T.);
#29115=ORIENTED_EDGE('',*,*,#22196,.T.);
#29116=ORIENTED_EDGE('',*,*,#22027,.F.);
#29117=ORIENTED_EDGE('',*,*,#22448,.F.);
#29118=ORIENTED_EDGE('',*,*,#22449,.F.);
#29119=ORIENTED_EDGE('',*,*,#21998,.T.);
#29120=ORIENTED_EDGE('',*,*,#22448,.T.);
#29121=ORIENTED_EDGE('',*,*,#22026,.F.);
#29122=ORIENTED_EDGE('',*,*,#22450,.F.);
#29123=ORIENTED_EDGE('',*,*,#21999,.T.);
#29124=ORIENTED_EDGE('',*,*,#22449,.T.);
#29125=ORIENTED_EDGE('',*,*,#22025,.F.);
#29126=ORIENTED_EDGE('',*,*,#22451,.F.);
#29127=ORIENTED_EDGE('',*,*,#22000,.T.);
#29128=ORIENTED_EDGE('',*,*,#22450,.T.);
#29129=ORIENTED_EDGE('',*,*,#22024,.F.);
#29130=ORIENTED_EDGE('',*,*,#22452,.F.);
#29131=ORIENTED_EDGE('',*,*,#22001,.T.);
#29132=ORIENTED_EDGE('',*,*,#22451,.T.);
#29133=ORIENTED_EDGE('',*,*,#22023,.F.);
#29134=ORIENTED_EDGE('',*,*,#22453,.F.);
#29135=ORIENTED_EDGE('',*,*,#22002,.T.);
#29136=ORIENTED_EDGE('',*,*,#22452,.T.);
#29137=ORIENTED_EDGE('',*,*,#22022,.F.);
#29138=ORIENTED_EDGE('',*,*,#22003,.T.);
#29139=ORIENTED_EDGE('',*,*,#22453,.T.);
#29140=ORIENTED_EDGE('',*,*,#22021,.F.);
#29141=ORIENTED_EDGE('',*,*,#22454,.F.);
#29142=ORIENTED_EDGE('',*,*,#22018,.F.);
#29143=ORIENTED_EDGE('',*,*,#22004,.T.);
#29144=ORIENTED_EDGE('',*,*,#22454,.T.);
#29145=ORIENTED_EDGE('',*,*,#22020,.F.);
#29146=ORIENTED_EDGE('',*,*,#22030,.T.);
#29147=ORIENTED_EDGE('',*,*,#22195,.T.);
#29148=ORIENTED_EDGE('',*,*,#22014,.F.);
#29149=ORIENTED_EDGE('',*,*,#22455,.F.);
#29150=ORIENTED_EDGE('',*,*,#22456,.F.);
#29151=ORIENTED_EDGE('',*,*,#22031,.T.);
#29152=ORIENTED_EDGE('',*,*,#22455,.T.);
#29153=ORIENTED_EDGE('',*,*,#22013,.F.);
#29154=ORIENTED_EDGE('',*,*,#22457,.F.);
#29155=ORIENTED_EDGE('',*,*,#22032,.T.);
#29156=ORIENTED_EDGE('',*,*,#22456,.T.);
#29157=ORIENTED_EDGE('',*,*,#22012,.F.);
#29158=ORIENTED_EDGE('',*,*,#22458,.F.);
#29159=ORIENTED_EDGE('',*,*,#22033,.T.);
#29160=ORIENTED_EDGE('',*,*,#22457,.T.);
#29161=ORIENTED_EDGE('',*,*,#22011,.F.);
#29162=ORIENTED_EDGE('',*,*,#22459,.F.);
#29163=ORIENTED_EDGE('',*,*,#22034,.T.);
#29164=ORIENTED_EDGE('',*,*,#22458,.T.);
#29165=ORIENTED_EDGE('',*,*,#22010,.F.);
#29166=ORIENTED_EDGE('',*,*,#22460,.F.);
#29167=ORIENTED_EDGE('',*,*,#22035,.T.);
#29168=ORIENTED_EDGE('',*,*,#22459,.T.);
#29169=ORIENTED_EDGE('',*,*,#22009,.F.);
#29170=ORIENTED_EDGE('',*,*,#22036,.T.);
#29171=ORIENTED_EDGE('',*,*,#22460,.T.);
#29172=ORIENTED_EDGE('',*,*,#22008,.F.);
#29173=ORIENTED_EDGE('',*,*,#22461,.F.);
#29174=ORIENTED_EDGE('',*,*,#22039,.F.);
#29175=ORIENTED_EDGE('',*,*,#22037,.T.);
#29176=ORIENTED_EDGE('',*,*,#22461,.T.);
#29177=ORIENTED_EDGE('',*,*,#22007,.F.);
#29178=ORIENTED_EDGE('',*,*,#22043,.T.);
#29179=ORIENTED_EDGE('',*,*,#22198,.T.);
#29180=ORIENTED_EDGE('',*,*,#22073,.F.);
#29181=ORIENTED_EDGE('',*,*,#22462,.F.);
#29182=ORIENTED_EDGE('',*,*,#22463,.F.);
#29183=ORIENTED_EDGE('',*,*,#22044,.T.);
#29184=ORIENTED_EDGE('',*,*,#22462,.T.);
#29185=ORIENTED_EDGE('',*,*,#22072,.F.);
#29186=ORIENTED_EDGE('',*,*,#22464,.F.);
#29187=ORIENTED_EDGE('',*,*,#22045,.T.);
#29188=ORIENTED_EDGE('',*,*,#22463,.T.);
#29189=ORIENTED_EDGE('',*,*,#22071,.F.);
#29190=ORIENTED_EDGE('',*,*,#22465,.F.);
#29191=ORIENTED_EDGE('',*,*,#22046,.T.);
#29192=ORIENTED_EDGE('',*,*,#22464,.T.);
#29193=ORIENTED_EDGE('',*,*,#22070,.F.);
#29194=ORIENTED_EDGE('',*,*,#22466,.F.);
#29195=ORIENTED_EDGE('',*,*,#22047,.T.);
#29196=ORIENTED_EDGE('',*,*,#22465,.T.);
#29197=ORIENTED_EDGE('',*,*,#22069,.F.);
#29198=ORIENTED_EDGE('',*,*,#22467,.F.);
#29199=ORIENTED_EDGE('',*,*,#22048,.T.);
#29200=ORIENTED_EDGE('',*,*,#22466,.T.);
#29201=ORIENTED_EDGE('',*,*,#22068,.F.);
#29202=ORIENTED_EDGE('',*,*,#22049,.T.);
#29203=ORIENTED_EDGE('',*,*,#22467,.T.);
#29204=ORIENTED_EDGE('',*,*,#22067,.F.);
#29205=ORIENTED_EDGE('',*,*,#22468,.F.);
#29206=ORIENTED_EDGE('',*,*,#22064,.F.);
#29207=ORIENTED_EDGE('',*,*,#22050,.T.);
#29208=ORIENTED_EDGE('',*,*,#22468,.T.);
#29209=ORIENTED_EDGE('',*,*,#22066,.F.);
#29210=ORIENTED_EDGE('',*,*,#22076,.T.);
#29211=ORIENTED_EDGE('',*,*,#22197,.T.);
#29212=ORIENTED_EDGE('',*,*,#22060,.F.);
#29213=ORIENTED_EDGE('',*,*,#22469,.F.);
#29214=ORIENTED_EDGE('',*,*,#22470,.F.);
#29215=ORIENTED_EDGE('',*,*,#22077,.T.);
#29216=ORIENTED_EDGE('',*,*,#22469,.T.);
#29217=ORIENTED_EDGE('',*,*,#22059,.F.);
#29218=ORIENTED_EDGE('',*,*,#22471,.F.);
#29219=ORIENTED_EDGE('',*,*,#22078,.T.);
#29220=ORIENTED_EDGE('',*,*,#22470,.T.);
#29221=ORIENTED_EDGE('',*,*,#22058,.F.);
#29222=ORIENTED_EDGE('',*,*,#22472,.F.);
#29223=ORIENTED_EDGE('',*,*,#22079,.T.);
#29224=ORIENTED_EDGE('',*,*,#22471,.T.);
#29225=ORIENTED_EDGE('',*,*,#22057,.F.);
#29226=ORIENTED_EDGE('',*,*,#22473,.F.);
#29227=ORIENTED_EDGE('',*,*,#22080,.T.);
#29228=ORIENTED_EDGE('',*,*,#22472,.T.);
#29229=ORIENTED_EDGE('',*,*,#22056,.F.);
#29230=ORIENTED_EDGE('',*,*,#22474,.F.);
#29231=ORIENTED_EDGE('',*,*,#22081,.T.);
#29232=ORIENTED_EDGE('',*,*,#22473,.T.);
#29233=ORIENTED_EDGE('',*,*,#22055,.F.);
#29234=ORIENTED_EDGE('',*,*,#22082,.T.);
#29235=ORIENTED_EDGE('',*,*,#22474,.T.);
#29236=ORIENTED_EDGE('',*,*,#22054,.F.);
#29237=ORIENTED_EDGE('',*,*,#22475,.F.);
#29238=ORIENTED_EDGE('',*,*,#22085,.F.);
#29239=ORIENTED_EDGE('',*,*,#22083,.T.);
#29240=ORIENTED_EDGE('',*,*,#22475,.T.);
#29241=ORIENTED_EDGE('',*,*,#22053,.F.);
#29242=ORIENTED_EDGE('',*,*,#22089,.T.);
#29243=ORIENTED_EDGE('',*,*,#22200,.T.);
#29244=ORIENTED_EDGE('',*,*,#22119,.F.);
#29245=ORIENTED_EDGE('',*,*,#22476,.F.);
#29246=ORIENTED_EDGE('',*,*,#22477,.F.);
#29247=ORIENTED_EDGE('',*,*,#22090,.T.);
#29248=ORIENTED_EDGE('',*,*,#22476,.T.);
#29249=ORIENTED_EDGE('',*,*,#22118,.F.);
#29250=ORIENTED_EDGE('',*,*,#22478,.F.);
#29251=ORIENTED_EDGE('',*,*,#22091,.T.);
#29252=ORIENTED_EDGE('',*,*,#22477,.T.);
#29253=ORIENTED_EDGE('',*,*,#22117,.F.);
#29254=ORIENTED_EDGE('',*,*,#22479,.F.);
#29255=ORIENTED_EDGE('',*,*,#22092,.T.);
#29256=ORIENTED_EDGE('',*,*,#22478,.T.);
#29257=ORIENTED_EDGE('',*,*,#22116,.F.);
#29258=ORIENTED_EDGE('',*,*,#22480,.F.);
#29259=ORIENTED_EDGE('',*,*,#22093,.T.);
#29260=ORIENTED_EDGE('',*,*,#22479,.T.);
#29261=ORIENTED_EDGE('',*,*,#22115,.F.);
#29262=ORIENTED_EDGE('',*,*,#22481,.F.);
#29263=ORIENTED_EDGE('',*,*,#22094,.T.);
#29264=ORIENTED_EDGE('',*,*,#22480,.T.);
#29265=ORIENTED_EDGE('',*,*,#22114,.F.);
#29266=ORIENTED_EDGE('',*,*,#22095,.T.);
#29267=ORIENTED_EDGE('',*,*,#22481,.T.);
#29268=ORIENTED_EDGE('',*,*,#22113,.F.);
#29269=ORIENTED_EDGE('',*,*,#22482,.F.);
#29270=ORIENTED_EDGE('',*,*,#22110,.F.);
#29271=ORIENTED_EDGE('',*,*,#22096,.T.);
#29272=ORIENTED_EDGE('',*,*,#22482,.T.);
#29273=ORIENTED_EDGE('',*,*,#22112,.F.);
#29274=ORIENTED_EDGE('',*,*,#22122,.T.);
#29275=ORIENTED_EDGE('',*,*,#22199,.T.);
#29276=ORIENTED_EDGE('',*,*,#22106,.F.);
#29277=ORIENTED_EDGE('',*,*,#22483,.F.);
#29278=ORIENTED_EDGE('',*,*,#22484,.F.);
#29279=ORIENTED_EDGE('',*,*,#22123,.T.);
#29280=ORIENTED_EDGE('',*,*,#22483,.T.);
#29281=ORIENTED_EDGE('',*,*,#22105,.F.);
#29282=ORIENTED_EDGE('',*,*,#22485,.F.);
#29283=ORIENTED_EDGE('',*,*,#22124,.T.);
#29284=ORIENTED_EDGE('',*,*,#22484,.T.);
#29285=ORIENTED_EDGE('',*,*,#22104,.F.);
#29286=ORIENTED_EDGE('',*,*,#22486,.F.);
#29287=ORIENTED_EDGE('',*,*,#22125,.T.);
#29288=ORIENTED_EDGE('',*,*,#22485,.T.);
#29289=ORIENTED_EDGE('',*,*,#22103,.F.);
#29290=ORIENTED_EDGE('',*,*,#22487,.F.);
#29291=ORIENTED_EDGE('',*,*,#22126,.T.);
#29292=ORIENTED_EDGE('',*,*,#22486,.T.);
#29293=ORIENTED_EDGE('',*,*,#22102,.F.);
#29294=ORIENTED_EDGE('',*,*,#22488,.F.);
#29295=ORIENTED_EDGE('',*,*,#22127,.T.);
#29296=ORIENTED_EDGE('',*,*,#22487,.T.);
#29297=ORIENTED_EDGE('',*,*,#22101,.F.);
#29298=ORIENTED_EDGE('',*,*,#22128,.T.);
#29299=ORIENTED_EDGE('',*,*,#22488,.T.);
#29300=ORIENTED_EDGE('',*,*,#22100,.F.);
#29301=ORIENTED_EDGE('',*,*,#22489,.F.);
#29302=ORIENTED_EDGE('',*,*,#22131,.F.);
#29303=ORIENTED_EDGE('',*,*,#22129,.T.);
#29304=ORIENTED_EDGE('',*,*,#22489,.T.);
#29305=ORIENTED_EDGE('',*,*,#22099,.F.);
#29306=ORIENTED_EDGE('',*,*,#22135,.T.);
#29307=ORIENTED_EDGE('',*,*,#22202,.T.);
#29308=ORIENTED_EDGE('',*,*,#22165,.F.);
#29309=ORIENTED_EDGE('',*,*,#22490,.F.);
#29310=ORIENTED_EDGE('',*,*,#22491,.F.);
#29311=ORIENTED_EDGE('',*,*,#22136,.T.);
#29312=ORIENTED_EDGE('',*,*,#22490,.T.);
#29313=ORIENTED_EDGE('',*,*,#22164,.F.);
#29314=ORIENTED_EDGE('',*,*,#22492,.F.);
#29315=ORIENTED_EDGE('',*,*,#22137,.T.);
#29316=ORIENTED_EDGE('',*,*,#22491,.T.);
#29317=ORIENTED_EDGE('',*,*,#22163,.F.);
#29318=ORIENTED_EDGE('',*,*,#22493,.F.);
#29319=ORIENTED_EDGE('',*,*,#22138,.T.);
#29320=ORIENTED_EDGE('',*,*,#22492,.T.);
#29321=ORIENTED_EDGE('',*,*,#22162,.F.);
#29322=ORIENTED_EDGE('',*,*,#22494,.F.);
#29323=ORIENTED_EDGE('',*,*,#22139,.T.);
#29324=ORIENTED_EDGE('',*,*,#22493,.T.);
#29325=ORIENTED_EDGE('',*,*,#22161,.F.);
#29326=ORIENTED_EDGE('',*,*,#22495,.F.);
#29327=ORIENTED_EDGE('',*,*,#22140,.T.);
#29328=ORIENTED_EDGE('',*,*,#22494,.T.);
#29329=ORIENTED_EDGE('',*,*,#22160,.F.);
#29330=ORIENTED_EDGE('',*,*,#22141,.T.);
#29331=ORIENTED_EDGE('',*,*,#22495,.T.);
#29332=ORIENTED_EDGE('',*,*,#22159,.F.);
#29333=ORIENTED_EDGE('',*,*,#22496,.F.);
#29334=ORIENTED_EDGE('',*,*,#22156,.F.);
#29335=ORIENTED_EDGE('',*,*,#22142,.T.);
#29336=ORIENTED_EDGE('',*,*,#22496,.T.);
#29337=ORIENTED_EDGE('',*,*,#22158,.F.);
#29338=ORIENTED_EDGE('',*,*,#22168,.T.);
#29339=ORIENTED_EDGE('',*,*,#22201,.T.);
#29340=ORIENTED_EDGE('',*,*,#22152,.F.);
#29341=ORIENTED_EDGE('',*,*,#22497,.F.);
#29342=ORIENTED_EDGE('',*,*,#22498,.F.);
#29343=ORIENTED_EDGE('',*,*,#22169,.T.);
#29344=ORIENTED_EDGE('',*,*,#22497,.T.);
#29345=ORIENTED_EDGE('',*,*,#22151,.F.);
#29346=ORIENTED_EDGE('',*,*,#22499,.F.);
#29347=ORIENTED_EDGE('',*,*,#22170,.T.);
#29348=ORIENTED_EDGE('',*,*,#22498,.T.);
#29349=ORIENTED_EDGE('',*,*,#22150,.F.);
#29350=ORIENTED_EDGE('',*,*,#22500,.F.);
#29351=ORIENTED_EDGE('',*,*,#22171,.T.);
#29352=ORIENTED_EDGE('',*,*,#22499,.T.);
#29353=ORIENTED_EDGE('',*,*,#22149,.F.);
#29354=ORIENTED_EDGE('',*,*,#22501,.F.);
#29355=ORIENTED_EDGE('',*,*,#22172,.T.);
#29356=ORIENTED_EDGE('',*,*,#22500,.T.);
#29357=ORIENTED_EDGE('',*,*,#22148,.F.);
#29358=ORIENTED_EDGE('',*,*,#22502,.F.);
#29359=ORIENTED_EDGE('',*,*,#22173,.T.);
#29360=ORIENTED_EDGE('',*,*,#22501,.T.);
#29361=ORIENTED_EDGE('',*,*,#22147,.F.);
#29362=ORIENTED_EDGE('',*,*,#22174,.T.);
#29363=ORIENTED_EDGE('',*,*,#22502,.T.);
#29364=ORIENTED_EDGE('',*,*,#22146,.F.);
#29365=ORIENTED_EDGE('',*,*,#22503,.F.);
#29366=ORIENTED_EDGE('',*,*,#22177,.F.);
#29367=ORIENTED_EDGE('',*,*,#22175,.T.);
#29368=ORIENTED_EDGE('',*,*,#22503,.T.);
#29369=ORIENTED_EDGE('',*,*,#22145,.F.);
#29370=ORIENTED_EDGE('',*,*,#22504,.T.);
#29371=ORIENTED_EDGE('',*,*,#22505,.T.);
#29372=ORIENTED_EDGE('',*,*,#22180,.F.);
#29373=ORIENTED_EDGE('',*,*,#22183,.F.);
#29374=ORIENTED_EDGE('',*,*,#22182,.F.);
#29375=ORIENTED_EDGE('',*,*,#22369,.T.);
#29376=ORIENTED_EDGE('',*,*,#22506,.T.);
#29377=ORIENTED_EDGE('',*,*,#22507,.T.);
#29378=ORIENTED_EDGE('',*,*,#22508,.T.);
#29379=ORIENTED_EDGE('',*,*,#22509,.T.);
#29380=ORIENTED_EDGE('',*,*,#22510,.T.);
#29381=ORIENTED_EDGE('',*,*,#22511,.T.);
#29382=ORIENTED_EDGE('',*,*,#22512,.T.);
#29383=ORIENTED_EDGE('',*,*,#22513,.T.);
#29384=ORIENTED_EDGE('',*,*,#22514,.T.);
#29385=ORIENTED_EDGE('',*,*,#22515,.T.);
#29386=ORIENTED_EDGE('',*,*,#22516,.F.);
#29387=ORIENTED_EDGE('',*,*,#22517,.F.);
#29388=ORIENTED_EDGE('',*,*,#22518,.F.);
#29389=ORIENTED_EDGE('',*,*,#22519,.F.);
#29390=ORIENTED_EDGE('',*,*,#22520,.F.);
#29391=ORIENTED_EDGE('',*,*,#22521,.F.);
#29392=ORIENTED_EDGE('',*,*,#22522,.F.);
#29393=ORIENTED_EDGE('',*,*,#22523,.F.);
#29394=ORIENTED_EDGE('',*,*,#22524,.F.);
#29395=ORIENTED_EDGE('',*,*,#22525,.F.);
#29396=ORIENTED_EDGE('',*,*,#22526,.F.);
#29397=ORIENTED_EDGE('',*,*,#22527,.F.);
#29398=ORIENTED_EDGE('',*,*,#22528,.F.);
#29399=ORIENTED_EDGE('',*,*,#22529,.F.);
#29400=ORIENTED_EDGE('',*,*,#22530,.F.);
#29401=ORIENTED_EDGE('',*,*,#22531,.F.);
#29402=ORIENTED_EDGE('',*,*,#22532,.F.);
#29403=ORIENTED_EDGE('',*,*,#22533,.F.);
#29404=ORIENTED_EDGE('',*,*,#22534,.F.);
#29405=ORIENTED_EDGE('',*,*,#22535,.F.);
#29406=ORIENTED_EDGE('',*,*,#22536,.F.);
#29407=ORIENTED_EDGE('',*,*,#22537,.F.);
#29408=ORIENTED_EDGE('',*,*,#22538,.F.);
#29409=ORIENTED_EDGE('',*,*,#22539,.F.);
#29410=ORIENTED_EDGE('',*,*,#22540,.F.);
#29411=ORIENTED_EDGE('',*,*,#22541,.F.);
#29412=ORIENTED_EDGE('',*,*,#22184,.T.);
#29413=ORIENTED_EDGE('',*,*,#22542,.T.);
#29414=ORIENTED_EDGE('',*,*,#22189,.T.);
#29415=ORIENTED_EDGE('',*,*,#22543,.T.);
#29416=ORIENTED_EDGE('',*,*,#22544,.F.);
#29417=ORIENTED_EDGE('',*,*,#22186,.F.);
#29418=ORIENTED_EDGE('',*,*,#22545,.T.);
#29419=ORIENTED_EDGE('',*,*,#22546,.T.);
#29420=ORIENTED_EDGE('',*,*,#22187,.F.);
#29421=ORIENTED_EDGE('',*,*,#22191,.F.);
#29422=ORIENTED_EDGE('',*,*,#22547,.F.);
#29423=ORIENTED_EDGE('',*,*,#22548,.F.);
#29424=ORIENTED_EDGE('',*,*,#22549,.F.);
#29425=ORIENTED_EDGE('',*,*,#22550,.F.);
#29426=ORIENTED_EDGE('',*,*,#22551,.F.);
#29427=ORIENTED_EDGE('',*,*,#22543,.F.);
#29428=ORIENTED_EDGE('',*,*,#22188,.F.);
#29429=ORIENTED_EDGE('',*,*,#22546,.F.);
#29430=ORIENTED_EDGE('',*,*,#22552,.F.);
#29431=ORIENTED_EDGE('',*,*,#22553,.F.);
#29432=ORIENTED_EDGE('',*,*,#22190,.F.);
#29433=ORIENTED_EDGE('',*,*,#22505,.F.);
#29434=ORIENTED_EDGE('',*,*,#22554,.F.);
#29435=ORIENTED_EDGE('',*,*,#22555,.F.);
#29436=ORIENTED_EDGE('',*,*,#22556,.F.);
#29437=ORIENTED_EDGE('',*,*,#22557,.F.);
#29438=ORIENTED_EDGE('',*,*,#22558,.F.);
#29439=ORIENTED_EDGE('',*,*,#22559,.F.);
#29440=ORIENTED_EDGE('',*,*,#22560,.F.);
#29441=ORIENTED_EDGE('',*,*,#22561,.F.);
#29442=ORIENTED_EDGE('',*,*,#22562,.F.);
#29443=ORIENTED_EDGE('',*,*,#22563,.F.);
#29444=ORIENTED_EDGE('',*,*,#22564,.F.);
#29445=ORIENTED_EDGE('',*,*,#22565,.F.);
#29446=ORIENTED_EDGE('',*,*,#22566,.F.);
#29447=ORIENTED_EDGE('',*,*,#22567,.F.);
#29448=ORIENTED_EDGE('',*,*,#22568,.F.);
#29449=ORIENTED_EDGE('',*,*,#22569,.F.);
#29450=ORIENTED_EDGE('',*,*,#22570,.F.);
#29451=ORIENTED_EDGE('',*,*,#22571,.F.);
#29452=ORIENTED_EDGE('',*,*,#22572,.F.);
#29453=ORIENTED_EDGE('',*,*,#22573,.F.);
#29454=ORIENTED_EDGE('',*,*,#22574,.F.);
#29455=ORIENTED_EDGE('',*,*,#22575,.F.);
#29456=ORIENTED_EDGE('',*,*,#22576,.F.);
#29457=ORIENTED_EDGE('',*,*,#22577,.F.);
#29458=ORIENTED_EDGE('',*,*,#22578,.T.);
#29459=ORIENTED_EDGE('',*,*,#22579,.T.);
#29460=ORIENTED_EDGE('',*,*,#22433,.T.);
#29461=ORIENTED_EDGE('',*,*,#22541,.T.);
#29462=ORIENTED_EDGE('',*,*,#22580,.F.);
#29463=ORIENTED_EDGE('',*,*,#22210,.F.);
#29464=ORIENTED_EDGE('',*,*,#22211,.T.);
#29465=ORIENTED_EDGE('',*,*,#22581,.F.);
#29466=ORIENTED_EDGE('',*,*,#22582,.F.);
#29467=ORIENTED_EDGE('',*,*,#22583,.F.);
#29468=ORIENTED_EDGE('',*,*,#22584,.F.);
#29469=ORIENTED_EDGE('',*,*,#22585,.F.);
#29470=ORIENTED_EDGE('',*,*,#22586,.F.);
#29471=ORIENTED_EDGE('',*,*,#22587,.F.);
#29472=ORIENTED_EDGE('',*,*,#22588,.F.);
#29473=ORIENTED_EDGE('',*,*,#22589,.F.);
#29474=ORIENTED_EDGE('',*,*,#22590,.F.);
#29475=ORIENTED_EDGE('',*,*,#22215,.F.);
#29476=ORIENTED_EDGE('',*,*,#22212,.F.);
#29477=ORIENTED_EDGE('',*,*,#22220,.T.);
#29478=ORIENTED_EDGE('',*,*,#22591,.T.);
#29479=ORIENTED_EDGE('',*,*,#22592,.T.);
#29480=ORIENTED_EDGE('',*,*,#22593,.T.);
#29481=ORIENTED_EDGE('',*,*,#22594,.T.);
#29482=ORIENTED_EDGE('',*,*,#22595,.T.);
#29483=ORIENTED_EDGE('',*,*,#22596,.T.);
#29484=ORIENTED_EDGE('',*,*,#22597,.T.);
#29485=ORIENTED_EDGE('',*,*,#22598,.T.);
#29486=ORIENTED_EDGE('',*,*,#22599,.T.);
#29487=ORIENTED_EDGE('',*,*,#22600,.T.);
#29488=ORIENTED_EDGE('',*,*,#22601,.T.);
#29489=ORIENTED_EDGE('',*,*,#22517,.T.);
#29490=ORIENTED_EDGE('',*,*,#22602,.F.);
#29491=ORIENTED_EDGE('',*,*,#22213,.F.);
#29492=ORIENTED_EDGE('',*,*,#22216,.F.);
#29493=ORIENTED_EDGE('',*,*,#22590,.T.);
#29494=ORIENTED_EDGE('',*,*,#22603,.T.);
#29495=ORIENTED_EDGE('',*,*,#22604,.F.);
#29496=ORIENTED_EDGE('',*,*,#22221,.F.);
#29497=ORIENTED_EDGE('',*,*,#22217,.T.);
#29498=ORIENTED_EDGE('',*,*,#22604,.T.);
#29499=ORIENTED_EDGE('',*,*,#22605,.T.);
#29500=ORIENTED_EDGE('',*,*,#22606,.T.);
#29501=ORIENTED_EDGE('',*,*,#22607,.T.);
#29502=ORIENTED_EDGE('',*,*,#22608,.T.);
#29503=ORIENTED_EDGE('',*,*,#22609,.T.);
#29504=ORIENTED_EDGE('',*,*,#22610,.T.);
#29505=ORIENTED_EDGE('',*,*,#22611,.T.);
#29506=ORIENTED_EDGE('',*,*,#22612,.T.);
#29507=ORIENTED_EDGE('',*,*,#22613,.T.);
#29508=ORIENTED_EDGE('',*,*,#22226,.T.);
#29509=ORIENTED_EDGE('',*,*,#22614,.F.);
#29510=ORIENTED_EDGE('',*,*,#22615,.F.);
#29511=ORIENTED_EDGE('',*,*,#22616,.F.);
#29512=ORIENTED_EDGE('',*,*,#22617,.F.);
#29513=ORIENTED_EDGE('',*,*,#22618,.F.);
#29514=ORIENTED_EDGE('',*,*,#22619,.F.);
#29515=ORIENTED_EDGE('',*,*,#22620,.F.);
#29516=ORIENTED_EDGE('',*,*,#22621,.F.);
#29517=ORIENTED_EDGE('',*,*,#22622,.F.);
#29518=ORIENTED_EDGE('',*,*,#22623,.F.);
#29519=ORIENTED_EDGE('',*,*,#22218,.F.);
#29520=ORIENTED_EDGE('',*,*,#22219,.F.);
#29521=ORIENTED_EDGE('',*,*,#22623,.T.);
#29522=ORIENTED_EDGE('',*,*,#22624,.T.);
#29523=ORIENTED_EDGE('',*,*,#22591,.F.);
#29524=ORIENTED_EDGE('',*,*,#22625,.T.);
#29525=ORIENTED_EDGE('',*,*,#22539,.T.);
#29526=ORIENTED_EDGE('',*,*,#22626,.F.);
#29527=ORIENTED_EDGE('',*,*,#22222,.F.);
#29528=ORIENTED_EDGE('',*,*,#22223,.T.);
#29529=ORIENTED_EDGE('',*,*,#22627,.F.);
#29530=ORIENTED_EDGE('',*,*,#22628,.F.);
#29531=ORIENTED_EDGE('',*,*,#22629,.F.);
#29532=ORIENTED_EDGE('',*,*,#22630,.F.);
#29533=ORIENTED_EDGE('',*,*,#22631,.F.);
#29534=ORIENTED_EDGE('',*,*,#22632,.F.);
#29535=ORIENTED_EDGE('',*,*,#22633,.F.);
#29536=ORIENTED_EDGE('',*,*,#22634,.F.);
#29537=ORIENTED_EDGE('',*,*,#22635,.F.);
#29538=ORIENTED_EDGE('',*,*,#22636,.F.);
#29539=ORIENTED_EDGE('',*,*,#22227,.F.);
#29540=ORIENTED_EDGE('',*,*,#22224,.F.);
#29541=ORIENTED_EDGE('',*,*,#22232,.T.);
#29542=ORIENTED_EDGE('',*,*,#22637,.T.);
#29543=ORIENTED_EDGE('',*,*,#22638,.T.);
#29544=ORIENTED_EDGE('',*,*,#22639,.T.);
#29545=ORIENTED_EDGE('',*,*,#22640,.T.);
#29546=ORIENTED_EDGE('',*,*,#22641,.T.);
#29547=ORIENTED_EDGE('',*,*,#22642,.T.);
#29548=ORIENTED_EDGE('',*,*,#22643,.T.);
#29549=ORIENTED_EDGE('',*,*,#22644,.T.);
#29550=ORIENTED_EDGE('',*,*,#22645,.T.);
#29551=ORIENTED_EDGE('',*,*,#22646,.T.);
#29552=ORIENTED_EDGE('',*,*,#22647,.T.);
#29553=ORIENTED_EDGE('',*,*,#22519,.T.);
#29554=ORIENTED_EDGE('',*,*,#22648,.F.);
#29555=ORIENTED_EDGE('',*,*,#22225,.F.);
#29556=ORIENTED_EDGE('',*,*,#22228,.F.);
#29557=ORIENTED_EDGE('',*,*,#22636,.T.);
#29558=ORIENTED_EDGE('',*,*,#22649,.T.);
#29559=ORIENTED_EDGE('',*,*,#22650,.F.);
#29560=ORIENTED_EDGE('',*,*,#22233,.F.);
#29561=ORIENTED_EDGE('',*,*,#22229,.T.);
#29562=ORIENTED_EDGE('',*,*,#22650,.T.);
#29563=ORIENTED_EDGE('',*,*,#22651,.T.);
#29564=ORIENTED_EDGE('',*,*,#22652,.T.);
#29565=ORIENTED_EDGE('',*,*,#22653,.T.);
#29566=ORIENTED_EDGE('',*,*,#22654,.T.);
#29567=ORIENTED_EDGE('',*,*,#22655,.T.);
#29568=ORIENTED_EDGE('',*,*,#22656,.T.);
#29569=ORIENTED_EDGE('',*,*,#22657,.T.);
#29570=ORIENTED_EDGE('',*,*,#22658,.T.);
#29571=ORIENTED_EDGE('',*,*,#22659,.T.);
#29572=ORIENTED_EDGE('',*,*,#22238,.T.);
#29573=ORIENTED_EDGE('',*,*,#22660,.F.);
#29574=ORIENTED_EDGE('',*,*,#22661,.F.);
#29575=ORIENTED_EDGE('',*,*,#22662,.F.);
#29576=ORIENTED_EDGE('',*,*,#22663,.F.);
#29577=ORIENTED_EDGE('',*,*,#22664,.F.);
#29578=ORIENTED_EDGE('',*,*,#22665,.F.);
#29579=ORIENTED_EDGE('',*,*,#22666,.F.);
#29580=ORIENTED_EDGE('',*,*,#22667,.F.);
#29581=ORIENTED_EDGE('',*,*,#22668,.F.);
#29582=ORIENTED_EDGE('',*,*,#22669,.F.);
#29583=ORIENTED_EDGE('',*,*,#22230,.F.);
#29584=ORIENTED_EDGE('',*,*,#22231,.F.);
#29585=ORIENTED_EDGE('',*,*,#22669,.T.);
#29586=ORIENTED_EDGE('',*,*,#22670,.T.);
#29587=ORIENTED_EDGE('',*,*,#22637,.F.);
#29588=ORIENTED_EDGE('',*,*,#22671,.T.);
#29589=ORIENTED_EDGE('',*,*,#22537,.T.);
#29590=ORIENTED_EDGE('',*,*,#22672,.F.);
#29591=ORIENTED_EDGE('',*,*,#22234,.F.);
#29592=ORIENTED_EDGE('',*,*,#22235,.T.);
#29593=ORIENTED_EDGE('',*,*,#22673,.F.);
#29594=ORIENTED_EDGE('',*,*,#22674,.F.);
#29595=ORIENTED_EDGE('',*,*,#22675,.F.);
#29596=ORIENTED_EDGE('',*,*,#22676,.F.);
#29597=ORIENTED_EDGE('',*,*,#22677,.F.);
#29598=ORIENTED_EDGE('',*,*,#22678,.F.);
#29599=ORIENTED_EDGE('',*,*,#22679,.F.);
#29600=ORIENTED_EDGE('',*,*,#22680,.F.);
#29601=ORIENTED_EDGE('',*,*,#22681,.F.);
#29602=ORIENTED_EDGE('',*,*,#22682,.F.);
#29603=ORIENTED_EDGE('',*,*,#22239,.F.);
#29604=ORIENTED_EDGE('',*,*,#22236,.F.);
#29605=ORIENTED_EDGE('',*,*,#22244,.T.);
#29606=ORIENTED_EDGE('',*,*,#22683,.T.);
#29607=ORIENTED_EDGE('',*,*,#22684,.T.);
#29608=ORIENTED_EDGE('',*,*,#22685,.T.);
#29609=ORIENTED_EDGE('',*,*,#22686,.T.);
#29610=ORIENTED_EDGE('',*,*,#22687,.T.);
#29611=ORIENTED_EDGE('',*,*,#22688,.T.);
#29612=ORIENTED_EDGE('',*,*,#22689,.T.);
#29613=ORIENTED_EDGE('',*,*,#22690,.T.);
#29614=ORIENTED_EDGE('',*,*,#22691,.T.);
#29615=ORIENTED_EDGE('',*,*,#22692,.T.);
#29616=ORIENTED_EDGE('',*,*,#22693,.T.);
#29617=ORIENTED_EDGE('',*,*,#22521,.T.);
#29618=ORIENTED_EDGE('',*,*,#22694,.F.);
#29619=ORIENTED_EDGE('',*,*,#22237,.F.);
#29620=ORIENTED_EDGE('',*,*,#22240,.F.);
#29621=ORIENTED_EDGE('',*,*,#22682,.T.);
#29622=ORIENTED_EDGE('',*,*,#22695,.T.);
#29623=ORIENTED_EDGE('',*,*,#22696,.F.);
#29624=ORIENTED_EDGE('',*,*,#22245,.F.);
#29625=ORIENTED_EDGE('',*,*,#22241,.T.);
#29626=ORIENTED_EDGE('',*,*,#22696,.T.);
#29627=ORIENTED_EDGE('',*,*,#22697,.T.);
#29628=ORIENTED_EDGE('',*,*,#22698,.T.);
#29629=ORIENTED_EDGE('',*,*,#22699,.T.);
#29630=ORIENTED_EDGE('',*,*,#22700,.T.);
#29631=ORIENTED_EDGE('',*,*,#22701,.T.);
#29632=ORIENTED_EDGE('',*,*,#22702,.T.);
#29633=ORIENTED_EDGE('',*,*,#22703,.T.);
#29634=ORIENTED_EDGE('',*,*,#22704,.T.);
#29635=ORIENTED_EDGE('',*,*,#22705,.T.);
#29636=ORIENTED_EDGE('',*,*,#22250,.T.);
#29637=ORIENTED_EDGE('',*,*,#22706,.F.);
#29638=ORIENTED_EDGE('',*,*,#22707,.F.);
#29639=ORIENTED_EDGE('',*,*,#22708,.F.);
#29640=ORIENTED_EDGE('',*,*,#22709,.F.);
#29641=ORIENTED_EDGE('',*,*,#22710,.F.);
#29642=ORIENTED_EDGE('',*,*,#22711,.F.);
#29643=ORIENTED_EDGE('',*,*,#22712,.F.);
#29644=ORIENTED_EDGE('',*,*,#22713,.F.);
#29645=ORIENTED_EDGE('',*,*,#22714,.F.);
#29646=ORIENTED_EDGE('',*,*,#22715,.F.);
#29647=ORIENTED_EDGE('',*,*,#22242,.F.);
#29648=ORIENTED_EDGE('',*,*,#22243,.F.);
#29649=ORIENTED_EDGE('',*,*,#22715,.T.);
#29650=ORIENTED_EDGE('',*,*,#22716,.T.);
#29651=ORIENTED_EDGE('',*,*,#22683,.F.);
#29652=ORIENTED_EDGE('',*,*,#22717,.T.);
#29653=ORIENTED_EDGE('',*,*,#22535,.T.);
#29654=ORIENTED_EDGE('',*,*,#22718,.F.);
#29655=ORIENTED_EDGE('',*,*,#22246,.F.);
#29656=ORIENTED_EDGE('',*,*,#22247,.T.);
#29657=ORIENTED_EDGE('',*,*,#22719,.F.);
#29658=ORIENTED_EDGE('',*,*,#22720,.F.);
#29659=ORIENTED_EDGE('',*,*,#22721,.F.);
#29660=ORIENTED_EDGE('',*,*,#22722,.F.);
#29661=ORIENTED_EDGE('',*,*,#22723,.F.);
#29662=ORIENTED_EDGE('',*,*,#22724,.F.);
#29663=ORIENTED_EDGE('',*,*,#22725,.F.);
#29664=ORIENTED_EDGE('',*,*,#22726,.F.);
#29665=ORIENTED_EDGE('',*,*,#22727,.F.);
#29666=ORIENTED_EDGE('',*,*,#22728,.F.);
#29667=ORIENTED_EDGE('',*,*,#22251,.F.);
#29668=ORIENTED_EDGE('',*,*,#22248,.F.);
#29669=ORIENTED_EDGE('',*,*,#22256,.T.);
#29670=ORIENTED_EDGE('',*,*,#22729,.T.);
#29671=ORIENTED_EDGE('',*,*,#22730,.T.);
#29672=ORIENTED_EDGE('',*,*,#22731,.T.);
#29673=ORIENTED_EDGE('',*,*,#22732,.T.);
#29674=ORIENTED_EDGE('',*,*,#22733,.T.);
#29675=ORIENTED_EDGE('',*,*,#22734,.T.);
#29676=ORIENTED_EDGE('',*,*,#22735,.T.);
#29677=ORIENTED_EDGE('',*,*,#22736,.T.);
#29678=ORIENTED_EDGE('',*,*,#22737,.T.);
#29679=ORIENTED_EDGE('',*,*,#22738,.T.);
#29680=ORIENTED_EDGE('',*,*,#22739,.T.);
#29681=ORIENTED_EDGE('',*,*,#22523,.T.);
#29682=ORIENTED_EDGE('',*,*,#22740,.F.);
#29683=ORIENTED_EDGE('',*,*,#22249,.F.);
#29684=ORIENTED_EDGE('',*,*,#22252,.F.);
#29685=ORIENTED_EDGE('',*,*,#22728,.T.);
#29686=ORIENTED_EDGE('',*,*,#22741,.T.);
#29687=ORIENTED_EDGE('',*,*,#22742,.F.);
#29688=ORIENTED_EDGE('',*,*,#22257,.F.);
#29689=ORIENTED_EDGE('',*,*,#22253,.T.);
#29690=ORIENTED_EDGE('',*,*,#22742,.T.);
#29691=ORIENTED_EDGE('',*,*,#22743,.T.);
#29692=ORIENTED_EDGE('',*,*,#22744,.T.);
#29693=ORIENTED_EDGE('',*,*,#22745,.T.);
#29694=ORIENTED_EDGE('',*,*,#22746,.T.);
#29695=ORIENTED_EDGE('',*,*,#22747,.T.);
#29696=ORIENTED_EDGE('',*,*,#22748,.T.);
#29697=ORIENTED_EDGE('',*,*,#22749,.T.);
#29698=ORIENTED_EDGE('',*,*,#22750,.T.);
#29699=ORIENTED_EDGE('',*,*,#22751,.T.);
#29700=ORIENTED_EDGE('',*,*,#22262,.T.);
#29701=ORIENTED_EDGE('',*,*,#22752,.F.);
#29702=ORIENTED_EDGE('',*,*,#22753,.F.);
#29703=ORIENTED_EDGE('',*,*,#22754,.F.);
#29704=ORIENTED_EDGE('',*,*,#22755,.F.);
#29705=ORIENTED_EDGE('',*,*,#22756,.F.);
#29706=ORIENTED_EDGE('',*,*,#22757,.F.);
#29707=ORIENTED_EDGE('',*,*,#22758,.F.);
#29708=ORIENTED_EDGE('',*,*,#22759,.F.);
#29709=ORIENTED_EDGE('',*,*,#22760,.F.);
#29710=ORIENTED_EDGE('',*,*,#22761,.F.);
#29711=ORIENTED_EDGE('',*,*,#22254,.F.);
#29712=ORIENTED_EDGE('',*,*,#22255,.F.);
#29713=ORIENTED_EDGE('',*,*,#22761,.T.);
#29714=ORIENTED_EDGE('',*,*,#22762,.T.);
#29715=ORIENTED_EDGE('',*,*,#22729,.F.);
#29716=ORIENTED_EDGE('',*,*,#22763,.T.);
#29717=ORIENTED_EDGE('',*,*,#22533,.T.);
#29718=ORIENTED_EDGE('',*,*,#22764,.F.);
#29719=ORIENTED_EDGE('',*,*,#22258,.F.);
#29720=ORIENTED_EDGE('',*,*,#22259,.T.);
#29721=ORIENTED_EDGE('',*,*,#22765,.F.);
#29722=ORIENTED_EDGE('',*,*,#22766,.F.);
#29723=ORIENTED_EDGE('',*,*,#22767,.F.);
#29724=ORIENTED_EDGE('',*,*,#22768,.F.);
#29725=ORIENTED_EDGE('',*,*,#22769,.F.);
#29726=ORIENTED_EDGE('',*,*,#22770,.F.);
#29727=ORIENTED_EDGE('',*,*,#22771,.F.);
#29728=ORIENTED_EDGE('',*,*,#22772,.F.);
#29729=ORIENTED_EDGE('',*,*,#22773,.F.);
#29730=ORIENTED_EDGE('',*,*,#22774,.F.);
#29731=ORIENTED_EDGE('',*,*,#22263,.F.);
#29732=ORIENTED_EDGE('',*,*,#22260,.F.);
#29733=ORIENTED_EDGE('',*,*,#22268,.T.);
#29734=ORIENTED_EDGE('',*,*,#22775,.T.);
#29735=ORIENTED_EDGE('',*,*,#22776,.T.);
#29736=ORIENTED_EDGE('',*,*,#22777,.T.);
#29737=ORIENTED_EDGE('',*,*,#22778,.T.);
#29738=ORIENTED_EDGE('',*,*,#22779,.T.);
#29739=ORIENTED_EDGE('',*,*,#22780,.T.);
#29740=ORIENTED_EDGE('',*,*,#22781,.T.);
#29741=ORIENTED_EDGE('',*,*,#22782,.T.);
#29742=ORIENTED_EDGE('',*,*,#22783,.T.);
#29743=ORIENTED_EDGE('',*,*,#22784,.T.);
#29744=ORIENTED_EDGE('',*,*,#22785,.T.);
#29745=ORIENTED_EDGE('',*,*,#22525,.T.);
#29746=ORIENTED_EDGE('',*,*,#22786,.F.);
#29747=ORIENTED_EDGE('',*,*,#22261,.F.);
#29748=ORIENTED_EDGE('',*,*,#22264,.F.);
#29749=ORIENTED_EDGE('',*,*,#22774,.T.);
#29750=ORIENTED_EDGE('',*,*,#22787,.T.);
#29751=ORIENTED_EDGE('',*,*,#22788,.F.);
#29752=ORIENTED_EDGE('',*,*,#22269,.F.);
#29753=ORIENTED_EDGE('',*,*,#22265,.T.);
#29754=ORIENTED_EDGE('',*,*,#22788,.T.);
#29755=ORIENTED_EDGE('',*,*,#22789,.T.);
#29756=ORIENTED_EDGE('',*,*,#22790,.T.);
#29757=ORIENTED_EDGE('',*,*,#22791,.T.);
#29758=ORIENTED_EDGE('',*,*,#22792,.T.);
#29759=ORIENTED_EDGE('',*,*,#22793,.T.);
#29760=ORIENTED_EDGE('',*,*,#22794,.T.);
#29761=ORIENTED_EDGE('',*,*,#22795,.T.);
#29762=ORIENTED_EDGE('',*,*,#22796,.T.);
#29763=ORIENTED_EDGE('',*,*,#22797,.T.);
#29764=ORIENTED_EDGE('',*,*,#22274,.T.);
#29765=ORIENTED_EDGE('',*,*,#22798,.F.);
#29766=ORIENTED_EDGE('',*,*,#22799,.F.);
#29767=ORIENTED_EDGE('',*,*,#22800,.F.);
#29768=ORIENTED_EDGE('',*,*,#22801,.F.);
#29769=ORIENTED_EDGE('',*,*,#22802,.F.);
#29770=ORIENTED_EDGE('',*,*,#22803,.F.);
#29771=ORIENTED_EDGE('',*,*,#22804,.F.);
#29772=ORIENTED_EDGE('',*,*,#22805,.F.);
#29773=ORIENTED_EDGE('',*,*,#22806,.F.);
#29774=ORIENTED_EDGE('',*,*,#22807,.F.);
#29775=ORIENTED_EDGE('',*,*,#22266,.F.);
#29776=ORIENTED_EDGE('',*,*,#22267,.F.);
#29777=ORIENTED_EDGE('',*,*,#22807,.T.);
#29778=ORIENTED_EDGE('',*,*,#22808,.T.);
#29779=ORIENTED_EDGE('',*,*,#22775,.F.);
#29780=ORIENTED_EDGE('',*,*,#22809,.T.);
#29781=ORIENTED_EDGE('',*,*,#22531,.T.);
#29782=ORIENTED_EDGE('',*,*,#22810,.F.);
#29783=ORIENTED_EDGE('',*,*,#22270,.F.);
#29784=ORIENTED_EDGE('',*,*,#22271,.T.);
#29785=ORIENTED_EDGE('',*,*,#22811,.F.);
#29786=ORIENTED_EDGE('',*,*,#22812,.F.);
#29787=ORIENTED_EDGE('',*,*,#22813,.F.);
#29788=ORIENTED_EDGE('',*,*,#22814,.F.);
#29789=ORIENTED_EDGE('',*,*,#22815,.F.);
#29790=ORIENTED_EDGE('',*,*,#22816,.F.);
#29791=ORIENTED_EDGE('',*,*,#22817,.F.);
#29792=ORIENTED_EDGE('',*,*,#22818,.F.);
#29793=ORIENTED_EDGE('',*,*,#22819,.F.);
#29794=ORIENTED_EDGE('',*,*,#22820,.F.);
#29795=ORIENTED_EDGE('',*,*,#22275,.F.);
#29796=ORIENTED_EDGE('',*,*,#22272,.F.);
#29797=ORIENTED_EDGE('',*,*,#22280,.T.);
#29798=ORIENTED_EDGE('',*,*,#22821,.T.);
#29799=ORIENTED_EDGE('',*,*,#22822,.T.);
#29800=ORIENTED_EDGE('',*,*,#22823,.T.);
#29801=ORIENTED_EDGE('',*,*,#22824,.T.);
#29802=ORIENTED_EDGE('',*,*,#22825,.T.);
#29803=ORIENTED_EDGE('',*,*,#22826,.T.);
#29804=ORIENTED_EDGE('',*,*,#22827,.T.);
#29805=ORIENTED_EDGE('',*,*,#22828,.T.);
#29806=ORIENTED_EDGE('',*,*,#22829,.T.);
#29807=ORIENTED_EDGE('',*,*,#22830,.T.);
#29808=ORIENTED_EDGE('',*,*,#22831,.T.);
#29809=ORIENTED_EDGE('',*,*,#22527,.T.);
#29810=ORIENTED_EDGE('',*,*,#22832,.F.);
#29811=ORIENTED_EDGE('',*,*,#22273,.F.);
#29812=ORIENTED_EDGE('',*,*,#22276,.F.);
#29813=ORIENTED_EDGE('',*,*,#22820,.T.);
#29814=ORIENTED_EDGE('',*,*,#22833,.T.);
#29815=ORIENTED_EDGE('',*,*,#22834,.F.);
#29816=ORIENTED_EDGE('',*,*,#22281,.F.);
#29817=ORIENTED_EDGE('',*,*,#22277,.T.);
#29818=ORIENTED_EDGE('',*,*,#22834,.T.);
#29819=ORIENTED_EDGE('',*,*,#22835,.T.);
#29820=ORIENTED_EDGE('',*,*,#22836,.T.);
#29821=ORIENTED_EDGE('',*,*,#22837,.T.);
#29822=ORIENTED_EDGE('',*,*,#22838,.T.);
#29823=ORIENTED_EDGE('',*,*,#22839,.T.);
#29824=ORIENTED_EDGE('',*,*,#22840,.T.);
#29825=ORIENTED_EDGE('',*,*,#22841,.T.);
#29826=ORIENTED_EDGE('',*,*,#22842,.T.);
#29827=ORIENTED_EDGE('',*,*,#22843,.T.);
#29828=ORIENTED_EDGE('',*,*,#22283,.T.);
#29829=ORIENTED_EDGE('',*,*,#22844,.F.);
#29830=ORIENTED_EDGE('',*,*,#22845,.F.);
#29831=ORIENTED_EDGE('',*,*,#22846,.F.);
#29832=ORIENTED_EDGE('',*,*,#22847,.F.);
#29833=ORIENTED_EDGE('',*,*,#22848,.F.);
#29834=ORIENTED_EDGE('',*,*,#22849,.F.);
#29835=ORIENTED_EDGE('',*,*,#22850,.F.);
#29836=ORIENTED_EDGE('',*,*,#22851,.F.);
#29837=ORIENTED_EDGE('',*,*,#22852,.F.);
#29838=ORIENTED_EDGE('',*,*,#22853,.F.);
#29839=ORIENTED_EDGE('',*,*,#22278,.F.);
#29840=ORIENTED_EDGE('',*,*,#22279,.F.);
#29841=ORIENTED_EDGE('',*,*,#22853,.T.);
#29842=ORIENTED_EDGE('',*,*,#22854,.T.);
#29843=ORIENTED_EDGE('',*,*,#22821,.F.);
#29844=ORIENTED_EDGE('',*,*,#22855,.T.);
#29845=ORIENTED_EDGE('',*,*,#22529,.T.);
#29846=ORIENTED_EDGE('',*,*,#22856,.F.);
#29847=ORIENTED_EDGE('',*,*,#22282,.F.);
#29848=ORIENTED_EDGE('',*,*,#22284,.F.);
#29849=ORIENTED_EDGE('',*,*,#22602,.T.);
#29850=ORIENTED_EDGE('',*,*,#22857,.T.);
#29851=ORIENTED_EDGE('',*,*,#22858,.F.);
#29852=ORIENTED_EDGE('',*,*,#22858,.T.);
#29853=ORIENTED_EDGE('',*,*,#22859,.T.);
#29854=ORIENTED_EDGE('',*,*,#22860,.F.);
#29855=ORIENTED_EDGE('',*,*,#22286,.F.);
#29856=ORIENTED_EDGE('',*,*,#22287,.T.);
#29857=ORIENTED_EDGE('',*,*,#22861,.F.);
#29858=ORIENTED_EDGE('',*,*,#22862,.F.);
#29859=ORIENTED_EDGE('',*,*,#22863,.F.);
#29860=ORIENTED_EDGE('',*,*,#22864,.F.);
#29861=ORIENTED_EDGE('',*,*,#22865,.F.);
#29862=ORIENTED_EDGE('',*,*,#22866,.F.);
#29863=ORIENTED_EDGE('',*,*,#22867,.F.);
#29864=ORIENTED_EDGE('',*,*,#22868,.F.);
#29865=ORIENTED_EDGE('',*,*,#22869,.F.);
#29866=ORIENTED_EDGE('',*,*,#22870,.F.);
#29867=ORIENTED_EDGE('',*,*,#22291,.F.);
#29868=ORIENTED_EDGE('',*,*,#22288,.F.);
#29869=ORIENTED_EDGE('',*,*,#22296,.T.);
#29870=ORIENTED_EDGE('',*,*,#22871,.T.);
#29871=ORIENTED_EDGE('',*,*,#22872,.T.);
#29872=ORIENTED_EDGE('',*,*,#22873,.T.);
#29873=ORIENTED_EDGE('',*,*,#22874,.T.);
#29874=ORIENTED_EDGE('',*,*,#22875,.T.);
#29875=ORIENTED_EDGE('',*,*,#22876,.T.);
#29876=ORIENTED_EDGE('',*,*,#22877,.T.);
#29877=ORIENTED_EDGE('',*,*,#22878,.T.);
#29878=ORIENTED_EDGE('',*,*,#22879,.T.);
#29879=ORIENTED_EDGE('',*,*,#22880,.T.);
#29880=ORIENTED_EDGE('',*,*,#22881,.T.);
#29881=ORIENTED_EDGE('',*,*,#22882,.T.);
#29882=ORIENTED_EDGE('',*,*,#22883,.F.);
#29883=ORIENTED_EDGE('',*,*,#22289,.F.);
#29884=ORIENTED_EDGE('',*,*,#22292,.F.);
#29885=ORIENTED_EDGE('',*,*,#22870,.T.);
#29886=ORIENTED_EDGE('',*,*,#22884,.T.);
#29887=ORIENTED_EDGE('',*,*,#22885,.F.);
#29888=ORIENTED_EDGE('',*,*,#22297,.F.);
#29889=ORIENTED_EDGE('',*,*,#22293,.T.);
#29890=ORIENTED_EDGE('',*,*,#22885,.T.);
#29891=ORIENTED_EDGE('',*,*,#22886,.T.);
#29892=ORIENTED_EDGE('',*,*,#22887,.T.);
#29893=ORIENTED_EDGE('',*,*,#22888,.T.);
#29894=ORIENTED_EDGE('',*,*,#22889,.T.);
#29895=ORIENTED_EDGE('',*,*,#22890,.T.);
#29896=ORIENTED_EDGE('',*,*,#22891,.T.);
#29897=ORIENTED_EDGE('',*,*,#22892,.T.);
#29898=ORIENTED_EDGE('',*,*,#22893,.T.);
#29899=ORIENTED_EDGE('',*,*,#22894,.T.);
#29900=ORIENTED_EDGE('',*,*,#22302,.T.);
#29901=ORIENTED_EDGE('',*,*,#22895,.F.);
#29902=ORIENTED_EDGE('',*,*,#22896,.F.);
#29903=ORIENTED_EDGE('',*,*,#22897,.F.);
#29904=ORIENTED_EDGE('',*,*,#22898,.F.);
#29905=ORIENTED_EDGE('',*,*,#22899,.F.);
#29906=ORIENTED_EDGE('',*,*,#22900,.F.);
#29907=ORIENTED_EDGE('',*,*,#22901,.F.);
#29908=ORIENTED_EDGE('',*,*,#22902,.F.);
#29909=ORIENTED_EDGE('',*,*,#22903,.F.);
#29910=ORIENTED_EDGE('',*,*,#22904,.F.);
#29911=ORIENTED_EDGE('',*,*,#22294,.F.);
#29912=ORIENTED_EDGE('',*,*,#22295,.F.);
#29913=ORIENTED_EDGE('',*,*,#22904,.T.);
#29914=ORIENTED_EDGE('',*,*,#22905,.T.);
#29915=ORIENTED_EDGE('',*,*,#22871,.F.);
#29916=ORIENTED_EDGE('',*,*,#22906,.T.);
#29917=ORIENTED_EDGE('',*,*,#22907,.T.);
#29918=ORIENTED_EDGE('',*,*,#22908,.F.);
#29919=ORIENTED_EDGE('',*,*,#22298,.F.);
#29920=ORIENTED_EDGE('',*,*,#22299,.T.);
#29921=ORIENTED_EDGE('',*,*,#22909,.F.);
#29922=ORIENTED_EDGE('',*,*,#22910,.F.);
#29923=ORIENTED_EDGE('',*,*,#22911,.F.);
#29924=ORIENTED_EDGE('',*,*,#22912,.F.);
#29925=ORIENTED_EDGE('',*,*,#22913,.F.);
#29926=ORIENTED_EDGE('',*,*,#22914,.F.);
#29927=ORIENTED_EDGE('',*,*,#22915,.F.);
#29928=ORIENTED_EDGE('',*,*,#22916,.F.);
#29929=ORIENTED_EDGE('',*,*,#22917,.F.);
#29930=ORIENTED_EDGE('',*,*,#22918,.F.);
#29931=ORIENTED_EDGE('',*,*,#22303,.F.);
#29932=ORIENTED_EDGE('',*,*,#22300,.F.);
#29933=ORIENTED_EDGE('',*,*,#22308,.T.);
#29934=ORIENTED_EDGE('',*,*,#22919,.T.);
#29935=ORIENTED_EDGE('',*,*,#22920,.T.);
#29936=ORIENTED_EDGE('',*,*,#22921,.T.);
#29937=ORIENTED_EDGE('',*,*,#22922,.T.);
#29938=ORIENTED_EDGE('',*,*,#22923,.T.);
#29939=ORIENTED_EDGE('',*,*,#22924,.T.);
#29940=ORIENTED_EDGE('',*,*,#22925,.T.);
#29941=ORIENTED_EDGE('',*,*,#22926,.T.);
#29942=ORIENTED_EDGE('',*,*,#22927,.T.);
#29943=ORIENTED_EDGE('',*,*,#22928,.T.);
#29944=ORIENTED_EDGE('',*,*,#22929,.T.);
#29945=ORIENTED_EDGE('',*,*,#22930,.T.);
#29946=ORIENTED_EDGE('',*,*,#22931,.F.);
#29947=ORIENTED_EDGE('',*,*,#22301,.F.);
#29948=ORIENTED_EDGE('',*,*,#22304,.F.);
#29949=ORIENTED_EDGE('',*,*,#22918,.T.);
#29950=ORIENTED_EDGE('',*,*,#22932,.T.);
#29951=ORIENTED_EDGE('',*,*,#22933,.F.);
#29952=ORIENTED_EDGE('',*,*,#22309,.F.);
#29953=ORIENTED_EDGE('',*,*,#22305,.T.);
#29954=ORIENTED_EDGE('',*,*,#22933,.T.);
#29955=ORIENTED_EDGE('',*,*,#22934,.T.);
#29956=ORIENTED_EDGE('',*,*,#22935,.T.);
#29957=ORIENTED_EDGE('',*,*,#22936,.T.);
#29958=ORIENTED_EDGE('',*,*,#22937,.T.);
#29959=ORIENTED_EDGE('',*,*,#22938,.T.);
#29960=ORIENTED_EDGE('',*,*,#22939,.T.);
#29961=ORIENTED_EDGE('',*,*,#22940,.T.);
#29962=ORIENTED_EDGE('',*,*,#22941,.T.);
#29963=ORIENTED_EDGE('',*,*,#22942,.T.);
#29964=ORIENTED_EDGE('',*,*,#22314,.T.);
#29965=ORIENTED_EDGE('',*,*,#22943,.F.);
#29966=ORIENTED_EDGE('',*,*,#22944,.F.);
#29967=ORIENTED_EDGE('',*,*,#22945,.F.);
#29968=ORIENTED_EDGE('',*,*,#22946,.F.);
#29969=ORIENTED_EDGE('',*,*,#22947,.F.);
#29970=ORIENTED_EDGE('',*,*,#22948,.F.);
#29971=ORIENTED_EDGE('',*,*,#22949,.F.);
#29972=ORIENTED_EDGE('',*,*,#22950,.F.);
#29973=ORIENTED_EDGE('',*,*,#22951,.F.);
#29974=ORIENTED_EDGE('',*,*,#22952,.F.);
#29975=ORIENTED_EDGE('',*,*,#22306,.F.);
#29976=ORIENTED_EDGE('',*,*,#22307,.F.);
#29977=ORIENTED_EDGE('',*,*,#22952,.T.);
#29978=ORIENTED_EDGE('',*,*,#22953,.T.);
#29979=ORIENTED_EDGE('',*,*,#22919,.F.);
#29980=ORIENTED_EDGE('',*,*,#22954,.T.);
#29981=ORIENTED_EDGE('',*,*,#22955,.T.);
#29982=ORIENTED_EDGE('',*,*,#22956,.F.);
#29983=ORIENTED_EDGE('',*,*,#22310,.F.);
#29984=ORIENTED_EDGE('',*,*,#22311,.T.);
#29985=ORIENTED_EDGE('',*,*,#22957,.F.);
#29986=ORIENTED_EDGE('',*,*,#22958,.F.);
#29987=ORIENTED_EDGE('',*,*,#22959,.F.);
#29988=ORIENTED_EDGE('',*,*,#22960,.F.);
#29989=ORIENTED_EDGE('',*,*,#22961,.F.);
#29990=ORIENTED_EDGE('',*,*,#22962,.F.);
#29991=ORIENTED_EDGE('',*,*,#22963,.F.);
#29992=ORIENTED_EDGE('',*,*,#22964,.F.);
#29993=ORIENTED_EDGE('',*,*,#22965,.F.);
#29994=ORIENTED_EDGE('',*,*,#22966,.F.);
#29995=ORIENTED_EDGE('',*,*,#22315,.F.);
#29996=ORIENTED_EDGE('',*,*,#22312,.F.);
#29997=ORIENTED_EDGE('',*,*,#22320,.T.);
#29998=ORIENTED_EDGE('',*,*,#22967,.T.);
#29999=ORIENTED_EDGE('',*,*,#22968,.T.);
#30000=ORIENTED_EDGE('',*,*,#22969,.T.);
#30001=ORIENTED_EDGE('',*,*,#22970,.T.);
#30002=ORIENTED_EDGE('',*,*,#22971,.T.);
#30003=ORIENTED_EDGE('',*,*,#22972,.T.);
#30004=ORIENTED_EDGE('',*,*,#22973,.T.);
#30005=ORIENTED_EDGE('',*,*,#22974,.T.);
#30006=ORIENTED_EDGE('',*,*,#22975,.T.);
#30007=ORIENTED_EDGE('',*,*,#22976,.T.);
#30008=ORIENTED_EDGE('',*,*,#22977,.T.);
#30009=ORIENTED_EDGE('',*,*,#22978,.T.);
#30010=ORIENTED_EDGE('',*,*,#22979,.F.);
#30011=ORIENTED_EDGE('',*,*,#22313,.F.);
#30012=ORIENTED_EDGE('',*,*,#22316,.F.);
#30013=ORIENTED_EDGE('',*,*,#22966,.T.);
#30014=ORIENTED_EDGE('',*,*,#22980,.T.);
#30015=ORIENTED_EDGE('',*,*,#22981,.F.);
#30016=ORIENTED_EDGE('',*,*,#22321,.F.);
#30017=ORIENTED_EDGE('',*,*,#22317,.T.);
#30018=ORIENTED_EDGE('',*,*,#22981,.T.);
#30019=ORIENTED_EDGE('',*,*,#22982,.T.);
#30020=ORIENTED_EDGE('',*,*,#22983,.T.);
#30021=ORIENTED_EDGE('',*,*,#22984,.T.);
#30022=ORIENTED_EDGE('',*,*,#22985,.T.);
#30023=ORIENTED_EDGE('',*,*,#22986,.T.);
#30024=ORIENTED_EDGE('',*,*,#22987,.T.);
#30025=ORIENTED_EDGE('',*,*,#22988,.T.);
#30026=ORIENTED_EDGE('',*,*,#22989,.T.);
#30027=ORIENTED_EDGE('',*,*,#22990,.T.);
#30028=ORIENTED_EDGE('',*,*,#22326,.T.);
#30029=ORIENTED_EDGE('',*,*,#22991,.F.);
#30030=ORIENTED_EDGE('',*,*,#22992,.F.);
#30031=ORIENTED_EDGE('',*,*,#22993,.F.);
#30032=ORIENTED_EDGE('',*,*,#22994,.F.);
#30033=ORIENTED_EDGE('',*,*,#22995,.F.);
#30034=ORIENTED_EDGE('',*,*,#22996,.F.);
#30035=ORIENTED_EDGE('',*,*,#22997,.F.);
#30036=ORIENTED_EDGE('',*,*,#22998,.F.);
#30037=ORIENTED_EDGE('',*,*,#22999,.F.);
#30038=ORIENTED_EDGE('',*,*,#23000,.F.);
#30039=ORIENTED_EDGE('',*,*,#22318,.F.);
#30040=ORIENTED_EDGE('',*,*,#22319,.F.);
#30041=ORIENTED_EDGE('',*,*,#23000,.T.);
#30042=ORIENTED_EDGE('',*,*,#23001,.T.);
#30043=ORIENTED_EDGE('',*,*,#22967,.F.);
#30044=ORIENTED_EDGE('',*,*,#23002,.T.);
#30045=ORIENTED_EDGE('',*,*,#23003,.T.);
#30046=ORIENTED_EDGE('',*,*,#23004,.F.);
#30047=ORIENTED_EDGE('',*,*,#22322,.F.);
#30048=ORIENTED_EDGE('',*,*,#22323,.T.);
#30049=ORIENTED_EDGE('',*,*,#23005,.F.);
#30050=ORIENTED_EDGE('',*,*,#23006,.F.);
#30051=ORIENTED_EDGE('',*,*,#23007,.F.);
#30052=ORIENTED_EDGE('',*,*,#23008,.F.);
#30053=ORIENTED_EDGE('',*,*,#23009,.F.);
#30054=ORIENTED_EDGE('',*,*,#23010,.F.);
#30055=ORIENTED_EDGE('',*,*,#23011,.F.);
#30056=ORIENTED_EDGE('',*,*,#23012,.F.);
#30057=ORIENTED_EDGE('',*,*,#23013,.F.);
#30058=ORIENTED_EDGE('',*,*,#23014,.F.);
#30059=ORIENTED_EDGE('',*,*,#22327,.F.);
#30060=ORIENTED_EDGE('',*,*,#22324,.F.);
#30061=ORIENTED_EDGE('',*,*,#22332,.T.);
#30062=ORIENTED_EDGE('',*,*,#23015,.T.);
#30063=ORIENTED_EDGE('',*,*,#23016,.T.);
#30064=ORIENTED_EDGE('',*,*,#23017,.T.);
#30065=ORIENTED_EDGE('',*,*,#23018,.T.);
#30066=ORIENTED_EDGE('',*,*,#23019,.T.);
#30067=ORIENTED_EDGE('',*,*,#23020,.T.);
#30068=ORIENTED_EDGE('',*,*,#23021,.T.);
#30069=ORIENTED_EDGE('',*,*,#23022,.T.);
#30070=ORIENTED_EDGE('',*,*,#23023,.T.);
#30071=ORIENTED_EDGE('',*,*,#23024,.T.);
#30072=ORIENTED_EDGE('',*,*,#23025,.T.);
#30073=ORIENTED_EDGE('',*,*,#23026,.T.);
#30074=ORIENTED_EDGE('',*,*,#23027,.F.);
#30075=ORIENTED_EDGE('',*,*,#22325,.F.);
#30076=ORIENTED_EDGE('',*,*,#22328,.F.);
#30077=ORIENTED_EDGE('',*,*,#23014,.T.);
#30078=ORIENTED_EDGE('',*,*,#23028,.T.);
#30079=ORIENTED_EDGE('',*,*,#23029,.F.);
#30080=ORIENTED_EDGE('',*,*,#22333,.F.);
#30081=ORIENTED_EDGE('',*,*,#22329,.T.);
#30082=ORIENTED_EDGE('',*,*,#23029,.T.);
#30083=ORIENTED_EDGE('',*,*,#23030,.T.);
#30084=ORIENTED_EDGE('',*,*,#23031,.T.);
#30085=ORIENTED_EDGE('',*,*,#23032,.T.);
#30086=ORIENTED_EDGE('',*,*,#23033,.T.);
#30087=ORIENTED_EDGE('',*,*,#23034,.T.);
#30088=ORIENTED_EDGE('',*,*,#23035,.T.);
#30089=ORIENTED_EDGE('',*,*,#23036,.T.);
#30090=ORIENTED_EDGE('',*,*,#23037,.T.);
#30091=ORIENTED_EDGE('',*,*,#23038,.T.);
#30092=ORIENTED_EDGE('',*,*,#22338,.T.);
#30093=ORIENTED_EDGE('',*,*,#23039,.F.);
#30094=ORIENTED_EDGE('',*,*,#23040,.F.);
#30095=ORIENTED_EDGE('',*,*,#23041,.F.);
#30096=ORIENTED_EDGE('',*,*,#23042,.F.);
#30097=ORIENTED_EDGE('',*,*,#23043,.F.);
#30098=ORIENTED_EDGE('',*,*,#23044,.F.);
#30099=ORIENTED_EDGE('',*,*,#23045,.F.);
#30100=ORIENTED_EDGE('',*,*,#23046,.F.);
#30101=ORIENTED_EDGE('',*,*,#23047,.F.);
#30102=ORIENTED_EDGE('',*,*,#23048,.F.);
#30103=ORIENTED_EDGE('',*,*,#22330,.F.);
#30104=ORIENTED_EDGE('',*,*,#22331,.F.);
#30105=ORIENTED_EDGE('',*,*,#23048,.T.);
#30106=ORIENTED_EDGE('',*,*,#23049,.T.);
#30107=ORIENTED_EDGE('',*,*,#23015,.F.);
#30108=ORIENTED_EDGE('',*,*,#23050,.T.);
#30109=ORIENTED_EDGE('',*,*,#23051,.T.);
#30110=ORIENTED_EDGE('',*,*,#23052,.F.);
#30111=ORIENTED_EDGE('',*,*,#22334,.F.);
#30112=ORIENTED_EDGE('',*,*,#22335,.T.);
#30113=ORIENTED_EDGE('',*,*,#23053,.F.);
#30114=ORIENTED_EDGE('',*,*,#23054,.F.);
#30115=ORIENTED_EDGE('',*,*,#23055,.F.);
#30116=ORIENTED_EDGE('',*,*,#23056,.F.);
#30117=ORIENTED_EDGE('',*,*,#23057,.F.);
#30118=ORIENTED_EDGE('',*,*,#23058,.F.);
#30119=ORIENTED_EDGE('',*,*,#23059,.F.);
#30120=ORIENTED_EDGE('',*,*,#23060,.F.);
#30121=ORIENTED_EDGE('',*,*,#23061,.F.);
#30122=ORIENTED_EDGE('',*,*,#23062,.F.);
#30123=ORIENTED_EDGE('',*,*,#22339,.F.);
#30124=ORIENTED_EDGE('',*,*,#22336,.F.);
#30125=ORIENTED_EDGE('',*,*,#22344,.T.);
#30126=ORIENTED_EDGE('',*,*,#23063,.T.);
#30127=ORIENTED_EDGE('',*,*,#23064,.T.);
#30128=ORIENTED_EDGE('',*,*,#23065,.T.);
#30129=ORIENTED_EDGE('',*,*,#23066,.T.);
#30130=ORIENTED_EDGE('',*,*,#23067,.T.);
#30131=ORIENTED_EDGE('',*,*,#23068,.T.);
#30132=ORIENTED_EDGE('',*,*,#23069,.T.);
#30133=ORIENTED_EDGE('',*,*,#23070,.T.);
#30134=ORIENTED_EDGE('',*,*,#23071,.T.);
#30135=ORIENTED_EDGE('',*,*,#23072,.T.);
#30136=ORIENTED_EDGE('',*,*,#23073,.T.);
#30137=ORIENTED_EDGE('',*,*,#23074,.T.);
#30138=ORIENTED_EDGE('',*,*,#23075,.F.);
#30139=ORIENTED_EDGE('',*,*,#22337,.F.);
#30140=ORIENTED_EDGE('',*,*,#22340,.F.);
#30141=ORIENTED_EDGE('',*,*,#23062,.T.);
#30142=ORIENTED_EDGE('',*,*,#23076,.T.);
#30143=ORIENTED_EDGE('',*,*,#23077,.F.);
#30144=ORIENTED_EDGE('',*,*,#22345,.F.);
#30145=ORIENTED_EDGE('',*,*,#22341,.T.);
#30146=ORIENTED_EDGE('',*,*,#23077,.T.);
#30147=ORIENTED_EDGE('',*,*,#23078,.T.);
#30148=ORIENTED_EDGE('',*,*,#23079,.T.);
#30149=ORIENTED_EDGE('',*,*,#23080,.T.);
#30150=ORIENTED_EDGE('',*,*,#23081,.T.);
#30151=ORIENTED_EDGE('',*,*,#23082,.T.);
#30152=ORIENTED_EDGE('',*,*,#23083,.T.);
#30153=ORIENTED_EDGE('',*,*,#23084,.T.);
#30154=ORIENTED_EDGE('',*,*,#23085,.T.);
#30155=ORIENTED_EDGE('',*,*,#23086,.T.);
#30156=ORIENTED_EDGE('',*,*,#22350,.T.);
#30157=ORIENTED_EDGE('',*,*,#23087,.F.);
#30158=ORIENTED_EDGE('',*,*,#23088,.F.);
#30159=ORIENTED_EDGE('',*,*,#23089,.F.);
#30160=ORIENTED_EDGE('',*,*,#23090,.F.);
#30161=ORIENTED_EDGE('',*,*,#23091,.F.);
#30162=ORIENTED_EDGE('',*,*,#23092,.F.);
#30163=ORIENTED_EDGE('',*,*,#23093,.F.);
#30164=ORIENTED_EDGE('',*,*,#23094,.F.);
#30165=ORIENTED_EDGE('',*,*,#23095,.F.);
#30166=ORIENTED_EDGE('',*,*,#23096,.F.);
#30167=ORIENTED_EDGE('',*,*,#22342,.F.);
#30168=ORIENTED_EDGE('',*,*,#22343,.F.);
#30169=ORIENTED_EDGE('',*,*,#23096,.T.);
#30170=ORIENTED_EDGE('',*,*,#23097,.T.);
#30171=ORIENTED_EDGE('',*,*,#23063,.F.);
#30172=ORIENTED_EDGE('',*,*,#23098,.T.);
#30173=ORIENTED_EDGE('',*,*,#23099,.T.);
#30174=ORIENTED_EDGE('',*,*,#23100,.F.);
#30175=ORIENTED_EDGE('',*,*,#22346,.F.);
#30176=ORIENTED_EDGE('',*,*,#22347,.T.);
#30177=ORIENTED_EDGE('',*,*,#23101,.F.);
#30178=ORIENTED_EDGE('',*,*,#23102,.F.);
#30179=ORIENTED_EDGE('',*,*,#23103,.F.);
#30180=ORIENTED_EDGE('',*,*,#23104,.F.);
#30181=ORIENTED_EDGE('',*,*,#23105,.F.);
#30182=ORIENTED_EDGE('',*,*,#23106,.F.);
#30183=ORIENTED_EDGE('',*,*,#23107,.F.);
#30184=ORIENTED_EDGE('',*,*,#23108,.F.);
#30185=ORIENTED_EDGE('',*,*,#23109,.F.);
#30186=ORIENTED_EDGE('',*,*,#23110,.F.);
#30187=ORIENTED_EDGE('',*,*,#22351,.F.);
#30188=ORIENTED_EDGE('',*,*,#22348,.F.);
#30189=ORIENTED_EDGE('',*,*,#22356,.T.);
#30190=ORIENTED_EDGE('',*,*,#23111,.T.);
#30191=ORIENTED_EDGE('',*,*,#23112,.T.);
#30192=ORIENTED_EDGE('',*,*,#23113,.T.);
#30193=ORIENTED_EDGE('',*,*,#23114,.T.);
#30194=ORIENTED_EDGE('',*,*,#23115,.T.);
#30195=ORIENTED_EDGE('',*,*,#23116,.T.);
#30196=ORIENTED_EDGE('',*,*,#23117,.T.);
#30197=ORIENTED_EDGE('',*,*,#23118,.T.);
#30198=ORIENTED_EDGE('',*,*,#23119,.T.);
#30199=ORIENTED_EDGE('',*,*,#23120,.T.);
#30200=ORIENTED_EDGE('',*,*,#23121,.T.);
#30201=ORIENTED_EDGE('',*,*,#23122,.T.);
#30202=ORIENTED_EDGE('',*,*,#23123,.F.);
#30203=ORIENTED_EDGE('',*,*,#22349,.F.);
#30204=ORIENTED_EDGE('',*,*,#22352,.F.);
#30205=ORIENTED_EDGE('',*,*,#23110,.T.);
#30206=ORIENTED_EDGE('',*,*,#23124,.T.);
#30207=ORIENTED_EDGE('',*,*,#23125,.F.);
#30208=ORIENTED_EDGE('',*,*,#22357,.F.);
#30209=ORIENTED_EDGE('',*,*,#22353,.T.);
#30210=ORIENTED_EDGE('',*,*,#23125,.T.);
#30211=ORIENTED_EDGE('',*,*,#23126,.T.);
#30212=ORIENTED_EDGE('',*,*,#23127,.T.);
#30213=ORIENTED_EDGE('',*,*,#23128,.T.);
#30214=ORIENTED_EDGE('',*,*,#23129,.T.);
#30215=ORIENTED_EDGE('',*,*,#23130,.T.);
#30216=ORIENTED_EDGE('',*,*,#23131,.T.);
#30217=ORIENTED_EDGE('',*,*,#23132,.T.);
#30218=ORIENTED_EDGE('',*,*,#23133,.T.);
#30219=ORIENTED_EDGE('',*,*,#23134,.T.);
#30220=ORIENTED_EDGE('',*,*,#22359,.T.);
#30221=ORIENTED_EDGE('',*,*,#23135,.F.);
#30222=ORIENTED_EDGE('',*,*,#23136,.F.);
#30223=ORIENTED_EDGE('',*,*,#23137,.F.);
#30224=ORIENTED_EDGE('',*,*,#23138,.F.);
#30225=ORIENTED_EDGE('',*,*,#23139,.F.);
#30226=ORIENTED_EDGE('',*,*,#23140,.F.);
#30227=ORIENTED_EDGE('',*,*,#23141,.F.);
#30228=ORIENTED_EDGE('',*,*,#23142,.F.);
#30229=ORIENTED_EDGE('',*,*,#23143,.F.);
#30230=ORIENTED_EDGE('',*,*,#23144,.F.);
#30231=ORIENTED_EDGE('',*,*,#22354,.F.);
#30232=ORIENTED_EDGE('',*,*,#22355,.F.);
#30233=ORIENTED_EDGE('',*,*,#23144,.T.);
#30234=ORIENTED_EDGE('',*,*,#23145,.T.);
#30235=ORIENTED_EDGE('',*,*,#23111,.F.);
#30236=ORIENTED_EDGE('',*,*,#23146,.T.);
#30237=ORIENTED_EDGE('',*,*,#23147,.T.);
#30238=ORIENTED_EDGE('',*,*,#23148,.F.);
#30239=ORIENTED_EDGE('',*,*,#22358,.F.);
#30240=ORIENTED_EDGE('',*,*,#22360,.F.);
#30241=ORIENTED_EDGE('',*,*,#22883,.T.);
#30242=ORIENTED_EDGE('',*,*,#23149,.T.);
#30243=ORIENTED_EDGE('',*,*,#23150,.F.);
#30244=ORIENTED_EDGE('',*,*,#23150,.T.);
#30245=ORIENTED_EDGE('',*,*,#22577,.T.);
#30246=ORIENTED_EDGE('',*,*,#23151,.F.);
#30247=ORIENTED_EDGE('',*,*,#22362,.F.);
#30248=ORIENTED_EDGE('',*,*,#22363,.T.);
#30249=ORIENTED_EDGE('',*,*,#23152,.F.);
#30250=ORIENTED_EDGE('',*,*,#23153,.F.);
#30251=ORIENTED_EDGE('',*,*,#23154,.F.);
#30252=ORIENTED_EDGE('',*,*,#23155,.F.);
#30253=ORIENTED_EDGE('',*,*,#23156,.F.);
#30254=ORIENTED_EDGE('',*,*,#23157,.F.);
#30255=ORIENTED_EDGE('',*,*,#23158,.F.);
#30256=ORIENTED_EDGE('',*,*,#23159,.F.);
#30257=ORIENTED_EDGE('',*,*,#23160,.F.);
#30258=ORIENTED_EDGE('',*,*,#23161,.F.);
#30259=ORIENTED_EDGE('',*,*,#22364,.F.);
#30260=ORIENTED_EDGE('',*,*,#22365,.F.);
#30261=ORIENTED_EDGE('',*,*,#23161,.T.);
#30262=ORIENTED_EDGE('',*,*,#23162,.T.);
#30263=ORIENTED_EDGE('',*,*,#23163,.F.);
#30264=ORIENTED_EDGE('',*,*,#22370,.F.);
#30265=ORIENTED_EDGE('',*,*,#22366,.T.);
#30266=ORIENTED_EDGE('',*,*,#23163,.T.);
#30267=ORIENTED_EDGE('',*,*,#23164,.T.);
#30268=ORIENTED_EDGE('',*,*,#23165,.T.);
#30269=ORIENTED_EDGE('',*,*,#23166,.T.);
#30270=ORIENTED_EDGE('',*,*,#23167,.T.);
#30271=ORIENTED_EDGE('',*,*,#23168,.T.);
#30272=ORIENTED_EDGE('',*,*,#23169,.T.);
#30273=ORIENTED_EDGE('',*,*,#23170,.T.);
#30274=ORIENTED_EDGE('',*,*,#23171,.T.);
#30275=ORIENTED_EDGE('',*,*,#23172,.T.);
#30276=ORIENTED_EDGE('',*,*,#22375,.T.);
#30277=ORIENTED_EDGE('',*,*,#23173,.F.);
#30278=ORIENTED_EDGE('',*,*,#23174,.F.);
#30279=ORIENTED_EDGE('',*,*,#23175,.F.);
#30280=ORIENTED_EDGE('',*,*,#23176,.F.);
#30281=ORIENTED_EDGE('',*,*,#23177,.F.);
#30282=ORIENTED_EDGE('',*,*,#23178,.F.);
#30283=ORIENTED_EDGE('',*,*,#23179,.F.);
#30284=ORIENTED_EDGE('',*,*,#23180,.F.);
#30285=ORIENTED_EDGE('',*,*,#23181,.F.);
#30286=ORIENTED_EDGE('',*,*,#23182,.F.);
#30287=ORIENTED_EDGE('',*,*,#22367,.F.);
#30288=ORIENTED_EDGE('',*,*,#22368,.F.);
#30289=ORIENTED_EDGE('',*,*,#23182,.T.);
#30290=ORIENTED_EDGE('',*,*,#23183,.T.);
#30291=ORIENTED_EDGE('',*,*,#22506,.F.);
#30292=ORIENTED_EDGE('',*,*,#23184,.T.);
#30293=ORIENTED_EDGE('',*,*,#22575,.T.);
#30294=ORIENTED_EDGE('',*,*,#23185,.F.);
#30295=ORIENTED_EDGE('',*,*,#22371,.F.);
#30296=ORIENTED_EDGE('',*,*,#22372,.T.);
#30297=ORIENTED_EDGE('',*,*,#23186,.F.);
#30298=ORIENTED_EDGE('',*,*,#23187,.F.);
#30299=ORIENTED_EDGE('',*,*,#23188,.F.);
#30300=ORIENTED_EDGE('',*,*,#23189,.F.);
#30301=ORIENTED_EDGE('',*,*,#23190,.F.);
#30302=ORIENTED_EDGE('',*,*,#23191,.F.);
#30303=ORIENTED_EDGE('',*,*,#23192,.F.);
#30304=ORIENTED_EDGE('',*,*,#23193,.F.);
#30305=ORIENTED_EDGE('',*,*,#23194,.F.);
#30306=ORIENTED_EDGE('',*,*,#23195,.F.);
#30307=ORIENTED_EDGE('',*,*,#22376,.F.);
#30308=ORIENTED_EDGE('',*,*,#22373,.F.);
#30309=ORIENTED_EDGE('',*,*,#22381,.T.);
#30310=ORIENTED_EDGE('',*,*,#23196,.T.);
#30311=ORIENTED_EDGE('',*,*,#23197,.T.);
#30312=ORIENTED_EDGE('',*,*,#23198,.T.);
#30313=ORIENTED_EDGE('',*,*,#23199,.T.);
#30314=ORIENTED_EDGE('',*,*,#23200,.T.);
#30315=ORIENTED_EDGE('',*,*,#23201,.T.);
#30316=ORIENTED_EDGE('',*,*,#23202,.T.);
#30317=ORIENTED_EDGE('',*,*,#23203,.T.);
#30318=ORIENTED_EDGE('',*,*,#23204,.T.);
#30319=ORIENTED_EDGE('',*,*,#23205,.T.);
#30320=ORIENTED_EDGE('',*,*,#23206,.T.);
#30321=ORIENTED_EDGE('',*,*,#22555,.T.);
#30322=ORIENTED_EDGE('',*,*,#23207,.F.);
#30323=ORIENTED_EDGE('',*,*,#22374,.F.);
#30324=ORIENTED_EDGE('',*,*,#22377,.F.);
#30325=ORIENTED_EDGE('',*,*,#23195,.T.);
#30326=ORIENTED_EDGE('',*,*,#23208,.T.);
#30327=ORIENTED_EDGE('',*,*,#23209,.F.);
#30328=ORIENTED_EDGE('',*,*,#22382,.F.);
#30329=ORIENTED_EDGE('',*,*,#22378,.T.);
#30330=ORIENTED_EDGE('',*,*,#23209,.T.);
#30331=ORIENTED_EDGE('',*,*,#23210,.T.);
#30332=ORIENTED_EDGE('',*,*,#23211,.T.);
#30333=ORIENTED_EDGE('',*,*,#23212,.T.);
#30334=ORIENTED_EDGE('',*,*,#23213,.T.);
#30335=ORIENTED_EDGE('',*,*,#23214,.T.);
#30336=ORIENTED_EDGE('',*,*,#23215,.T.);
#30337=ORIENTED_EDGE('',*,*,#23216,.T.);
#30338=ORIENTED_EDGE('',*,*,#23217,.T.);
#30339=ORIENTED_EDGE('',*,*,#23218,.T.);
#30340=ORIENTED_EDGE('',*,*,#22387,.T.);
#30341=ORIENTED_EDGE('',*,*,#23219,.F.);
#30342=ORIENTED_EDGE('',*,*,#23220,.F.);
#30343=ORIENTED_EDGE('',*,*,#23221,.F.);
#30344=ORIENTED_EDGE('',*,*,#23222,.F.);
#30345=ORIENTED_EDGE('',*,*,#23223,.F.);
#30346=ORIENTED_EDGE('',*,*,#23224,.F.);
#30347=ORIENTED_EDGE('',*,*,#23225,.F.);
#30348=ORIENTED_EDGE('',*,*,#23226,.F.);
#30349=ORIENTED_EDGE('',*,*,#23227,.F.);
#30350=ORIENTED_EDGE('',*,*,#23228,.F.);
#30351=ORIENTED_EDGE('',*,*,#22379,.F.);
#30352=ORIENTED_EDGE('',*,*,#22380,.F.);
#30353=ORIENTED_EDGE('',*,*,#23228,.T.);
#30354=ORIENTED_EDGE('',*,*,#23229,.T.);
#30355=ORIENTED_EDGE('',*,*,#23196,.F.);
#30356=ORIENTED_EDGE('',*,*,#23230,.T.);
#30357=ORIENTED_EDGE('',*,*,#22573,.T.);
#30358=ORIENTED_EDGE('',*,*,#23231,.F.);
#30359=ORIENTED_EDGE('',*,*,#22383,.F.);
#30360=ORIENTED_EDGE('',*,*,#22384,.T.);
#30361=ORIENTED_EDGE('',*,*,#23232,.F.);
#30362=ORIENTED_EDGE('',*,*,#23233,.F.);
#30363=ORIENTED_EDGE('',*,*,#23234,.F.);
#30364=ORIENTED_EDGE('',*,*,#23235,.F.);
#30365=ORIENTED_EDGE('',*,*,#23236,.F.);
#30366=ORIENTED_EDGE('',*,*,#23237,.F.);
#30367=ORIENTED_EDGE('',*,*,#23238,.F.);
#30368=ORIENTED_EDGE('',*,*,#23239,.F.);
#30369=ORIENTED_EDGE('',*,*,#23240,.F.);
#30370=ORIENTED_EDGE('',*,*,#23241,.F.);
#30371=ORIENTED_EDGE('',*,*,#22388,.F.);
#30372=ORIENTED_EDGE('',*,*,#22385,.F.);
#30373=ORIENTED_EDGE('',*,*,#22393,.T.);
#30374=ORIENTED_EDGE('',*,*,#23242,.T.);
#30375=ORIENTED_EDGE('',*,*,#23243,.T.);
#30376=ORIENTED_EDGE('',*,*,#23244,.T.);
#30377=ORIENTED_EDGE('',*,*,#23245,.T.);
#30378=ORIENTED_EDGE('',*,*,#23246,.T.);
#30379=ORIENTED_EDGE('',*,*,#23247,.T.);
#30380=ORIENTED_EDGE('',*,*,#23248,.T.);
#30381=ORIENTED_EDGE('',*,*,#23249,.T.);
#30382=ORIENTED_EDGE('',*,*,#23250,.T.);
#30383=ORIENTED_EDGE('',*,*,#23251,.T.);
#30384=ORIENTED_EDGE('',*,*,#23252,.T.);
#30385=ORIENTED_EDGE('',*,*,#22557,.T.);
#30386=ORIENTED_EDGE('',*,*,#23253,.F.);
#30387=ORIENTED_EDGE('',*,*,#22386,.F.);
#30388=ORIENTED_EDGE('',*,*,#22389,.F.);
#30389=ORIENTED_EDGE('',*,*,#23241,.T.);
#30390=ORIENTED_EDGE('',*,*,#23254,.T.);
#30391=ORIENTED_EDGE('',*,*,#23255,.F.);
#30392=ORIENTED_EDGE('',*,*,#22394,.F.);
#30393=ORIENTED_EDGE('',*,*,#22390,.T.);
#30394=ORIENTED_EDGE('',*,*,#23255,.T.);
#30395=ORIENTED_EDGE('',*,*,#23256,.T.);
#30396=ORIENTED_EDGE('',*,*,#23257,.T.);
#30397=ORIENTED_EDGE('',*,*,#23258,.T.);
#30398=ORIENTED_EDGE('',*,*,#23259,.T.);
#30399=ORIENTED_EDGE('',*,*,#23260,.T.);
#30400=ORIENTED_EDGE('',*,*,#23261,.T.);
#30401=ORIENTED_EDGE('',*,*,#23262,.T.);
#30402=ORIENTED_EDGE('',*,*,#23263,.T.);
#30403=ORIENTED_EDGE('',*,*,#23264,.T.);
#30404=ORIENTED_EDGE('',*,*,#22399,.T.);
#30405=ORIENTED_EDGE('',*,*,#23265,.F.);
#30406=ORIENTED_EDGE('',*,*,#23266,.F.);
#30407=ORIENTED_EDGE('',*,*,#23267,.F.);
#30408=ORIENTED_EDGE('',*,*,#23268,.F.);
#30409=ORIENTED_EDGE('',*,*,#23269,.F.);
#30410=ORIENTED_EDGE('',*,*,#23270,.F.);
#30411=ORIENTED_EDGE('',*,*,#23271,.F.);
#30412=ORIENTED_EDGE('',*,*,#23272,.F.);
#30413=ORIENTED_EDGE('',*,*,#23273,.F.);
#30414=ORIENTED_EDGE('',*,*,#23274,.F.);
#30415=ORIENTED_EDGE('',*,*,#22391,.F.);
#30416=ORIENTED_EDGE('',*,*,#22392,.F.);
#30417=ORIENTED_EDGE('',*,*,#23274,.T.);
#30418=ORIENTED_EDGE('',*,*,#23275,.T.);
#30419=ORIENTED_EDGE('',*,*,#23242,.F.);
#30420=ORIENTED_EDGE('',*,*,#23276,.T.);
#30421=ORIENTED_EDGE('',*,*,#22571,.T.);
#30422=ORIENTED_EDGE('',*,*,#23277,.F.);
#30423=ORIENTED_EDGE('',*,*,#22395,.F.);
#30424=ORIENTED_EDGE('',*,*,#22396,.T.);
#30425=ORIENTED_EDGE('',*,*,#23278,.F.);
#30426=ORIENTED_EDGE('',*,*,#23279,.F.);
#30427=ORIENTED_EDGE('',*,*,#23280,.F.);
#30428=ORIENTED_EDGE('',*,*,#23281,.F.);
#30429=ORIENTED_EDGE('',*,*,#23282,.F.);
#30430=ORIENTED_EDGE('',*,*,#23283,.F.);
#30431=ORIENTED_EDGE('',*,*,#23284,.F.);
#30432=ORIENTED_EDGE('',*,*,#23285,.F.);
#30433=ORIENTED_EDGE('',*,*,#23286,.F.);
#30434=ORIENTED_EDGE('',*,*,#23287,.F.);
#30435=ORIENTED_EDGE('',*,*,#22400,.F.);
#30436=ORIENTED_EDGE('',*,*,#22397,.F.);
#30437=ORIENTED_EDGE('',*,*,#22405,.T.);
#30438=ORIENTED_EDGE('',*,*,#23288,.T.);
#30439=ORIENTED_EDGE('',*,*,#23289,.T.);
#30440=ORIENTED_EDGE('',*,*,#23290,.T.);
#30441=ORIENTED_EDGE('',*,*,#23291,.T.);
#30442=ORIENTED_EDGE('',*,*,#23292,.T.);
#30443=ORIENTED_EDGE('',*,*,#23293,.T.);
#30444=ORIENTED_EDGE('',*,*,#23294,.T.);
#30445=ORIENTED_EDGE('',*,*,#23295,.T.);
#30446=ORIENTED_EDGE('',*,*,#23296,.T.);
#30447=ORIENTED_EDGE('',*,*,#23297,.T.);
#30448=ORIENTED_EDGE('',*,*,#23298,.T.);
#30449=ORIENTED_EDGE('',*,*,#22559,.T.);
#30450=ORIENTED_EDGE('',*,*,#23299,.F.);
#30451=ORIENTED_EDGE('',*,*,#22398,.F.);
#30452=ORIENTED_EDGE('',*,*,#22401,.F.);
#30453=ORIENTED_EDGE('',*,*,#23287,.T.);
#30454=ORIENTED_EDGE('',*,*,#23300,.T.);
#30455=ORIENTED_EDGE('',*,*,#23301,.F.);
#30456=ORIENTED_EDGE('',*,*,#22406,.F.);
#30457=ORIENTED_EDGE('',*,*,#22402,.T.);
#30458=ORIENTED_EDGE('',*,*,#23301,.T.);
#30459=ORIENTED_EDGE('',*,*,#23302,.T.);
#30460=ORIENTED_EDGE('',*,*,#23303,.T.);
#30461=ORIENTED_EDGE('',*,*,#23304,.T.);
#30462=ORIENTED_EDGE('',*,*,#23305,.T.);
#30463=ORIENTED_EDGE('',*,*,#23306,.T.);
#30464=ORIENTED_EDGE('',*,*,#23307,.T.);
#30465=ORIENTED_EDGE('',*,*,#23308,.T.);
#30466=ORIENTED_EDGE('',*,*,#23309,.T.);
#30467=ORIENTED_EDGE('',*,*,#23310,.T.);
#30468=ORIENTED_EDGE('',*,*,#22411,.T.);
#30469=ORIENTED_EDGE('',*,*,#23311,.F.);
#30470=ORIENTED_EDGE('',*,*,#23312,.F.);
#30471=ORIENTED_EDGE('',*,*,#23313,.F.);
#30472=ORIENTED_EDGE('',*,*,#23314,.F.);
#30473=ORIENTED_EDGE('',*,*,#23315,.F.);
#30474=ORIENTED_EDGE('',*,*,#23316,.F.);
#30475=ORIENTED_EDGE('',*,*,#23317,.F.);
#30476=ORIENTED_EDGE('',*,*,#23318,.F.);
#30477=ORIENTED_EDGE('',*,*,#23319,.F.);
#30478=ORIENTED_EDGE('',*,*,#23320,.F.);
#30479=ORIENTED_EDGE('',*,*,#22403,.F.);
#30480=ORIENTED_EDGE('',*,*,#22404,.F.);
#30481=ORIENTED_EDGE('',*,*,#23320,.T.);
#30482=ORIENTED_EDGE('',*,*,#23321,.T.);
#30483=ORIENTED_EDGE('',*,*,#23288,.F.);
#30484=ORIENTED_EDGE('',*,*,#23322,.T.);
#30485=ORIENTED_EDGE('',*,*,#22569,.T.);
#30486=ORIENTED_EDGE('',*,*,#23323,.F.);
#30487=ORIENTED_EDGE('',*,*,#22407,.F.);
#30488=ORIENTED_EDGE('',*,*,#22408,.T.);
#30489=ORIENTED_EDGE('',*,*,#23324,.F.);
#30490=ORIENTED_EDGE('',*,*,#23325,.F.);
#30491=ORIENTED_EDGE('',*,*,#23326,.F.);
#30492=ORIENTED_EDGE('',*,*,#23327,.F.);
#30493=ORIENTED_EDGE('',*,*,#23328,.F.);
#30494=ORIENTED_EDGE('',*,*,#23329,.F.);
#30495=ORIENTED_EDGE('',*,*,#23330,.F.);
#30496=ORIENTED_EDGE('',*,*,#23331,.F.);
#30497=ORIENTED_EDGE('',*,*,#23332,.F.);
#30498=ORIENTED_EDGE('',*,*,#23333,.F.);
#30499=ORIENTED_EDGE('',*,*,#22412,.F.);
#30500=ORIENTED_EDGE('',*,*,#22409,.F.);
#30501=ORIENTED_EDGE('',*,*,#22417,.T.);
#30502=ORIENTED_EDGE('',*,*,#23334,.T.);
#30503=ORIENTED_EDGE('',*,*,#23335,.T.);
#30504=ORIENTED_EDGE('',*,*,#23336,.T.);
#30505=ORIENTED_EDGE('',*,*,#23337,.T.);
#30506=ORIENTED_EDGE('',*,*,#23338,.T.);
#30507=ORIENTED_EDGE('',*,*,#23339,.T.);
#30508=ORIENTED_EDGE('',*,*,#23340,.T.);
#30509=ORIENTED_EDGE('',*,*,#23341,.T.);
#30510=ORIENTED_EDGE('',*,*,#23342,.T.);
#30511=ORIENTED_EDGE('',*,*,#23343,.T.);
#30512=ORIENTED_EDGE('',*,*,#23344,.T.);
#30513=ORIENTED_EDGE('',*,*,#22561,.T.);
#30514=ORIENTED_EDGE('',*,*,#23345,.F.);
#30515=ORIENTED_EDGE('',*,*,#22410,.F.);
#30516=ORIENTED_EDGE('',*,*,#22413,.F.);
#30517=ORIENTED_EDGE('',*,*,#23333,.T.);
#30518=ORIENTED_EDGE('',*,*,#23346,.T.);
#30519=ORIENTED_EDGE('',*,*,#23347,.F.);
#30520=ORIENTED_EDGE('',*,*,#22418,.F.);
#30521=ORIENTED_EDGE('',*,*,#22414,.T.);
#30522=ORIENTED_EDGE('',*,*,#23347,.T.);
#30523=ORIENTED_EDGE('',*,*,#23348,.T.);
#30524=ORIENTED_EDGE('',*,*,#23349,.T.);
#30525=ORIENTED_EDGE('',*,*,#23350,.T.);
#30526=ORIENTED_EDGE('',*,*,#23351,.T.);
#30527=ORIENTED_EDGE('',*,*,#23352,.T.);
#30528=ORIENTED_EDGE('',*,*,#23353,.T.);
#30529=ORIENTED_EDGE('',*,*,#23354,.T.);
#30530=ORIENTED_EDGE('',*,*,#23355,.T.);
#30531=ORIENTED_EDGE('',*,*,#23356,.T.);
#30532=ORIENTED_EDGE('',*,*,#22423,.T.);
#30533=ORIENTED_EDGE('',*,*,#23357,.F.);
#30534=ORIENTED_EDGE('',*,*,#23358,.F.);
#30535=ORIENTED_EDGE('',*,*,#23359,.F.);
#30536=ORIENTED_EDGE('',*,*,#23360,.F.);
#30537=ORIENTED_EDGE('',*,*,#23361,.F.);
#30538=ORIENTED_EDGE('',*,*,#23362,.F.);
#30539=ORIENTED_EDGE('',*,*,#23363,.F.);
#30540=ORIENTED_EDGE('',*,*,#23364,.F.);
#30541=ORIENTED_EDGE('',*,*,#23365,.F.);
#30542=ORIENTED_EDGE('',*,*,#23366,.F.);
#30543=ORIENTED_EDGE('',*,*,#22415,.F.);
#30544=ORIENTED_EDGE('',*,*,#22416,.F.);
#30545=ORIENTED_EDGE('',*,*,#23366,.T.);
#30546=ORIENTED_EDGE('',*,*,#23367,.T.);
#30547=ORIENTED_EDGE('',*,*,#23334,.F.);
#30548=ORIENTED_EDGE('',*,*,#23368,.T.);
#30549=ORIENTED_EDGE('',*,*,#22567,.T.);
#30550=ORIENTED_EDGE('',*,*,#23369,.F.);
#30551=ORIENTED_EDGE('',*,*,#22419,.F.);
#30552=ORIENTED_EDGE('',*,*,#22420,.T.);
#30553=ORIENTED_EDGE('',*,*,#23370,.F.);
#30554=ORIENTED_EDGE('',*,*,#23371,.F.);
#30555=ORIENTED_EDGE('',*,*,#23372,.F.);
#30556=ORIENTED_EDGE('',*,*,#23373,.F.);
#30557=ORIENTED_EDGE('',*,*,#23374,.F.);
#30558=ORIENTED_EDGE('',*,*,#23375,.F.);
#30559=ORIENTED_EDGE('',*,*,#23376,.F.);
#30560=ORIENTED_EDGE('',*,*,#23377,.F.);
#30561=ORIENTED_EDGE('',*,*,#23378,.F.);
#30562=ORIENTED_EDGE('',*,*,#23379,.F.);
#30563=ORIENTED_EDGE('',*,*,#22424,.F.);
#30564=ORIENTED_EDGE('',*,*,#22421,.F.);
#30565=ORIENTED_EDGE('',*,*,#22429,.T.);
#30566=ORIENTED_EDGE('',*,*,#23380,.T.);
#30567=ORIENTED_EDGE('',*,*,#23381,.T.);
#30568=ORIENTED_EDGE('',*,*,#23382,.T.);
#30569=ORIENTED_EDGE('',*,*,#23383,.T.);
#30570=ORIENTED_EDGE('',*,*,#23384,.T.);
#30571=ORIENTED_EDGE('',*,*,#23385,.T.);
#30572=ORIENTED_EDGE('',*,*,#23386,.T.);
#30573=ORIENTED_EDGE('',*,*,#23387,.T.);
#30574=ORIENTED_EDGE('',*,*,#23388,.T.);
#30575=ORIENTED_EDGE('',*,*,#23389,.T.);
#30576=ORIENTED_EDGE('',*,*,#23390,.T.);
#30577=ORIENTED_EDGE('',*,*,#22563,.T.);
#30578=ORIENTED_EDGE('',*,*,#23391,.F.);
#30579=ORIENTED_EDGE('',*,*,#22422,.F.);
#30580=ORIENTED_EDGE('',*,*,#22425,.F.);
#30581=ORIENTED_EDGE('',*,*,#23379,.T.);
#30582=ORIENTED_EDGE('',*,*,#23392,.T.);
#30583=ORIENTED_EDGE('',*,*,#23393,.F.);
#30584=ORIENTED_EDGE('',*,*,#22430,.F.);
#30585=ORIENTED_EDGE('',*,*,#22426,.T.);
#30586=ORIENTED_EDGE('',*,*,#23393,.T.);
#30587=ORIENTED_EDGE('',*,*,#23394,.T.);
#30588=ORIENTED_EDGE('',*,*,#23395,.T.);
#30589=ORIENTED_EDGE('',*,*,#23396,.T.);
#30590=ORIENTED_EDGE('',*,*,#23397,.T.);
#30591=ORIENTED_EDGE('',*,*,#23398,.T.);
#30592=ORIENTED_EDGE('',*,*,#23399,.T.);
#30593=ORIENTED_EDGE('',*,*,#23400,.T.);
#30594=ORIENTED_EDGE('',*,*,#23401,.T.);
#30595=ORIENTED_EDGE('',*,*,#23402,.T.);
#30596=ORIENTED_EDGE('',*,*,#22432,.T.);
#30597=ORIENTED_EDGE('',*,*,#23403,.F.);
#30598=ORIENTED_EDGE('',*,*,#23404,.F.);
#30599=ORIENTED_EDGE('',*,*,#23405,.F.);
#30600=ORIENTED_EDGE('',*,*,#23406,.F.);
#30601=ORIENTED_EDGE('',*,*,#23407,.F.);
#30602=ORIENTED_EDGE('',*,*,#23408,.F.);
#30603=ORIENTED_EDGE('',*,*,#23409,.F.);
#30604=ORIENTED_EDGE('',*,*,#23410,.F.);
#30605=ORIENTED_EDGE('',*,*,#23411,.F.);
#30606=ORIENTED_EDGE('',*,*,#23412,.F.);
#30607=ORIENTED_EDGE('',*,*,#22427,.F.);
#30608=ORIENTED_EDGE('',*,*,#22428,.F.);
#30609=ORIENTED_EDGE('',*,*,#23412,.T.);
#30610=ORIENTED_EDGE('',*,*,#23413,.T.);
#30611=ORIENTED_EDGE('',*,*,#23380,.F.);
#30612=ORIENTED_EDGE('',*,*,#23414,.T.);
#30613=ORIENTED_EDGE('',*,*,#22565,.T.);
#30614=ORIENTED_EDGE('',*,*,#23415,.F.);
#30615=ORIENTED_EDGE('',*,*,#22431,.F.);
#30616=ORIENTED_EDGE('',*,*,#23173,.T.);
#30617=ORIENTED_EDGE('',*,*,#23207,.T.);
#30618=ORIENTED_EDGE('',*,*,#22554,.T.);
#30619=ORIENTED_EDGE('',*,*,#22504,.F.);
#30620=ORIENTED_EDGE('',*,*,#22515,.F.);
#30621=ORIENTED_EDGE('',*,*,#23416,.F.);
#30622=ORIENTED_EDGE('',*,*,#23174,.T.);
#30623=ORIENTED_EDGE('',*,*,#23416,.T.);
#30624=ORIENTED_EDGE('',*,*,#22514,.F.);
#30625=ORIENTED_EDGE('',*,*,#23417,.F.);
#30626=ORIENTED_EDGE('',*,*,#23418,.F.);
#30627=ORIENTED_EDGE('',*,*,#23175,.T.);
#30628=ORIENTED_EDGE('',*,*,#23417,.T.);
#30629=ORIENTED_EDGE('',*,*,#22513,.F.);
#30630=ORIENTED_EDGE('',*,*,#23419,.F.);
#30631=ORIENTED_EDGE('',*,*,#23176,.T.);
#30632=ORIENTED_EDGE('',*,*,#23418,.T.);
#30633=ORIENTED_EDGE('',*,*,#22512,.F.);
#30634=ORIENTED_EDGE('',*,*,#23420,.F.);
#30635=ORIENTED_EDGE('',*,*,#23177,.T.);
#30636=ORIENTED_EDGE('',*,*,#23419,.T.);
#30637=ORIENTED_EDGE('',*,*,#22511,.F.);
#30638=ORIENTED_EDGE('',*,*,#23421,.F.);
#30639=ORIENTED_EDGE('',*,*,#23178,.T.);
#30640=ORIENTED_EDGE('',*,*,#23420,.T.);
#30641=ORIENTED_EDGE('',*,*,#22510,.F.);
#30642=ORIENTED_EDGE('',*,*,#23422,.F.);
#30643=ORIENTED_EDGE('',*,*,#23179,.T.);
#30644=ORIENTED_EDGE('',*,*,#23421,.T.);
#30645=ORIENTED_EDGE('',*,*,#22509,.F.);
#30646=ORIENTED_EDGE('',*,*,#23180,.T.);
#30647=ORIENTED_EDGE('',*,*,#23422,.T.);
#30648=ORIENTED_EDGE('',*,*,#22508,.F.);
#30649=ORIENTED_EDGE('',*,*,#23423,.F.);
#30650=ORIENTED_EDGE('',*,*,#23183,.F.);
#30651=ORIENTED_EDGE('',*,*,#23181,.T.);
#30652=ORIENTED_EDGE('',*,*,#23423,.T.);
#30653=ORIENTED_EDGE('',*,*,#22507,.F.);
#30654=ORIENTED_EDGE('',*,*,#23424,.F.);
#30655=ORIENTED_EDGE('',*,*,#22857,.F.);
#30656=ORIENTED_EDGE('',*,*,#22516,.T.);
#30657=ORIENTED_EDGE('',*,*,#23425,.T.);
#30658=ORIENTED_EDGE('',*,*,#22544,.T.);
#30659=ORIENTED_EDGE('',*,*,#22551,.T.);
#30660=ORIENTED_EDGE('',*,*,#23426,.F.);
#30661=ORIENTED_EDGE('',*,*,#22542,.F.);
#30662=ORIENTED_EDGE('',*,*,#22614,.T.);
#30663=ORIENTED_EDGE('',*,*,#22648,.T.);
#30664=ORIENTED_EDGE('',*,*,#22518,.T.);
#30665=ORIENTED_EDGE('',*,*,#22601,.F.);
#30666=ORIENTED_EDGE('',*,*,#22600,.F.);
#30667=ORIENTED_EDGE('',*,*,#23427,.F.);
#30668=ORIENTED_EDGE('',*,*,#22581,.T.);
#30669=ORIENTED_EDGE('',*,*,#22580,.T.);
#30670=ORIENTED_EDGE('',*,*,#22540,.T.);
#30671=ORIENTED_EDGE('',*,*,#22625,.F.);
#30672=ORIENTED_EDGE('',*,*,#22613,.F.);
#30673=ORIENTED_EDGE('',*,*,#23428,.F.);
#30674=ORIENTED_EDGE('',*,*,#22660,.T.);
#30675=ORIENTED_EDGE('',*,*,#22694,.T.);
#30676=ORIENTED_EDGE('',*,*,#22520,.T.);
#30677=ORIENTED_EDGE('',*,*,#22647,.F.);
#30678=ORIENTED_EDGE('',*,*,#22646,.F.);
#30679=ORIENTED_EDGE('',*,*,#23429,.F.);
#30680=ORIENTED_EDGE('',*,*,#22627,.T.);
#30681=ORIENTED_EDGE('',*,*,#22626,.T.);
#30682=ORIENTED_EDGE('',*,*,#22538,.T.);
#30683=ORIENTED_EDGE('',*,*,#22671,.F.);
#30684=ORIENTED_EDGE('',*,*,#22659,.F.);
#30685=ORIENTED_EDGE('',*,*,#23430,.F.);
#30686=ORIENTED_EDGE('',*,*,#22706,.T.);
#30687=ORIENTED_EDGE('',*,*,#22740,.T.);
#30688=ORIENTED_EDGE('',*,*,#22522,.T.);
#30689=ORIENTED_EDGE('',*,*,#22693,.F.);
#30690=ORIENTED_EDGE('',*,*,#22692,.F.);
#30691=ORIENTED_EDGE('',*,*,#23431,.F.);
#30692=ORIENTED_EDGE('',*,*,#22673,.T.);
#30693=ORIENTED_EDGE('',*,*,#22672,.T.);
#30694=ORIENTED_EDGE('',*,*,#22536,.T.);
#30695=ORIENTED_EDGE('',*,*,#22717,.F.);
#30696=ORIENTED_EDGE('',*,*,#22705,.F.);
#30697=ORIENTED_EDGE('',*,*,#23432,.F.);
#30698=ORIENTED_EDGE('',*,*,#22752,.T.);
#30699=ORIENTED_EDGE('',*,*,#22786,.T.);
#30700=ORIENTED_EDGE('',*,*,#22524,.T.);
#30701=ORIENTED_EDGE('',*,*,#22739,.F.);
#30702=ORIENTED_EDGE('',*,*,#22738,.F.);
#30703=ORIENTED_EDGE('',*,*,#23433,.F.);
#30704=ORIENTED_EDGE('',*,*,#22719,.T.);
#30705=ORIENTED_EDGE('',*,*,#22718,.T.);
#30706=ORIENTED_EDGE('',*,*,#22534,.T.);
#30707=ORIENTED_EDGE('',*,*,#22763,.F.);
#30708=ORIENTED_EDGE('',*,*,#22751,.F.);
#30709=ORIENTED_EDGE('',*,*,#23434,.F.);
#30710=ORIENTED_EDGE('',*,*,#22798,.T.);
#30711=ORIENTED_EDGE('',*,*,#22832,.T.);
#30712=ORIENTED_EDGE('',*,*,#22526,.T.);
#30713=ORIENTED_EDGE('',*,*,#22785,.F.);
#30714=ORIENTED_EDGE('',*,*,#22784,.F.);
#30715=ORIENTED_EDGE('',*,*,#23435,.F.);
#30716=ORIENTED_EDGE('',*,*,#22765,.T.);
#30717=ORIENTED_EDGE('',*,*,#22764,.T.);
#30718=ORIENTED_EDGE('',*,*,#22532,.T.);
#30719=ORIENTED_EDGE('',*,*,#22809,.F.);
#30720=ORIENTED_EDGE('',*,*,#22797,.F.);
#30721=ORIENTED_EDGE('',*,*,#23436,.F.);
#30722=ORIENTED_EDGE('',*,*,#22844,.T.);
#30723=ORIENTED_EDGE('',*,*,#22856,.T.);
#30724=ORIENTED_EDGE('',*,*,#22528,.T.);
#30725=ORIENTED_EDGE('',*,*,#22831,.F.);
#30726=ORIENTED_EDGE('',*,*,#22830,.F.);
#30727=ORIENTED_EDGE('',*,*,#23437,.F.);
#30728=ORIENTED_EDGE('',*,*,#22811,.T.);
#30729=ORIENTED_EDGE('',*,*,#22810,.T.);
#30730=ORIENTED_EDGE('',*,*,#22530,.T.);
#30731=ORIENTED_EDGE('',*,*,#22855,.F.);
#30732=ORIENTED_EDGE('',*,*,#22843,.F.);
#30733=ORIENTED_EDGE('',*,*,#23438,.F.);
#30734=ORIENTED_EDGE('',*,*,#23439,.T.);
#30735=ORIENTED_EDGE('',*,*,#22547,.T.);
#30736=ORIENTED_EDGE('',*,*,#22545,.F.);
#30737=ORIENTED_EDGE('',*,*,#22579,.F.);
#30738=ORIENTED_EDGE('',*,*,#23440,.T.);
#30739=ORIENTED_EDGE('',*,*,#22548,.T.);
#30740=ORIENTED_EDGE('',*,*,#23439,.F.);
#30741=ORIENTED_EDGE('',*,*,#23441,.F.);
#30742=ORIENTED_EDGE('',*,*,#23442,.T.);
#30743=ORIENTED_EDGE('',*,*,#22549,.T.);
#30744=ORIENTED_EDGE('',*,*,#23440,.F.);
#30745=ORIENTED_EDGE('',*,*,#23443,.F.);
#30746=ORIENTED_EDGE('',*,*,#23426,.T.);
#30747=ORIENTED_EDGE('',*,*,#22550,.T.);
#30748=ORIENTED_EDGE('',*,*,#23442,.F.);
#30749=ORIENTED_EDGE('',*,*,#23425,.F.);
#30750=ORIENTED_EDGE('',*,*,#22552,.T.);
#30751=ORIENTED_EDGE('',*,*,#23444,.T.);
#30752=ORIENTED_EDGE('',*,*,#23445,.F.);
#30753=ORIENTED_EDGE('',*,*,#23446,.F.);
#30754=ORIENTED_EDGE('',*,*,#23444,.F.);
#30755=ORIENTED_EDGE('',*,*,#22553,.T.);
#30756=ORIENTED_EDGE('',*,*,#22578,.F.);
#30757=ORIENTED_EDGE('',*,*,#23149,.F.);
#30758=ORIENTED_EDGE('',*,*,#23447,.T.);
#30759=ORIENTED_EDGE('',*,*,#23441,.T.);
#30760=ORIENTED_EDGE('',*,*,#23152,.T.);
#30761=ORIENTED_EDGE('',*,*,#23151,.T.);
#30762=ORIENTED_EDGE('',*,*,#22576,.T.);
#30763=ORIENTED_EDGE('',*,*,#23184,.F.);
#30764=ORIENTED_EDGE('',*,*,#23172,.F.);
#30765=ORIENTED_EDGE('',*,*,#23448,.F.);
#30766=ORIENTED_EDGE('',*,*,#23219,.T.);
#30767=ORIENTED_EDGE('',*,*,#23253,.T.);
#30768=ORIENTED_EDGE('',*,*,#22556,.T.);
#30769=ORIENTED_EDGE('',*,*,#23206,.F.);
#30770=ORIENTED_EDGE('',*,*,#23205,.F.);
#30771=ORIENTED_EDGE('',*,*,#23449,.F.);
#30772=ORIENTED_EDGE('',*,*,#23186,.T.);
#30773=ORIENTED_EDGE('',*,*,#23185,.T.);
#30774=ORIENTED_EDGE('',*,*,#22574,.T.);
#30775=ORIENTED_EDGE('',*,*,#23230,.F.);
#30776=ORIENTED_EDGE('',*,*,#23218,.F.);
#30777=ORIENTED_EDGE('',*,*,#23450,.F.);
#30778=ORIENTED_EDGE('',*,*,#23265,.T.);
#30779=ORIENTED_EDGE('',*,*,#23299,.T.);
#30780=ORIENTED_EDGE('',*,*,#22558,.T.);
#30781=ORIENTED_EDGE('',*,*,#23252,.F.);
#30782=ORIENTED_EDGE('',*,*,#23251,.F.);
#30783=ORIENTED_EDGE('',*,*,#23451,.F.);
#30784=ORIENTED_EDGE('',*,*,#23232,.T.);
#30785=ORIENTED_EDGE('',*,*,#23231,.T.);
#30786=ORIENTED_EDGE('',*,*,#22572,.T.);
#30787=ORIENTED_EDGE('',*,*,#23276,.F.);
#30788=ORIENTED_EDGE('',*,*,#23264,.F.);
#30789=ORIENTED_EDGE('',*,*,#23452,.F.);
#30790=ORIENTED_EDGE('',*,*,#23311,.T.);
#30791=ORIENTED_EDGE('',*,*,#23345,.T.);
#30792=ORIENTED_EDGE('',*,*,#22560,.T.);
#30793=ORIENTED_EDGE('',*,*,#23298,.F.);
#30794=ORIENTED_EDGE('',*,*,#23297,.F.);
#30795=ORIENTED_EDGE('',*,*,#23453,.F.);
#30796=ORIENTED_EDGE('',*,*,#23278,.T.);
#30797=ORIENTED_EDGE('',*,*,#23277,.T.);
#30798=ORIENTED_EDGE('',*,*,#22570,.T.);
#30799=ORIENTED_EDGE('',*,*,#23322,.F.);
#30800=ORIENTED_EDGE('',*,*,#23310,.F.);
#30801=ORIENTED_EDGE('',*,*,#23454,.F.);
#30802=ORIENTED_EDGE('',*,*,#23357,.T.);
#30803=ORIENTED_EDGE('',*,*,#23391,.T.);
#30804=ORIENTED_EDGE('',*,*,#22562,.T.);
#30805=ORIENTED_EDGE('',*,*,#23344,.F.);
#30806=ORIENTED_EDGE('',*,*,#23343,.F.);
#30807=ORIENTED_EDGE('',*,*,#23455,.F.);
#30808=ORIENTED_EDGE('',*,*,#23324,.T.);
#30809=ORIENTED_EDGE('',*,*,#23323,.T.);
#30810=ORIENTED_EDGE('',*,*,#22568,.T.);
#30811=ORIENTED_EDGE('',*,*,#23368,.F.);
#30812=ORIENTED_EDGE('',*,*,#23356,.F.);
#30813=ORIENTED_EDGE('',*,*,#23456,.F.);
#30814=ORIENTED_EDGE('',*,*,#23403,.T.);
#30815=ORIENTED_EDGE('',*,*,#23415,.T.);
#30816=ORIENTED_EDGE('',*,*,#22564,.T.);
#30817=ORIENTED_EDGE('',*,*,#23390,.F.);
#30818=ORIENTED_EDGE('',*,*,#23389,.F.);
#30819=ORIENTED_EDGE('',*,*,#23457,.F.);
#30820=ORIENTED_EDGE('',*,*,#23370,.T.);
#30821=ORIENTED_EDGE('',*,*,#23369,.T.);
#30822=ORIENTED_EDGE('',*,*,#22566,.T.);
#30823=ORIENTED_EDGE('',*,*,#23414,.F.);
#30824=ORIENTED_EDGE('',*,*,#23402,.F.);
#30825=ORIENTED_EDGE('',*,*,#23458,.F.);
#30826=ORIENTED_EDGE('',*,*,#22582,.T.);
#30827=ORIENTED_EDGE('',*,*,#23428,.T.);
#30828=ORIENTED_EDGE('',*,*,#22612,.F.);
#30829=ORIENTED_EDGE('',*,*,#23459,.F.);
#30830=ORIENTED_EDGE('',*,*,#23460,.F.);
#30831=ORIENTED_EDGE('',*,*,#22583,.T.);
#30832=ORIENTED_EDGE('',*,*,#23459,.T.);
#30833=ORIENTED_EDGE('',*,*,#22611,.F.);
#30834=ORIENTED_EDGE('',*,*,#23461,.F.);
#30835=ORIENTED_EDGE('',*,*,#22584,.T.);
#30836=ORIENTED_EDGE('',*,*,#23460,.T.);
#30837=ORIENTED_EDGE('',*,*,#22610,.F.);
#30838=ORIENTED_EDGE('',*,*,#23462,.F.);
#30839=ORIENTED_EDGE('',*,*,#22585,.T.);
#30840=ORIENTED_EDGE('',*,*,#23461,.T.);
#30841=ORIENTED_EDGE('',*,*,#22609,.F.);
#30842=ORIENTED_EDGE('',*,*,#23463,.F.);
#30843=ORIENTED_EDGE('',*,*,#22586,.T.);
#30844=ORIENTED_EDGE('',*,*,#23462,.T.);
#30845=ORIENTED_EDGE('',*,*,#22608,.F.);
#30846=ORIENTED_EDGE('',*,*,#23464,.F.);
#30847=ORIENTED_EDGE('',*,*,#22587,.T.);
#30848=ORIENTED_EDGE('',*,*,#23463,.T.);
#30849=ORIENTED_EDGE('',*,*,#22607,.F.);
#30850=ORIENTED_EDGE('',*,*,#22588,.T.);
#30851=ORIENTED_EDGE('',*,*,#23464,.T.);
#30852=ORIENTED_EDGE('',*,*,#22606,.F.);
#30853=ORIENTED_EDGE('',*,*,#23465,.F.);
#30854=ORIENTED_EDGE('',*,*,#22603,.F.);
#30855=ORIENTED_EDGE('',*,*,#22589,.T.);
#30856=ORIENTED_EDGE('',*,*,#23465,.T.);
#30857=ORIENTED_EDGE('',*,*,#22605,.F.);
#30858=ORIENTED_EDGE('',*,*,#22615,.T.);
#30859=ORIENTED_EDGE('',*,*,#23427,.T.);
#30860=ORIENTED_EDGE('',*,*,#22599,.F.);
#30861=ORIENTED_EDGE('',*,*,#23466,.F.);
#30862=ORIENTED_EDGE('',*,*,#23467,.F.);
#30863=ORIENTED_EDGE('',*,*,#22616,.T.);
#30864=ORIENTED_EDGE('',*,*,#23466,.T.);
#30865=ORIENTED_EDGE('',*,*,#22598,.F.);
#30866=ORIENTED_EDGE('',*,*,#23468,.F.);
#30867=ORIENTED_EDGE('',*,*,#22617,.T.);
#30868=ORIENTED_EDGE('',*,*,#23467,.T.);
#30869=ORIENTED_EDGE('',*,*,#22597,.F.);
#30870=ORIENTED_EDGE('',*,*,#23469,.F.);
#30871=ORIENTED_EDGE('',*,*,#22618,.T.);
#30872=ORIENTED_EDGE('',*,*,#23468,.T.);
#30873=ORIENTED_EDGE('',*,*,#22596,.F.);
#30874=ORIENTED_EDGE('',*,*,#23470,.F.);
#30875=ORIENTED_EDGE('',*,*,#22619,.T.);
#30876=ORIENTED_EDGE('',*,*,#23469,.T.);
#30877=ORIENTED_EDGE('',*,*,#22595,.F.);
#30878=ORIENTED_EDGE('',*,*,#23471,.F.);
#30879=ORIENTED_EDGE('',*,*,#22620,.T.);
#30880=ORIENTED_EDGE('',*,*,#23470,.T.);
#30881=ORIENTED_EDGE('',*,*,#22594,.F.);
#30882=ORIENTED_EDGE('',*,*,#22621,.T.);
#30883=ORIENTED_EDGE('',*,*,#23471,.T.);
#30884=ORIENTED_EDGE('',*,*,#22593,.F.);
#30885=ORIENTED_EDGE('',*,*,#23472,.F.);
#30886=ORIENTED_EDGE('',*,*,#22624,.F.);
#30887=ORIENTED_EDGE('',*,*,#22622,.T.);
#30888=ORIENTED_EDGE('',*,*,#23472,.T.);
#30889=ORIENTED_EDGE('',*,*,#22592,.F.);
#30890=ORIENTED_EDGE('',*,*,#22628,.T.);
#30891=ORIENTED_EDGE('',*,*,#23430,.T.);
#30892=ORIENTED_EDGE('',*,*,#22658,.F.);
#30893=ORIENTED_EDGE('',*,*,#23473,.F.);
#30894=ORIENTED_EDGE('',*,*,#23474,.F.);
#30895=ORIENTED_EDGE('',*,*,#22629,.T.);
#30896=ORIENTED_EDGE('',*,*,#23473,.T.);
#30897=ORIENTED_EDGE('',*,*,#22657,.F.);
#30898=ORIENTED_EDGE('',*,*,#23475,.F.);
#30899=ORIENTED_EDGE('',*,*,#22630,.T.);
#30900=ORIENTED_EDGE('',*,*,#23474,.T.);
#30901=ORIENTED_EDGE('',*,*,#22656,.F.);
#30902=ORIENTED_EDGE('',*,*,#23476,.F.);
#30903=ORIENTED_EDGE('',*,*,#22631,.T.);
#30904=ORIENTED_EDGE('',*,*,#23475,.T.);
#30905=ORIENTED_EDGE('',*,*,#22655,.F.);
#30906=ORIENTED_EDGE('',*,*,#23477,.F.);
#30907=ORIENTED_EDGE('',*,*,#22632,.T.);
#30908=ORIENTED_EDGE('',*,*,#23476,.T.);
#30909=ORIENTED_EDGE('',*,*,#22654,.F.);
#30910=ORIENTED_EDGE('',*,*,#23478,.F.);
#30911=ORIENTED_EDGE('',*,*,#22633,.T.);
#30912=ORIENTED_EDGE('',*,*,#23477,.T.);
#30913=ORIENTED_EDGE('',*,*,#22653,.F.);
#30914=ORIENTED_EDGE('',*,*,#22634,.T.);
#30915=ORIENTED_EDGE('',*,*,#23478,.T.);
#30916=ORIENTED_EDGE('',*,*,#22652,.F.);
#30917=ORIENTED_EDGE('',*,*,#23479,.F.);
#30918=ORIENTED_EDGE('',*,*,#22649,.F.);
#30919=ORIENTED_EDGE('',*,*,#22635,.T.);
#30920=ORIENTED_EDGE('',*,*,#23479,.T.);
#30921=ORIENTED_EDGE('',*,*,#22651,.F.);
#30922=ORIENTED_EDGE('',*,*,#22661,.T.);
#30923=ORIENTED_EDGE('',*,*,#23429,.T.);
#30924=ORIENTED_EDGE('',*,*,#22645,.F.);
#30925=ORIENTED_EDGE('',*,*,#23480,.F.);
#30926=ORIENTED_EDGE('',*,*,#23481,.F.);
#30927=ORIENTED_EDGE('',*,*,#22662,.T.);
#30928=ORIENTED_EDGE('',*,*,#23480,.T.);
#30929=ORIENTED_EDGE('',*,*,#22644,.F.);
#30930=ORIENTED_EDGE('',*,*,#23482,.F.);
#30931=ORIENTED_EDGE('',*,*,#22663,.T.);
#30932=ORIENTED_EDGE('',*,*,#23481,.T.);
#30933=ORIENTED_EDGE('',*,*,#22643,.F.);
#30934=ORIENTED_EDGE('',*,*,#23483,.F.);
#30935=ORIENTED_EDGE('',*,*,#22664,.T.);
#30936=ORIENTED_EDGE('',*,*,#23482,.T.);
#30937=ORIENTED_EDGE('',*,*,#22642,.F.);
#30938=ORIENTED_EDGE('',*,*,#23484,.F.);
#30939=ORIENTED_EDGE('',*,*,#22665,.T.);
#30940=ORIENTED_EDGE('',*,*,#23483,.T.);
#30941=ORIENTED_EDGE('',*,*,#22641,.F.);
#30942=ORIENTED_EDGE('',*,*,#23485,.F.);
#30943=ORIENTED_EDGE('',*,*,#22666,.T.);
#30944=ORIENTED_EDGE('',*,*,#23484,.T.);
#30945=ORIENTED_EDGE('',*,*,#22640,.F.);
#30946=ORIENTED_EDGE('',*,*,#22667,.T.);
#30947=ORIENTED_EDGE('',*,*,#23485,.T.);
#30948=ORIENTED_EDGE('',*,*,#22639,.F.);
#30949=ORIENTED_EDGE('',*,*,#23486,.F.);
#30950=ORIENTED_EDGE('',*,*,#22670,.F.);
#30951=ORIENTED_EDGE('',*,*,#22668,.T.);
#30952=ORIENTED_EDGE('',*,*,#23486,.T.);
#30953=ORIENTED_EDGE('',*,*,#22638,.F.);
#30954=ORIENTED_EDGE('',*,*,#22674,.T.);
#30955=ORIENTED_EDGE('',*,*,#23432,.T.);
#30956=ORIENTED_EDGE('',*,*,#22704,.F.);
#30957=ORIENTED_EDGE('',*,*,#23487,.F.);
#30958=ORIENTED_EDGE('',*,*,#23488,.F.);
#30959=ORIENTED_EDGE('',*,*,#22675,.T.);
#30960=ORIENTED_EDGE('',*,*,#23487,.T.);
#30961=ORIENTED_EDGE('',*,*,#22703,.F.);
#30962=ORIENTED_EDGE('',*,*,#23489,.F.);
#30963=ORIENTED_EDGE('',*,*,#22676,.T.);
#30964=ORIENTED_EDGE('',*,*,#23488,.T.);
#30965=ORIENTED_EDGE('',*,*,#22702,.F.);
#30966=ORIENTED_EDGE('',*,*,#23490,.F.);
#30967=ORIENTED_EDGE('',*,*,#22677,.T.);
#30968=ORIENTED_EDGE('',*,*,#23489,.T.);
#30969=ORIENTED_EDGE('',*,*,#22701,.F.);
#30970=ORIENTED_EDGE('',*,*,#23491,.F.);
#30971=ORIENTED_EDGE('',*,*,#22678,.T.);
#30972=ORIENTED_EDGE('',*,*,#23490,.T.);
#30973=ORIENTED_EDGE('',*,*,#22700,.F.);
#30974=ORIENTED_EDGE('',*,*,#23492,.F.);
#30975=ORIENTED_EDGE('',*,*,#22679,.T.);
#30976=ORIENTED_EDGE('',*,*,#23491,.T.);
#30977=ORIENTED_EDGE('',*,*,#22699,.F.);
#30978=ORIENTED_EDGE('',*,*,#22680,.T.);
#30979=ORIENTED_EDGE('',*,*,#23492,.T.);
#30980=ORIENTED_EDGE('',*,*,#22698,.F.);
#30981=ORIENTED_EDGE('',*,*,#23493,.F.);
#30982=ORIENTED_EDGE('',*,*,#22695,.F.);
#30983=ORIENTED_EDGE('',*,*,#22681,.T.);
#30984=ORIENTED_EDGE('',*,*,#23493,.T.);
#30985=ORIENTED_EDGE('',*,*,#22697,.F.);
#30986=ORIENTED_EDGE('',*,*,#22707,.T.);
#30987=ORIENTED_EDGE('',*,*,#23431,.T.);
#30988=ORIENTED_EDGE('',*,*,#22691,.F.);
#30989=ORIENTED_EDGE('',*,*,#23494,.F.);
#30990=ORIENTED_EDGE('',*,*,#23495,.F.);
#30991=ORIENTED_EDGE('',*,*,#22708,.T.);
#30992=ORIENTED_EDGE('',*,*,#23494,.T.);
#30993=ORIENTED_EDGE('',*,*,#22690,.F.);
#30994=ORIENTED_EDGE('',*,*,#23496,.F.);
#30995=ORIENTED_EDGE('',*,*,#22709,.T.);
#30996=ORIENTED_EDGE('',*,*,#23495,.T.);
#30997=ORIENTED_EDGE('',*,*,#22689,.F.);
#30998=ORIENTED_EDGE('',*,*,#23497,.F.);
#30999=ORIENTED_EDGE('',*,*,#22710,.T.);
#31000=ORIENTED_EDGE('',*,*,#23496,.T.);
#31001=ORIENTED_EDGE('',*,*,#22688,.F.);
#31002=ORIENTED_EDGE('',*,*,#23498,.F.);
#31003=ORIENTED_EDGE('',*,*,#22711,.T.);
#31004=ORIENTED_EDGE('',*,*,#23497,.T.);
#31005=ORIENTED_EDGE('',*,*,#22687,.F.);
#31006=ORIENTED_EDGE('',*,*,#23499,.F.);
#31007=ORIENTED_EDGE('',*,*,#22712,.T.);
#31008=ORIENTED_EDGE('',*,*,#23498,.T.);
#31009=ORIENTED_EDGE('',*,*,#22686,.F.);
#31010=ORIENTED_EDGE('',*,*,#22713,.T.);
#31011=ORIENTED_EDGE('',*,*,#23499,.T.);
#31012=ORIENTED_EDGE('',*,*,#22685,.F.);
#31013=ORIENTED_EDGE('',*,*,#23500,.F.);
#31014=ORIENTED_EDGE('',*,*,#22716,.F.);
#31015=ORIENTED_EDGE('',*,*,#22714,.T.);
#31016=ORIENTED_EDGE('',*,*,#23500,.T.);
#31017=ORIENTED_EDGE('',*,*,#22684,.F.);
#31018=ORIENTED_EDGE('',*,*,#22720,.T.);
#31019=ORIENTED_EDGE('',*,*,#23434,.T.);
#31020=ORIENTED_EDGE('',*,*,#22750,.F.);
#31021=ORIENTED_EDGE('',*,*,#23501,.F.);
#31022=ORIENTED_EDGE('',*,*,#23502,.F.);
#31023=ORIENTED_EDGE('',*,*,#22721,.T.);
#31024=ORIENTED_EDGE('',*,*,#23501,.T.);
#31025=ORIENTED_EDGE('',*,*,#22749,.F.);
#31026=ORIENTED_EDGE('',*,*,#23503,.F.);
#31027=ORIENTED_EDGE('',*,*,#22722,.T.);
#31028=ORIENTED_EDGE('',*,*,#23502,.T.);
#31029=ORIENTED_EDGE('',*,*,#22748,.F.);
#31030=ORIENTED_EDGE('',*,*,#23504,.F.);
#31031=ORIENTED_EDGE('',*,*,#22723,.T.);
#31032=ORIENTED_EDGE('',*,*,#23503,.T.);
#31033=ORIENTED_EDGE('',*,*,#22747,.F.);
#31034=ORIENTED_EDGE('',*,*,#23505,.F.);
#31035=ORIENTED_EDGE('',*,*,#22724,.T.);
#31036=ORIENTED_EDGE('',*,*,#23504,.T.);
#31037=ORIENTED_EDGE('',*,*,#22746,.F.);
#31038=ORIENTED_EDGE('',*,*,#23506,.F.);
#31039=ORIENTED_EDGE('',*,*,#22725,.T.);
#31040=ORIENTED_EDGE('',*,*,#23505,.T.);
#31041=ORIENTED_EDGE('',*,*,#22745,.F.);
#31042=ORIENTED_EDGE('',*,*,#22726,.T.);
#31043=ORIENTED_EDGE('',*,*,#23506,.T.);
#31044=ORIENTED_EDGE('',*,*,#22744,.F.);
#31045=ORIENTED_EDGE('',*,*,#23507,.F.);
#31046=ORIENTED_EDGE('',*,*,#22741,.F.);
#31047=ORIENTED_EDGE('',*,*,#22727,.T.);
#31048=ORIENTED_EDGE('',*,*,#23507,.T.);
#31049=ORIENTED_EDGE('',*,*,#22743,.F.);
#31050=ORIENTED_EDGE('',*,*,#22753,.T.);
#31051=ORIENTED_EDGE('',*,*,#23433,.T.);
#31052=ORIENTED_EDGE('',*,*,#22737,.F.);
#31053=ORIENTED_EDGE('',*,*,#23508,.F.);
#31054=ORIENTED_EDGE('',*,*,#23509,.F.);
#31055=ORIENTED_EDGE('',*,*,#22754,.T.);
#31056=ORIENTED_EDGE('',*,*,#23508,.T.);
#31057=ORIENTED_EDGE('',*,*,#22736,.F.);
#31058=ORIENTED_EDGE('',*,*,#23510,.F.);
#31059=ORIENTED_EDGE('',*,*,#22755,.T.);
#31060=ORIENTED_EDGE('',*,*,#23509,.T.);
#31061=ORIENTED_EDGE('',*,*,#22735,.F.);
#31062=ORIENTED_EDGE('',*,*,#23511,.F.);
#31063=ORIENTED_EDGE('',*,*,#22756,.T.);
#31064=ORIENTED_EDGE('',*,*,#23510,.T.);
#31065=ORIENTED_EDGE('',*,*,#22734,.F.);
#31066=ORIENTED_EDGE('',*,*,#23512,.F.);
#31067=ORIENTED_EDGE('',*,*,#22757,.T.);
#31068=ORIENTED_EDGE('',*,*,#23511,.T.);
#31069=ORIENTED_EDGE('',*,*,#22733,.F.);
#31070=ORIENTED_EDGE('',*,*,#23513,.F.);
#31071=ORIENTED_EDGE('',*,*,#22758,.T.);
#31072=ORIENTED_EDGE('',*,*,#23512,.T.);
#31073=ORIENTED_EDGE('',*,*,#22732,.F.);
#31074=ORIENTED_EDGE('',*,*,#22759,.T.);
#31075=ORIENTED_EDGE('',*,*,#23513,.T.);
#31076=ORIENTED_EDGE('',*,*,#22731,.F.);
#31077=ORIENTED_EDGE('',*,*,#23514,.F.);
#31078=ORIENTED_EDGE('',*,*,#22762,.F.);
#31079=ORIENTED_EDGE('',*,*,#22760,.T.);
#31080=ORIENTED_EDGE('',*,*,#23514,.T.);
#31081=ORIENTED_EDGE('',*,*,#22730,.F.);
#31082=ORIENTED_EDGE('',*,*,#22766,.T.);
#31083=ORIENTED_EDGE('',*,*,#23436,.T.);
#31084=ORIENTED_EDGE('',*,*,#22796,.F.);
#31085=ORIENTED_EDGE('',*,*,#23515,.F.);
#31086=ORIENTED_EDGE('',*,*,#23516,.F.);
#31087=ORIENTED_EDGE('',*,*,#22767,.T.);
#31088=ORIENTED_EDGE('',*,*,#23515,.T.);
#31089=ORIENTED_EDGE('',*,*,#22795,.F.);
#31090=ORIENTED_EDGE('',*,*,#23517,.F.);
#31091=ORIENTED_EDGE('',*,*,#22768,.T.);
#31092=ORIENTED_EDGE('',*,*,#23516,.T.);
#31093=ORIENTED_EDGE('',*,*,#22794,.F.);
#31094=ORIENTED_EDGE('',*,*,#23518,.F.);
#31095=ORIENTED_EDGE('',*,*,#22769,.T.);
#31096=ORIENTED_EDGE('',*,*,#23517,.T.);
#31097=ORIENTED_EDGE('',*,*,#22793,.F.);
#31098=ORIENTED_EDGE('',*,*,#23519,.F.);
#31099=ORIENTED_EDGE('',*,*,#22770,.T.);
#31100=ORIENTED_EDGE('',*,*,#23518,.T.);
#31101=ORIENTED_EDGE('',*,*,#22792,.F.);
#31102=ORIENTED_EDGE('',*,*,#23520,.F.);
#31103=ORIENTED_EDGE('',*,*,#22771,.T.);
#31104=ORIENTED_EDGE('',*,*,#23519,.T.);
#31105=ORIENTED_EDGE('',*,*,#22791,.F.);
#31106=ORIENTED_EDGE('',*,*,#22772,.T.);
#31107=ORIENTED_EDGE('',*,*,#23520,.T.);
#31108=ORIENTED_EDGE('',*,*,#22790,.F.);
#31109=ORIENTED_EDGE('',*,*,#23521,.F.);
#31110=ORIENTED_EDGE('',*,*,#22787,.F.);
#31111=ORIENTED_EDGE('',*,*,#22773,.T.);
#31112=ORIENTED_EDGE('',*,*,#23521,.T.);
#31113=ORIENTED_EDGE('',*,*,#22789,.F.);
#31114=ORIENTED_EDGE('',*,*,#22799,.T.);
#31115=ORIENTED_EDGE('',*,*,#23435,.T.);
#31116=ORIENTED_EDGE('',*,*,#22783,.F.);
#31117=ORIENTED_EDGE('',*,*,#23522,.F.);
#31118=ORIENTED_EDGE('',*,*,#23523,.F.);
#31119=ORIENTED_EDGE('',*,*,#22800,.T.);
#31120=ORIENTED_EDGE('',*,*,#23522,.T.);
#31121=ORIENTED_EDGE('',*,*,#22782,.F.);
#31122=ORIENTED_EDGE('',*,*,#23524,.F.);
#31123=ORIENTED_EDGE('',*,*,#22801,.T.);
#31124=ORIENTED_EDGE('',*,*,#23523,.T.);
#31125=ORIENTED_EDGE('',*,*,#22781,.F.);
#31126=ORIENTED_EDGE('',*,*,#23525,.F.);
#31127=ORIENTED_EDGE('',*,*,#22802,.T.);
#31128=ORIENTED_EDGE('',*,*,#23524,.T.);
#31129=ORIENTED_EDGE('',*,*,#22780,.F.);
#31130=ORIENTED_EDGE('',*,*,#23526,.F.);
#31131=ORIENTED_EDGE('',*,*,#22803,.T.);
#31132=ORIENTED_EDGE('',*,*,#23525,.T.);
#31133=ORIENTED_EDGE('',*,*,#22779,.F.);
#31134=ORIENTED_EDGE('',*,*,#23527,.F.);
#31135=ORIENTED_EDGE('',*,*,#22804,.T.);
#31136=ORIENTED_EDGE('',*,*,#23526,.T.);
#31137=ORIENTED_EDGE('',*,*,#22778,.F.);
#31138=ORIENTED_EDGE('',*,*,#22805,.T.);
#31139=ORIENTED_EDGE('',*,*,#23527,.T.);
#31140=ORIENTED_EDGE('',*,*,#22777,.F.);
#31141=ORIENTED_EDGE('',*,*,#23528,.F.);
#31142=ORIENTED_EDGE('',*,*,#22808,.F.);
#31143=ORIENTED_EDGE('',*,*,#22806,.T.);
#31144=ORIENTED_EDGE('',*,*,#23528,.T.);
#31145=ORIENTED_EDGE('',*,*,#22776,.F.);
#31146=ORIENTED_EDGE('',*,*,#22812,.T.);
#31147=ORIENTED_EDGE('',*,*,#23438,.T.);
#31148=ORIENTED_EDGE('',*,*,#22842,.F.);
#31149=ORIENTED_EDGE('',*,*,#23529,.F.);
#31150=ORIENTED_EDGE('',*,*,#23530,.F.);
#31151=ORIENTED_EDGE('',*,*,#22813,.T.);
#31152=ORIENTED_EDGE('',*,*,#23529,.T.);
#31153=ORIENTED_EDGE('',*,*,#22841,.F.);
#31154=ORIENTED_EDGE('',*,*,#23531,.F.);
#31155=ORIENTED_EDGE('',*,*,#22814,.T.);
#31156=ORIENTED_EDGE('',*,*,#23530,.T.);
#31157=ORIENTED_EDGE('',*,*,#22840,.F.);
#31158=ORIENTED_EDGE('',*,*,#23532,.F.);
#31159=ORIENTED_EDGE('',*,*,#22815,.T.);
#31160=ORIENTED_EDGE('',*,*,#23531,.T.);
#31161=ORIENTED_EDGE('',*,*,#22839,.F.);
#31162=ORIENTED_EDGE('',*,*,#23533,.F.);
#31163=ORIENTED_EDGE('',*,*,#22816,.T.);
#31164=ORIENTED_EDGE('',*,*,#23532,.T.);
#31165=ORIENTED_EDGE('',*,*,#22838,.F.);
#31166=ORIENTED_EDGE('',*,*,#23534,.F.);
#31167=ORIENTED_EDGE('',*,*,#22817,.T.);
#31168=ORIENTED_EDGE('',*,*,#23533,.T.);
#31169=ORIENTED_EDGE('',*,*,#22837,.F.);
#31170=ORIENTED_EDGE('',*,*,#22818,.T.);
#31171=ORIENTED_EDGE('',*,*,#23534,.T.);
#31172=ORIENTED_EDGE('',*,*,#22836,.F.);
#31173=ORIENTED_EDGE('',*,*,#23535,.F.);
#31174=ORIENTED_EDGE('',*,*,#22833,.F.);
#31175=ORIENTED_EDGE('',*,*,#22819,.T.);
#31176=ORIENTED_EDGE('',*,*,#23535,.T.);
#31177=ORIENTED_EDGE('',*,*,#22835,.F.);
#31178=ORIENTED_EDGE('',*,*,#22845,.T.);
#31179=ORIENTED_EDGE('',*,*,#23437,.T.);
#31180=ORIENTED_EDGE('',*,*,#22829,.F.);
#31181=ORIENTED_EDGE('',*,*,#23536,.F.);
#31182=ORIENTED_EDGE('',*,*,#23537,.F.);
#31183=ORIENTED_EDGE('',*,*,#22846,.T.);
#31184=ORIENTED_EDGE('',*,*,#23536,.T.);
#31185=ORIENTED_EDGE('',*,*,#22828,.F.);
#31186=ORIENTED_EDGE('',*,*,#23538,.F.);
#31187=ORIENTED_EDGE('',*,*,#22847,.T.);
#31188=ORIENTED_EDGE('',*,*,#23537,.T.);
#31189=ORIENTED_EDGE('',*,*,#22827,.F.);
#31190=ORIENTED_EDGE('',*,*,#23539,.F.);
#31191=ORIENTED_EDGE('',*,*,#22848,.T.);
#31192=ORIENTED_EDGE('',*,*,#23538,.T.);
#31193=ORIENTED_EDGE('',*,*,#22826,.F.);
#31194=ORIENTED_EDGE('',*,*,#23540,.F.);
#31195=ORIENTED_EDGE('',*,*,#22849,.T.);
#31196=ORIENTED_EDGE('',*,*,#23539,.T.);
#31197=ORIENTED_EDGE('',*,*,#22825,.F.);
#31198=ORIENTED_EDGE('',*,*,#23541,.F.);
#31199=ORIENTED_EDGE('',*,*,#22850,.T.);
#31200=ORIENTED_EDGE('',*,*,#23540,.T.);
#31201=ORIENTED_EDGE('',*,*,#22824,.F.);
#31202=ORIENTED_EDGE('',*,*,#22851,.T.);
#31203=ORIENTED_EDGE('',*,*,#23541,.T.);
#31204=ORIENTED_EDGE('',*,*,#22823,.F.);
#31205=ORIENTED_EDGE('',*,*,#23542,.F.);
#31206=ORIENTED_EDGE('',*,*,#22854,.F.);
#31207=ORIENTED_EDGE('',*,*,#22852,.T.);
#31208=ORIENTED_EDGE('',*,*,#23542,.T.);
#31209=ORIENTED_EDGE('',*,*,#22822,.F.);
#31210=ORIENTED_EDGE('',*,*,#23447,.F.);
#31211=ORIENTED_EDGE('',*,*,#22882,.F.);
#31212=ORIENTED_EDGE('',*,*,#23543,.F.);
#31213=ORIENTED_EDGE('',*,*,#22930,.F.);
#31214=ORIENTED_EDGE('',*,*,#23544,.F.);
#31215=ORIENTED_EDGE('',*,*,#22978,.F.);
#31216=ORIENTED_EDGE('',*,*,#23545,.F.);
#31217=ORIENTED_EDGE('',*,*,#23026,.F.);
#31218=ORIENTED_EDGE('',*,*,#23546,.F.);
#31219=ORIENTED_EDGE('',*,*,#23074,.F.);
#31220=ORIENTED_EDGE('',*,*,#23547,.F.);
#31221=ORIENTED_EDGE('',*,*,#23122,.F.);
#31222=ORIENTED_EDGE('',*,*,#23548,.F.);
#31223=ORIENTED_EDGE('',*,*,#23147,.F.);
#31224=ORIENTED_EDGE('',*,*,#23549,.F.);
#31225=ORIENTED_EDGE('',*,*,#23099,.F.);
#31226=ORIENTED_EDGE('',*,*,#23550,.F.);
#31227=ORIENTED_EDGE('',*,*,#23051,.F.);
#31228=ORIENTED_EDGE('',*,*,#23551,.F.);
#31229=ORIENTED_EDGE('',*,*,#23003,.F.);
#31230=ORIENTED_EDGE('',*,*,#23552,.F.);
#31231=ORIENTED_EDGE('',*,*,#22955,.F.);
#31232=ORIENTED_EDGE('',*,*,#23553,.F.);
#31233=ORIENTED_EDGE('',*,*,#22907,.F.);
#31234=ORIENTED_EDGE('',*,*,#23554,.F.);
#31235=ORIENTED_EDGE('',*,*,#22859,.F.);
#31236=ORIENTED_EDGE('',*,*,#23424,.T.);
#31237=ORIENTED_EDGE('',*,*,#23443,.T.);
#31238=ORIENTED_EDGE('',*,*,#22861,.T.);
#31239=ORIENTED_EDGE('',*,*,#22860,.T.);
#31240=ORIENTED_EDGE('',*,*,#23554,.T.);
#31241=ORIENTED_EDGE('',*,*,#22906,.F.);
#31242=ORIENTED_EDGE('',*,*,#22894,.F.);
#31243=ORIENTED_EDGE('',*,*,#23555,.F.);
#31244=ORIENTED_EDGE('',*,*,#22862,.T.);
#31245=ORIENTED_EDGE('',*,*,#23555,.T.);
#31246=ORIENTED_EDGE('',*,*,#22893,.F.);
#31247=ORIENTED_EDGE('',*,*,#23556,.F.);
#31248=ORIENTED_EDGE('',*,*,#23557,.F.);
#31249=ORIENTED_EDGE('',*,*,#22863,.T.);
#31250=ORIENTED_EDGE('',*,*,#23556,.T.);
#31251=ORIENTED_EDGE('',*,*,#22892,.F.);
#31252=ORIENTED_EDGE('',*,*,#23558,.F.);
#31253=ORIENTED_EDGE('',*,*,#22864,.T.);
#31254=ORIENTED_EDGE('',*,*,#23557,.T.);
#31255=ORIENTED_EDGE('',*,*,#22891,.F.);
#31256=ORIENTED_EDGE('',*,*,#23559,.F.);
#31257=ORIENTED_EDGE('',*,*,#22865,.T.);
#31258=ORIENTED_EDGE('',*,*,#23558,.T.);
#31259=ORIENTED_EDGE('',*,*,#22890,.F.);
#31260=ORIENTED_EDGE('',*,*,#23560,.F.);
#31261=ORIENTED_EDGE('',*,*,#22866,.T.);
#31262=ORIENTED_EDGE('',*,*,#23559,.T.);
#31263=ORIENTED_EDGE('',*,*,#22889,.F.);
#31264=ORIENTED_EDGE('',*,*,#23561,.F.);
#31265=ORIENTED_EDGE('',*,*,#22867,.T.);
#31266=ORIENTED_EDGE('',*,*,#23560,.T.);
#31267=ORIENTED_EDGE('',*,*,#22888,.F.);
#31268=ORIENTED_EDGE('',*,*,#22868,.T.);
#31269=ORIENTED_EDGE('',*,*,#23561,.T.);
#31270=ORIENTED_EDGE('',*,*,#22887,.F.);
#31271=ORIENTED_EDGE('',*,*,#23562,.F.);
#31272=ORIENTED_EDGE('',*,*,#22884,.F.);
#31273=ORIENTED_EDGE('',*,*,#22869,.T.);
#31274=ORIENTED_EDGE('',*,*,#23562,.T.);
#31275=ORIENTED_EDGE('',*,*,#22886,.F.);
#31276=ORIENTED_EDGE('',*,*,#22895,.T.);
#31277=ORIENTED_EDGE('',*,*,#22931,.T.);
#31278=ORIENTED_EDGE('',*,*,#23543,.T.);
#31279=ORIENTED_EDGE('',*,*,#22881,.F.);
#31280=ORIENTED_EDGE('',*,*,#22880,.F.);
#31281=ORIENTED_EDGE('',*,*,#23563,.F.);
#31282=ORIENTED_EDGE('',*,*,#22896,.T.);
#31283=ORIENTED_EDGE('',*,*,#23563,.T.);
#31284=ORIENTED_EDGE('',*,*,#22879,.F.);
#31285=ORIENTED_EDGE('',*,*,#23564,.F.);
#31286=ORIENTED_EDGE('',*,*,#23565,.F.);
#31287=ORIENTED_EDGE('',*,*,#22897,.T.);
#31288=ORIENTED_EDGE('',*,*,#23564,.T.);
#31289=ORIENTED_EDGE('',*,*,#22878,.F.);
#31290=ORIENTED_EDGE('',*,*,#23566,.F.);
#31291=ORIENTED_EDGE('',*,*,#22898,.T.);
#31292=ORIENTED_EDGE('',*,*,#23565,.T.);
#31293=ORIENTED_EDGE('',*,*,#22877,.F.);
#31294=ORIENTED_EDGE('',*,*,#23567,.F.);
#31295=ORIENTED_EDGE('',*,*,#22899,.T.);
#31296=ORIENTED_EDGE('',*,*,#23566,.T.);
#31297=ORIENTED_EDGE('',*,*,#22876,.F.);
#31298=ORIENTED_EDGE('',*,*,#23568,.F.);
#31299=ORIENTED_EDGE('',*,*,#22900,.T.);
#31300=ORIENTED_EDGE('',*,*,#23567,.T.);
#31301=ORIENTED_EDGE('',*,*,#22875,.F.);
#31302=ORIENTED_EDGE('',*,*,#23569,.F.);
#31303=ORIENTED_EDGE('',*,*,#22901,.T.);
#31304=ORIENTED_EDGE('',*,*,#23568,.T.);
#31305=ORIENTED_EDGE('',*,*,#22874,.F.);
#31306=ORIENTED_EDGE('',*,*,#22902,.T.);
#31307=ORIENTED_EDGE('',*,*,#23569,.T.);
#31308=ORIENTED_EDGE('',*,*,#22873,.F.);
#31309=ORIENTED_EDGE('',*,*,#23570,.F.);
#31310=ORIENTED_EDGE('',*,*,#22905,.F.);
#31311=ORIENTED_EDGE('',*,*,#22903,.T.);
#31312=ORIENTED_EDGE('',*,*,#23570,.T.);
#31313=ORIENTED_EDGE('',*,*,#22872,.F.);
#31314=ORIENTED_EDGE('',*,*,#22909,.T.);
#31315=ORIENTED_EDGE('',*,*,#22908,.T.);
#31316=ORIENTED_EDGE('',*,*,#23553,.T.);
#31317=ORIENTED_EDGE('',*,*,#22954,.F.);
#31318=ORIENTED_EDGE('',*,*,#22942,.F.);
#31319=ORIENTED_EDGE('',*,*,#23571,.F.);
#31320=ORIENTED_EDGE('',*,*,#22910,.T.);
#31321=ORIENTED_EDGE('',*,*,#23571,.T.);
#31322=ORIENTED_EDGE('',*,*,#22941,.F.);
#31323=ORIENTED_EDGE('',*,*,#23572,.F.);
#31324=ORIENTED_EDGE('',*,*,#23573,.F.);
#31325=ORIENTED_EDGE('',*,*,#22911,.T.);
#31326=ORIENTED_EDGE('',*,*,#23572,.T.);
#31327=ORIENTED_EDGE('',*,*,#22940,.F.);
#31328=ORIENTED_EDGE('',*,*,#23574,.F.);
#31329=ORIENTED_EDGE('',*,*,#22912,.T.);
#31330=ORIENTED_EDGE('',*,*,#23573,.T.);
#31331=ORIENTED_EDGE('',*,*,#22939,.F.);
#31332=ORIENTED_EDGE('',*,*,#23575,.F.);
#31333=ORIENTED_EDGE('',*,*,#22913,.T.);
#31334=ORIENTED_EDGE('',*,*,#23574,.T.);
#31335=ORIENTED_EDGE('',*,*,#22938,.F.);
#31336=ORIENTED_EDGE('',*,*,#23576,.F.);
#31337=ORIENTED_EDGE('',*,*,#22914,.T.);
#31338=ORIENTED_EDGE('',*,*,#23575,.T.);
#31339=ORIENTED_EDGE('',*,*,#22937,.F.);
#31340=ORIENTED_EDGE('',*,*,#23577,.F.);
#31341=ORIENTED_EDGE('',*,*,#22915,.T.);
#31342=ORIENTED_EDGE('',*,*,#23576,.T.);
#31343=ORIENTED_EDGE('',*,*,#22936,.F.);
#31344=ORIENTED_EDGE('',*,*,#22916,.T.);
#31345=ORIENTED_EDGE('',*,*,#23577,.T.);
#31346=ORIENTED_EDGE('',*,*,#22935,.F.);
#31347=ORIENTED_EDGE('',*,*,#23578,.F.);
#31348=ORIENTED_EDGE('',*,*,#22932,.F.);
#31349=ORIENTED_EDGE('',*,*,#22917,.T.);
#31350=ORIENTED_EDGE('',*,*,#23578,.T.);
#31351=ORIENTED_EDGE('',*,*,#22934,.F.);
#31352=ORIENTED_EDGE('',*,*,#22943,.T.);
#31353=ORIENTED_EDGE('',*,*,#22979,.T.);
#31354=ORIENTED_EDGE('',*,*,#23544,.T.);
#31355=ORIENTED_EDGE('',*,*,#22929,.F.);
#31356=ORIENTED_EDGE('',*,*,#22928,.F.);
#31357=ORIENTED_EDGE('',*,*,#23579,.F.);
#31358=ORIENTED_EDGE('',*,*,#22944,.T.);
#31359=ORIENTED_EDGE('',*,*,#23579,.T.);
#31360=ORIENTED_EDGE('',*,*,#22927,.F.);
#31361=ORIENTED_EDGE('',*,*,#23580,.F.);
#31362=ORIENTED_EDGE('',*,*,#23581,.F.);
#31363=ORIENTED_EDGE('',*,*,#22945,.T.);
#31364=ORIENTED_EDGE('',*,*,#23580,.T.);
#31365=ORIENTED_EDGE('',*,*,#22926,.F.);
#31366=ORIENTED_EDGE('',*,*,#23582,.F.);
#31367=ORIENTED_EDGE('',*,*,#22946,.T.);
#31368=ORIENTED_EDGE('',*,*,#23581,.T.);
#31369=ORIENTED_EDGE('',*,*,#22925,.F.);
#31370=ORIENTED_EDGE('',*,*,#23583,.F.);
#31371=ORIENTED_EDGE('',*,*,#22947,.T.);
#31372=ORIENTED_EDGE('',*,*,#23582,.T.);
#31373=ORIENTED_EDGE('',*,*,#22924,.F.);
#31374=ORIENTED_EDGE('',*,*,#23584,.F.);
#31375=ORIENTED_EDGE('',*,*,#22948,.T.);
#31376=ORIENTED_EDGE('',*,*,#23583,.T.);
#31377=ORIENTED_EDGE('',*,*,#22923,.F.);
#31378=ORIENTED_EDGE('',*,*,#23585,.F.);
#31379=ORIENTED_EDGE('',*,*,#22949,.T.);
#31380=ORIENTED_EDGE('',*,*,#23584,.T.);
#31381=ORIENTED_EDGE('',*,*,#22922,.F.);
#31382=ORIENTED_EDGE('',*,*,#22950,.T.);
#31383=ORIENTED_EDGE('',*,*,#23585,.T.);
#31384=ORIENTED_EDGE('',*,*,#22921,.F.);
#31385=ORIENTED_EDGE('',*,*,#23586,.F.);
#31386=ORIENTED_EDGE('',*,*,#22953,.F.);
#31387=ORIENTED_EDGE('',*,*,#22951,.T.);
#31388=ORIENTED_EDGE('',*,*,#23586,.T.);
#31389=ORIENTED_EDGE('',*,*,#22920,.F.);
#31390=ORIENTED_EDGE('',*,*,#22957,.T.);
#31391=ORIENTED_EDGE('',*,*,#22956,.T.);
#31392=ORIENTED_EDGE('',*,*,#23552,.T.);
#31393=ORIENTED_EDGE('',*,*,#23002,.F.);
#31394=ORIENTED_EDGE('',*,*,#22990,.F.);
#31395=ORIENTED_EDGE('',*,*,#23587,.F.);
#31396=ORIENTED_EDGE('',*,*,#22958,.T.);
#31397=ORIENTED_EDGE('',*,*,#23587,.T.);
#31398=ORIENTED_EDGE('',*,*,#22989,.F.);
#31399=ORIENTED_EDGE('',*,*,#23588,.F.);
#31400=ORIENTED_EDGE('',*,*,#23589,.F.);
#31401=ORIENTED_EDGE('',*,*,#22959,.T.);
#31402=ORIENTED_EDGE('',*,*,#23588,.T.);
#31403=ORIENTED_EDGE('',*,*,#22988,.F.);
#31404=ORIENTED_EDGE('',*,*,#23590,.F.);
#31405=ORIENTED_EDGE('',*,*,#22960,.T.);
#31406=ORIENTED_EDGE('',*,*,#23589,.T.);
#31407=ORIENTED_EDGE('',*,*,#22987,.F.);
#31408=ORIENTED_EDGE('',*,*,#23591,.F.);
#31409=ORIENTED_EDGE('',*,*,#22961,.T.);
#31410=ORIENTED_EDGE('',*,*,#23590,.T.);
#31411=ORIENTED_EDGE('',*,*,#22986,.F.);
#31412=ORIENTED_EDGE('',*,*,#23592,.F.);
#31413=ORIENTED_EDGE('',*,*,#22962,.T.);
#31414=ORIENTED_EDGE('',*,*,#23591,.T.);
#31415=ORIENTED_EDGE('',*,*,#22985,.F.);
#31416=ORIENTED_EDGE('',*,*,#23593,.F.);
#31417=ORIENTED_EDGE('',*,*,#22963,.T.);
#31418=ORIENTED_EDGE('',*,*,#23592,.T.);
#31419=ORIENTED_EDGE('',*,*,#22984,.F.);
#31420=ORIENTED_EDGE('',*,*,#22964,.T.);
#31421=ORIENTED_EDGE('',*,*,#23593,.T.);
#31422=ORIENTED_EDGE('',*,*,#22983,.F.);
#31423=ORIENTED_EDGE('',*,*,#23594,.F.);
#31424=ORIENTED_EDGE('',*,*,#22980,.F.);
#31425=ORIENTED_EDGE('',*,*,#22965,.T.);
#31426=ORIENTED_EDGE('',*,*,#23594,.T.);
#31427=ORIENTED_EDGE('',*,*,#22982,.F.);
#31428=ORIENTED_EDGE('',*,*,#22991,.T.);
#31429=ORIENTED_EDGE('',*,*,#23027,.T.);
#31430=ORIENTED_EDGE('',*,*,#23545,.T.);
#31431=ORIENTED_EDGE('',*,*,#22977,.F.);
#31432=ORIENTED_EDGE('',*,*,#22976,.F.);
#31433=ORIENTED_EDGE('',*,*,#23595,.F.);
#31434=ORIENTED_EDGE('',*,*,#22992,.T.);
#31435=ORIENTED_EDGE('',*,*,#23595,.T.);
#31436=ORIENTED_EDGE('',*,*,#22975,.F.);
#31437=ORIENTED_EDGE('',*,*,#23596,.F.);
#31438=ORIENTED_EDGE('',*,*,#23597,.F.);
#31439=ORIENTED_EDGE('',*,*,#22993,.T.);
#31440=ORIENTED_EDGE('',*,*,#23596,.T.);
#31441=ORIENTED_EDGE('',*,*,#22974,.F.);
#31442=ORIENTED_EDGE('',*,*,#23598,.F.);
#31443=ORIENTED_EDGE('',*,*,#22994,.T.);
#31444=ORIENTED_EDGE('',*,*,#23597,.T.);
#31445=ORIENTED_EDGE('',*,*,#22973,.F.);
#31446=ORIENTED_EDGE('',*,*,#23599,.F.);
#31447=ORIENTED_EDGE('',*,*,#22995,.T.);
#31448=ORIENTED_EDGE('',*,*,#23598,.T.);
#31449=ORIENTED_EDGE('',*,*,#22972,.F.);
#31450=ORIENTED_EDGE('',*,*,#23600,.F.);
#31451=ORIENTED_EDGE('',*,*,#22996,.T.);
#31452=ORIENTED_EDGE('',*,*,#23599,.T.);
#31453=ORIENTED_EDGE('',*,*,#22971,.F.);
#31454=ORIENTED_EDGE('',*,*,#23601,.F.);
#31455=ORIENTED_EDGE('',*,*,#22997,.T.);
#31456=ORIENTED_EDGE('',*,*,#23600,.T.);
#31457=ORIENTED_EDGE('',*,*,#22970,.F.);
#31458=ORIENTED_EDGE('',*,*,#22998,.T.);
#31459=ORIENTED_EDGE('',*,*,#23601,.T.);
#31460=ORIENTED_EDGE('',*,*,#22969,.F.);
#31461=ORIENTED_EDGE('',*,*,#23602,.F.);
#31462=ORIENTED_EDGE('',*,*,#23001,.F.);
#31463=ORIENTED_EDGE('',*,*,#22999,.T.);
#31464=ORIENTED_EDGE('',*,*,#23602,.T.);
#31465=ORIENTED_EDGE('',*,*,#22968,.F.);
#31466=ORIENTED_EDGE('',*,*,#23005,.T.);
#31467=ORIENTED_EDGE('',*,*,#23004,.T.);
#31468=ORIENTED_EDGE('',*,*,#23551,.T.);
#31469=ORIENTED_EDGE('',*,*,#23050,.F.);
#31470=ORIENTED_EDGE('',*,*,#23038,.F.);
#31471=ORIENTED_EDGE('',*,*,#23603,.F.);
#31472=ORIENTED_EDGE('',*,*,#23006,.T.);
#31473=ORIENTED_EDGE('',*,*,#23603,.T.);
#31474=ORIENTED_EDGE('',*,*,#23037,.F.);
#31475=ORIENTED_EDGE('',*,*,#23604,.F.);
#31476=ORIENTED_EDGE('',*,*,#23605,.F.);
#31477=ORIENTED_EDGE('',*,*,#23007,.T.);
#31478=ORIENTED_EDGE('',*,*,#23604,.T.);
#31479=ORIENTED_EDGE('',*,*,#23036,.F.);
#31480=ORIENTED_EDGE('',*,*,#23606,.F.);
#31481=ORIENTED_EDGE('',*,*,#23008,.T.);
#31482=ORIENTED_EDGE('',*,*,#23605,.T.);
#31483=ORIENTED_EDGE('',*,*,#23035,.F.);
#31484=ORIENTED_EDGE('',*,*,#23607,.F.);
#31485=ORIENTED_EDGE('',*,*,#23009,.T.);
#31486=ORIENTED_EDGE('',*,*,#23606,.T.);
#31487=ORIENTED_EDGE('',*,*,#23034,.F.);
#31488=ORIENTED_EDGE('',*,*,#23608,.F.);
#31489=ORIENTED_EDGE('',*,*,#23010,.T.);
#31490=ORIENTED_EDGE('',*,*,#23607,.T.);
#31491=ORIENTED_EDGE('',*,*,#23033,.F.);
#31492=ORIENTED_EDGE('',*,*,#23609,.F.);
#31493=ORIENTED_EDGE('',*,*,#23011,.T.);
#31494=ORIENTED_EDGE('',*,*,#23608,.T.);
#31495=ORIENTED_EDGE('',*,*,#23032,.F.);
#31496=ORIENTED_EDGE('',*,*,#23012,.T.);
#31497=ORIENTED_EDGE('',*,*,#23609,.T.);
#31498=ORIENTED_EDGE('',*,*,#23031,.F.);
#31499=ORIENTED_EDGE('',*,*,#23610,.F.);
#31500=ORIENTED_EDGE('',*,*,#23028,.F.);
#31501=ORIENTED_EDGE('',*,*,#23013,.T.);
#31502=ORIENTED_EDGE('',*,*,#23610,.T.);
#31503=ORIENTED_EDGE('',*,*,#23030,.F.);
#31504=ORIENTED_EDGE('',*,*,#23039,.T.);
#31505=ORIENTED_EDGE('',*,*,#23075,.T.);
#31506=ORIENTED_EDGE('',*,*,#23546,.T.);
#31507=ORIENTED_EDGE('',*,*,#23025,.F.);
#31508=ORIENTED_EDGE('',*,*,#23024,.F.);
#31509=ORIENTED_EDGE('',*,*,#23611,.F.);
#31510=ORIENTED_EDGE('',*,*,#23040,.T.);
#31511=ORIENTED_EDGE('',*,*,#23611,.T.);
#31512=ORIENTED_EDGE('',*,*,#23023,.F.);
#31513=ORIENTED_EDGE('',*,*,#23612,.F.);
#31514=ORIENTED_EDGE('',*,*,#23613,.F.);
#31515=ORIENTED_EDGE('',*,*,#23041,.T.);
#31516=ORIENTED_EDGE('',*,*,#23612,.T.);
#31517=ORIENTED_EDGE('',*,*,#23022,.F.);
#31518=ORIENTED_EDGE('',*,*,#23614,.F.);
#31519=ORIENTED_EDGE('',*,*,#23042,.T.);
#31520=ORIENTED_EDGE('',*,*,#23613,.T.);
#31521=ORIENTED_EDGE('',*,*,#23021,.F.);
#31522=ORIENTED_EDGE('',*,*,#23615,.F.);
#31523=ORIENTED_EDGE('',*,*,#23043,.T.);
#31524=ORIENTED_EDGE('',*,*,#23614,.T.);
#31525=ORIENTED_EDGE('',*,*,#23020,.F.);
#31526=ORIENTED_EDGE('',*,*,#23616,.F.);
#31527=ORIENTED_EDGE('',*,*,#23044,.T.);
#31528=ORIENTED_EDGE('',*,*,#23615,.T.);
#31529=ORIENTED_EDGE('',*,*,#23019,.F.);
#31530=ORIENTED_EDGE('',*,*,#23617,.F.);
#31531=ORIENTED_EDGE('',*,*,#23045,.T.);
#31532=ORIENTED_EDGE('',*,*,#23616,.T.);
#31533=ORIENTED_EDGE('',*,*,#23018,.F.);
#31534=ORIENTED_EDGE('',*,*,#23046,.T.);
#31535=ORIENTED_EDGE('',*,*,#23617,.T.);
#31536=ORIENTED_EDGE('',*,*,#23017,.F.);
#31537=ORIENTED_EDGE('',*,*,#23618,.F.);
#31538=ORIENTED_EDGE('',*,*,#23049,.F.);
#31539=ORIENTED_EDGE('',*,*,#23047,.T.);
#31540=ORIENTED_EDGE('',*,*,#23618,.T.);
#31541=ORIENTED_EDGE('',*,*,#23016,.F.);
#31542=ORIENTED_EDGE('',*,*,#23053,.T.);
#31543=ORIENTED_EDGE('',*,*,#23052,.T.);
#31544=ORIENTED_EDGE('',*,*,#23550,.T.);
#31545=ORIENTED_EDGE('',*,*,#23098,.F.);
#31546=ORIENTED_EDGE('',*,*,#23086,.F.);
#31547=ORIENTED_EDGE('',*,*,#23619,.F.);
#31548=ORIENTED_EDGE('',*,*,#23054,.T.);
#31549=ORIENTED_EDGE('',*,*,#23619,.T.);
#31550=ORIENTED_EDGE('',*,*,#23085,.F.);
#31551=ORIENTED_EDGE('',*,*,#23620,.F.);
#31552=ORIENTED_EDGE('',*,*,#23621,.F.);
#31553=ORIENTED_EDGE('',*,*,#23055,.T.);
#31554=ORIENTED_EDGE('',*,*,#23620,.T.);
#31555=ORIENTED_EDGE('',*,*,#23084,.F.);
#31556=ORIENTED_EDGE('',*,*,#23622,.F.);
#31557=ORIENTED_EDGE('',*,*,#23056,.T.);
#31558=ORIENTED_EDGE('',*,*,#23621,.T.);
#31559=ORIENTED_EDGE('',*,*,#23083,.F.);
#31560=ORIENTED_EDGE('',*,*,#23623,.F.);
#31561=ORIENTED_EDGE('',*,*,#23057,.T.);
#31562=ORIENTED_EDGE('',*,*,#23622,.T.);
#31563=ORIENTED_EDGE('',*,*,#23082,.F.);
#31564=ORIENTED_EDGE('',*,*,#23624,.F.);
#31565=ORIENTED_EDGE('',*,*,#23058,.T.);
#31566=ORIENTED_EDGE('',*,*,#23623,.T.);
#31567=ORIENTED_EDGE('',*,*,#23081,.F.);
#31568=ORIENTED_EDGE('',*,*,#23625,.F.);
#31569=ORIENTED_EDGE('',*,*,#23059,.T.);
#31570=ORIENTED_EDGE('',*,*,#23624,.T.);
#31571=ORIENTED_EDGE('',*,*,#23080,.F.);
#31572=ORIENTED_EDGE('',*,*,#23060,.T.);
#31573=ORIENTED_EDGE('',*,*,#23625,.T.);
#31574=ORIENTED_EDGE('',*,*,#23079,.F.);
#31575=ORIENTED_EDGE('',*,*,#23626,.F.);
#31576=ORIENTED_EDGE('',*,*,#23076,.F.);
#31577=ORIENTED_EDGE('',*,*,#23061,.T.);
#31578=ORIENTED_EDGE('',*,*,#23626,.T.);
#31579=ORIENTED_EDGE('',*,*,#23078,.F.);
#31580=ORIENTED_EDGE('',*,*,#23087,.T.);
#31581=ORIENTED_EDGE('',*,*,#23123,.T.);
#31582=ORIENTED_EDGE('',*,*,#23547,.T.);
#31583=ORIENTED_EDGE('',*,*,#23073,.F.);
#31584=ORIENTED_EDGE('',*,*,#23072,.F.);
#31585=ORIENTED_EDGE('',*,*,#23627,.F.);
#31586=ORIENTED_EDGE('',*,*,#23088,.T.);
#31587=ORIENTED_EDGE('',*,*,#23627,.T.);
#31588=ORIENTED_EDGE('',*,*,#23071,.F.);
#31589=ORIENTED_EDGE('',*,*,#23628,.F.);
#31590=ORIENTED_EDGE('',*,*,#23629,.F.);
#31591=ORIENTED_EDGE('',*,*,#23089,.T.);
#31592=ORIENTED_EDGE('',*,*,#23628,.T.);
#31593=ORIENTED_EDGE('',*,*,#23070,.F.);
#31594=ORIENTED_EDGE('',*,*,#23630,.F.);
#31595=ORIENTED_EDGE('',*,*,#23090,.T.);
#31596=ORIENTED_EDGE('',*,*,#23629,.T.);
#31597=ORIENTED_EDGE('',*,*,#23069,.F.);
#31598=ORIENTED_EDGE('',*,*,#23631,.F.);
#31599=ORIENTED_EDGE('',*,*,#23091,.T.);
#31600=ORIENTED_EDGE('',*,*,#23630,.T.);
#31601=ORIENTED_EDGE('',*,*,#23068,.F.);
#31602=ORIENTED_EDGE('',*,*,#23632,.F.);
#31603=ORIENTED_EDGE('',*,*,#23092,.T.);
#31604=ORIENTED_EDGE('',*,*,#23631,.T.);
#31605=ORIENTED_EDGE('',*,*,#23067,.F.);
#31606=ORIENTED_EDGE('',*,*,#23633,.F.);
#31607=ORIENTED_EDGE('',*,*,#23093,.T.);
#31608=ORIENTED_EDGE('',*,*,#23632,.T.);
#31609=ORIENTED_EDGE('',*,*,#23066,.F.);
#31610=ORIENTED_EDGE('',*,*,#23094,.T.);
#31611=ORIENTED_EDGE('',*,*,#23633,.T.);
#31612=ORIENTED_EDGE('',*,*,#23065,.F.);
#31613=ORIENTED_EDGE('',*,*,#23634,.F.);
#31614=ORIENTED_EDGE('',*,*,#23097,.F.);
#31615=ORIENTED_EDGE('',*,*,#23095,.T.);
#31616=ORIENTED_EDGE('',*,*,#23634,.T.);
#31617=ORIENTED_EDGE('',*,*,#23064,.F.);
#31618=ORIENTED_EDGE('',*,*,#23101,.T.);
#31619=ORIENTED_EDGE('',*,*,#23100,.T.);
#31620=ORIENTED_EDGE('',*,*,#23549,.T.);
#31621=ORIENTED_EDGE('',*,*,#23146,.F.);
#31622=ORIENTED_EDGE('',*,*,#23134,.F.);
#31623=ORIENTED_EDGE('',*,*,#23635,.F.);
#31624=ORIENTED_EDGE('',*,*,#23102,.T.);
#31625=ORIENTED_EDGE('',*,*,#23635,.T.);
#31626=ORIENTED_EDGE('',*,*,#23133,.F.);
#31627=ORIENTED_EDGE('',*,*,#23636,.F.);
#31628=ORIENTED_EDGE('',*,*,#23637,.F.);
#31629=ORIENTED_EDGE('',*,*,#23103,.T.);
#31630=ORIENTED_EDGE('',*,*,#23636,.T.);
#31631=ORIENTED_EDGE('',*,*,#23132,.F.);
#31632=ORIENTED_EDGE('',*,*,#23638,.F.);
#31633=ORIENTED_EDGE('',*,*,#23104,.T.);
#31634=ORIENTED_EDGE('',*,*,#23637,.T.);
#31635=ORIENTED_EDGE('',*,*,#23131,.F.);
#31636=ORIENTED_EDGE('',*,*,#23639,.F.);
#31637=ORIENTED_EDGE('',*,*,#23105,.T.);
#31638=ORIENTED_EDGE('',*,*,#23638,.T.);
#31639=ORIENTED_EDGE('',*,*,#23130,.F.);
#31640=ORIENTED_EDGE('',*,*,#23640,.F.);
#31641=ORIENTED_EDGE('',*,*,#23106,.T.);
#31642=ORIENTED_EDGE('',*,*,#23639,.T.);
#31643=ORIENTED_EDGE('',*,*,#23129,.F.);
#31644=ORIENTED_EDGE('',*,*,#23641,.F.);
#31645=ORIENTED_EDGE('',*,*,#23107,.T.);
#31646=ORIENTED_EDGE('',*,*,#23640,.T.);
#31647=ORIENTED_EDGE('',*,*,#23128,.F.);
#31648=ORIENTED_EDGE('',*,*,#23108,.T.);
#31649=ORIENTED_EDGE('',*,*,#23641,.T.);
#31650=ORIENTED_EDGE('',*,*,#23127,.F.);
#31651=ORIENTED_EDGE('',*,*,#23642,.F.);
#31652=ORIENTED_EDGE('',*,*,#23124,.F.);
#31653=ORIENTED_EDGE('',*,*,#23109,.T.);
#31654=ORIENTED_EDGE('',*,*,#23642,.T.);
#31655=ORIENTED_EDGE('',*,*,#23126,.F.);
#31656=ORIENTED_EDGE('',*,*,#23135,.T.);
#31657=ORIENTED_EDGE('',*,*,#23148,.T.);
#31658=ORIENTED_EDGE('',*,*,#23548,.T.);
#31659=ORIENTED_EDGE('',*,*,#23121,.F.);
#31660=ORIENTED_EDGE('',*,*,#23120,.F.);
#31661=ORIENTED_EDGE('',*,*,#23643,.F.);
#31662=ORIENTED_EDGE('',*,*,#23136,.T.);
#31663=ORIENTED_EDGE('',*,*,#23643,.T.);
#31664=ORIENTED_EDGE('',*,*,#23119,.F.);
#31665=ORIENTED_EDGE('',*,*,#23644,.F.);
#31666=ORIENTED_EDGE('',*,*,#23645,.F.);
#31667=ORIENTED_EDGE('',*,*,#23137,.T.);
#31668=ORIENTED_EDGE('',*,*,#23644,.T.);
#31669=ORIENTED_EDGE('',*,*,#23118,.F.);
#31670=ORIENTED_EDGE('',*,*,#23646,.F.);
#31671=ORIENTED_EDGE('',*,*,#23138,.T.);
#31672=ORIENTED_EDGE('',*,*,#23645,.T.);
#31673=ORIENTED_EDGE('',*,*,#23117,.F.);
#31674=ORIENTED_EDGE('',*,*,#23647,.F.);
#31675=ORIENTED_EDGE('',*,*,#23139,.T.);
#31676=ORIENTED_EDGE('',*,*,#23646,.T.);
#31677=ORIENTED_EDGE('',*,*,#23116,.F.);
#31678=ORIENTED_EDGE('',*,*,#23648,.F.);
#31679=ORIENTED_EDGE('',*,*,#23140,.T.);
#31680=ORIENTED_EDGE('',*,*,#23647,.T.);
#31681=ORIENTED_EDGE('',*,*,#23115,.F.);
#31682=ORIENTED_EDGE('',*,*,#23649,.F.);
#31683=ORIENTED_EDGE('',*,*,#23141,.T.);
#31684=ORIENTED_EDGE('',*,*,#23648,.T.);
#31685=ORIENTED_EDGE('',*,*,#23114,.F.);
#31686=ORIENTED_EDGE('',*,*,#23142,.T.);
#31687=ORIENTED_EDGE('',*,*,#23649,.T.);
#31688=ORIENTED_EDGE('',*,*,#23113,.F.);
#31689=ORIENTED_EDGE('',*,*,#23650,.F.);
#31690=ORIENTED_EDGE('',*,*,#23145,.F.);
#31691=ORIENTED_EDGE('',*,*,#23143,.T.);
#31692=ORIENTED_EDGE('',*,*,#23650,.T.);
#31693=ORIENTED_EDGE('',*,*,#23112,.F.);
#31694=ORIENTED_EDGE('',*,*,#23153,.T.);
#31695=ORIENTED_EDGE('',*,*,#23448,.T.);
#31696=ORIENTED_EDGE('',*,*,#23171,.F.);
#31697=ORIENTED_EDGE('',*,*,#23651,.F.);
#31698=ORIENTED_EDGE('',*,*,#23652,.F.);
#31699=ORIENTED_EDGE('',*,*,#23154,.T.);
#31700=ORIENTED_EDGE('',*,*,#23651,.T.);
#31701=ORIENTED_EDGE('',*,*,#23170,.F.);
#31702=ORIENTED_EDGE('',*,*,#23653,.F.);
#31703=ORIENTED_EDGE('',*,*,#23155,.T.);
#31704=ORIENTED_EDGE('',*,*,#23652,.T.);
#31705=ORIENTED_EDGE('',*,*,#23169,.F.);
#31706=ORIENTED_EDGE('',*,*,#23654,.F.);
#31707=ORIENTED_EDGE('',*,*,#23156,.T.);
#31708=ORIENTED_EDGE('',*,*,#23653,.T.);
#31709=ORIENTED_EDGE('',*,*,#23168,.F.);
#31710=ORIENTED_EDGE('',*,*,#23655,.F.);
#31711=ORIENTED_EDGE('',*,*,#23157,.T.);
#31712=ORIENTED_EDGE('',*,*,#23654,.T.);
#31713=ORIENTED_EDGE('',*,*,#23167,.F.);
#31714=ORIENTED_EDGE('',*,*,#23656,.F.);
#31715=ORIENTED_EDGE('',*,*,#23158,.T.);
#31716=ORIENTED_EDGE('',*,*,#23655,.T.);
#31717=ORIENTED_EDGE('',*,*,#23166,.F.);
#31718=ORIENTED_EDGE('',*,*,#23159,.T.);
#31719=ORIENTED_EDGE('',*,*,#23656,.T.);
#31720=ORIENTED_EDGE('',*,*,#23165,.F.);
#31721=ORIENTED_EDGE('',*,*,#23657,.F.);
#31722=ORIENTED_EDGE('',*,*,#23162,.F.);
#31723=ORIENTED_EDGE('',*,*,#23160,.T.);
#31724=ORIENTED_EDGE('',*,*,#23657,.T.);
#31725=ORIENTED_EDGE('',*,*,#23164,.F.);
#31726=ORIENTED_EDGE('',*,*,#23187,.T.);
#31727=ORIENTED_EDGE('',*,*,#23450,.T.);
#31728=ORIENTED_EDGE('',*,*,#23217,.F.);
#31729=ORIENTED_EDGE('',*,*,#23658,.F.);
#31730=ORIENTED_EDGE('',*,*,#23659,.F.);
#31731=ORIENTED_EDGE('',*,*,#23188,.T.);
#31732=ORIENTED_EDGE('',*,*,#23658,.T.);
#31733=ORIENTED_EDGE('',*,*,#23216,.F.);
#31734=ORIENTED_EDGE('',*,*,#23660,.F.);
#31735=ORIENTED_EDGE('',*,*,#23189,.T.);
#31736=ORIENTED_EDGE('',*,*,#23659,.T.);
#31737=ORIENTED_EDGE('',*,*,#23215,.F.);
#31738=ORIENTED_EDGE('',*,*,#23661,.F.);
#31739=ORIENTED_EDGE('',*,*,#23190,.T.);
#31740=ORIENTED_EDGE('',*,*,#23660,.T.);
#31741=ORIENTED_EDGE('',*,*,#23214,.F.);
#31742=ORIENTED_EDGE('',*,*,#23662,.F.);
#31743=ORIENTED_EDGE('',*,*,#23191,.T.);
#31744=ORIENTED_EDGE('',*,*,#23661,.T.);
#31745=ORIENTED_EDGE('',*,*,#23213,.F.);
#31746=ORIENTED_EDGE('',*,*,#23663,.F.);
#31747=ORIENTED_EDGE('',*,*,#23192,.T.);
#31748=ORIENTED_EDGE('',*,*,#23662,.T.);
#31749=ORIENTED_EDGE('',*,*,#23212,.F.);
#31750=ORIENTED_EDGE('',*,*,#23193,.T.);
#31751=ORIENTED_EDGE('',*,*,#23663,.T.);
#31752=ORIENTED_EDGE('',*,*,#23211,.F.);
#31753=ORIENTED_EDGE('',*,*,#23664,.F.);
#31754=ORIENTED_EDGE('',*,*,#23208,.F.);
#31755=ORIENTED_EDGE('',*,*,#23194,.T.);
#31756=ORIENTED_EDGE('',*,*,#23664,.T.);
#31757=ORIENTED_EDGE('',*,*,#23210,.F.);
#31758=ORIENTED_EDGE('',*,*,#23220,.T.);
#31759=ORIENTED_EDGE('',*,*,#23449,.T.);
#31760=ORIENTED_EDGE('',*,*,#23204,.F.);
#31761=ORIENTED_EDGE('',*,*,#23665,.F.);
#31762=ORIENTED_EDGE('',*,*,#23666,.F.);
#31763=ORIENTED_EDGE('',*,*,#23221,.T.);
#31764=ORIENTED_EDGE('',*,*,#23665,.T.);
#31765=ORIENTED_EDGE('',*,*,#23203,.F.);
#31766=ORIENTED_EDGE('',*,*,#23667,.F.);
#31767=ORIENTED_EDGE('',*,*,#23222,.T.);
#31768=ORIENTED_EDGE('',*,*,#23666,.T.);
#31769=ORIENTED_EDGE('',*,*,#23202,.F.);
#31770=ORIENTED_EDGE('',*,*,#23668,.F.);
#31771=ORIENTED_EDGE('',*,*,#23223,.T.);
#31772=ORIENTED_EDGE('',*,*,#23667,.T.);
#31773=ORIENTED_EDGE('',*,*,#23201,.F.);
#31774=ORIENTED_EDGE('',*,*,#23669,.F.);
#31775=ORIENTED_EDGE('',*,*,#23224,.T.);
#31776=ORIENTED_EDGE('',*,*,#23668,.T.);
#31777=ORIENTED_EDGE('',*,*,#23200,.F.);
#31778=ORIENTED_EDGE('',*,*,#23670,.F.);
#31779=ORIENTED_EDGE('',*,*,#23225,.T.);
#31780=ORIENTED_EDGE('',*,*,#23669,.T.);
#31781=ORIENTED_EDGE('',*,*,#23199,.F.);
#31782=ORIENTED_EDGE('',*,*,#23226,.T.);
#31783=ORIENTED_EDGE('',*,*,#23670,.T.);
#31784=ORIENTED_EDGE('',*,*,#23198,.F.);
#31785=ORIENTED_EDGE('',*,*,#23671,.F.);
#31786=ORIENTED_EDGE('',*,*,#23229,.F.);
#31787=ORIENTED_EDGE('',*,*,#23227,.T.);
#31788=ORIENTED_EDGE('',*,*,#23671,.T.);
#31789=ORIENTED_EDGE('',*,*,#23197,.F.);
#31790=ORIENTED_EDGE('',*,*,#23233,.T.);
#31791=ORIENTED_EDGE('',*,*,#23452,.T.);
#31792=ORIENTED_EDGE('',*,*,#23263,.F.);
#31793=ORIENTED_EDGE('',*,*,#23672,.F.);
#31794=ORIENTED_EDGE('',*,*,#23673,.F.);
#31795=ORIENTED_EDGE('',*,*,#23234,.T.);
#31796=ORIENTED_EDGE('',*,*,#23672,.T.);
#31797=ORIENTED_EDGE('',*,*,#23262,.F.);
#31798=ORIENTED_EDGE('',*,*,#23674,.F.);
#31799=ORIENTED_EDGE('',*,*,#23235,.T.);
#31800=ORIENTED_EDGE('',*,*,#23673,.T.);
#31801=ORIENTED_EDGE('',*,*,#23261,.F.);
#31802=ORIENTED_EDGE('',*,*,#23675,.F.);
#31803=ORIENTED_EDGE('',*,*,#23236,.T.);
#31804=ORIENTED_EDGE('',*,*,#23674,.T.);
#31805=ORIENTED_EDGE('',*,*,#23260,.F.);
#31806=ORIENTED_EDGE('',*,*,#23676,.F.);
#31807=ORIENTED_EDGE('',*,*,#23237,.T.);
#31808=ORIENTED_EDGE('',*,*,#23675,.T.);
#31809=ORIENTED_EDGE('',*,*,#23259,.F.);
#31810=ORIENTED_EDGE('',*,*,#23677,.F.);
#31811=ORIENTED_EDGE('',*,*,#23238,.T.);
#31812=ORIENTED_EDGE('',*,*,#23676,.T.);
#31813=ORIENTED_EDGE('',*,*,#23258,.F.);
#31814=ORIENTED_EDGE('',*,*,#23239,.T.);
#31815=ORIENTED_EDGE('',*,*,#23677,.T.);
#31816=ORIENTED_EDGE('',*,*,#23257,.F.);
#31817=ORIENTED_EDGE('',*,*,#23678,.F.);
#31818=ORIENTED_EDGE('',*,*,#23254,.F.);
#31819=ORIENTED_EDGE('',*,*,#23240,.T.);
#31820=ORIENTED_EDGE('',*,*,#23678,.T.);
#31821=ORIENTED_EDGE('',*,*,#23256,.F.);
#31822=ORIENTED_EDGE('',*,*,#23266,.T.);
#31823=ORIENTED_EDGE('',*,*,#23451,.T.);
#31824=ORIENTED_EDGE('',*,*,#23250,.F.);
#31825=ORIENTED_EDGE('',*,*,#23679,.F.);
#31826=ORIENTED_EDGE('',*,*,#23680,.F.);
#31827=ORIENTED_EDGE('',*,*,#23267,.T.);
#31828=ORIENTED_EDGE('',*,*,#23679,.T.);
#31829=ORIENTED_EDGE('',*,*,#23249,.F.);
#31830=ORIENTED_EDGE('',*,*,#23681,.F.);
#31831=ORIENTED_EDGE('',*,*,#23268,.T.);
#31832=ORIENTED_EDGE('',*,*,#23680,.T.);
#31833=ORIENTED_EDGE('',*,*,#23248,.F.);
#31834=ORIENTED_EDGE('',*,*,#23682,.F.);
#31835=ORIENTED_EDGE('',*,*,#23269,.T.);
#31836=ORIENTED_EDGE('',*,*,#23681,.T.);
#31837=ORIENTED_EDGE('',*,*,#23247,.F.);
#31838=ORIENTED_EDGE('',*,*,#23683,.F.);
#31839=ORIENTED_EDGE('',*,*,#23270,.T.);
#31840=ORIENTED_EDGE('',*,*,#23682,.T.);
#31841=ORIENTED_EDGE('',*,*,#23246,.F.);
#31842=ORIENTED_EDGE('',*,*,#23684,.F.);
#31843=ORIENTED_EDGE('',*,*,#23271,.T.);
#31844=ORIENTED_EDGE('',*,*,#23683,.T.);
#31845=ORIENTED_EDGE('',*,*,#23245,.F.);
#31846=ORIENTED_EDGE('',*,*,#23272,.T.);
#31847=ORIENTED_EDGE('',*,*,#23684,.T.);
#31848=ORIENTED_EDGE('',*,*,#23244,.F.);
#31849=ORIENTED_EDGE('',*,*,#23685,.F.);
#31850=ORIENTED_EDGE('',*,*,#23275,.F.);
#31851=ORIENTED_EDGE('',*,*,#23273,.T.);
#31852=ORIENTED_EDGE('',*,*,#23685,.T.);
#31853=ORIENTED_EDGE('',*,*,#23243,.F.);
#31854=ORIENTED_EDGE('',*,*,#23279,.T.);
#31855=ORIENTED_EDGE('',*,*,#23454,.T.);
#31856=ORIENTED_EDGE('',*,*,#23309,.F.);
#31857=ORIENTED_EDGE('',*,*,#23686,.F.);
#31858=ORIENTED_EDGE('',*,*,#23687,.F.);
#31859=ORIENTED_EDGE('',*,*,#23280,.T.);
#31860=ORIENTED_EDGE('',*,*,#23686,.T.);
#31861=ORIENTED_EDGE('',*,*,#23308,.F.);
#31862=ORIENTED_EDGE('',*,*,#23688,.F.);
#31863=ORIENTED_EDGE('',*,*,#23281,.T.);
#31864=ORIENTED_EDGE('',*,*,#23687,.T.);
#31865=ORIENTED_EDGE('',*,*,#23307,.F.);
#31866=ORIENTED_EDGE('',*,*,#23689,.F.);
#31867=ORIENTED_EDGE('',*,*,#23282,.T.);
#31868=ORIENTED_EDGE('',*,*,#23688,.T.);
#31869=ORIENTED_EDGE('',*,*,#23306,.F.);
#31870=ORIENTED_EDGE('',*,*,#23690,.F.);
#31871=ORIENTED_EDGE('',*,*,#23283,.T.);
#31872=ORIENTED_EDGE('',*,*,#23689,.T.);
#31873=ORIENTED_EDGE('',*,*,#23305,.F.);
#31874=ORIENTED_EDGE('',*,*,#23691,.F.);
#31875=ORIENTED_EDGE('',*,*,#23284,.T.);
#31876=ORIENTED_EDGE('',*,*,#23690,.T.);
#31877=ORIENTED_EDGE('',*,*,#23304,.F.);
#31878=ORIENTED_EDGE('',*,*,#23285,.T.);
#31879=ORIENTED_EDGE('',*,*,#23691,.T.);
#31880=ORIENTED_EDGE('',*,*,#23303,.F.);
#31881=ORIENTED_EDGE('',*,*,#23692,.F.);
#31882=ORIENTED_EDGE('',*,*,#23300,.F.);
#31883=ORIENTED_EDGE('',*,*,#23286,.T.);
#31884=ORIENTED_EDGE('',*,*,#23692,.T.);
#31885=ORIENTED_EDGE('',*,*,#23302,.F.);
#31886=ORIENTED_EDGE('',*,*,#23312,.T.);
#31887=ORIENTED_EDGE('',*,*,#23453,.T.);
#31888=ORIENTED_EDGE('',*,*,#23296,.F.);
#31889=ORIENTED_EDGE('',*,*,#23693,.F.);
#31890=ORIENTED_EDGE('',*,*,#23694,.F.);
#31891=ORIENTED_EDGE('',*,*,#23313,.T.);
#31892=ORIENTED_EDGE('',*,*,#23693,.T.);
#31893=ORIENTED_EDGE('',*,*,#23295,.F.);
#31894=ORIENTED_EDGE('',*,*,#23695,.F.);
#31895=ORIENTED_EDGE('',*,*,#23314,.T.);
#31896=ORIENTED_EDGE('',*,*,#23694,.T.);
#31897=ORIENTED_EDGE('',*,*,#23294,.F.);
#31898=ORIENTED_EDGE('',*,*,#23696,.F.);
#31899=ORIENTED_EDGE('',*,*,#23315,.T.);
#31900=ORIENTED_EDGE('',*,*,#23695,.T.);
#31901=ORIENTED_EDGE('',*,*,#23293,.F.);
#31902=ORIENTED_EDGE('',*,*,#23697,.F.);
#31903=ORIENTED_EDGE('',*,*,#23316,.T.);
#31904=ORIENTED_EDGE('',*,*,#23696,.T.);
#31905=ORIENTED_EDGE('',*,*,#23292,.F.);
#31906=ORIENTED_EDGE('',*,*,#23698,.F.);
#31907=ORIENTED_EDGE('',*,*,#23317,.T.);
#31908=ORIENTED_EDGE('',*,*,#23697,.T.);
#31909=ORIENTED_EDGE('',*,*,#23291,.F.);
#31910=ORIENTED_EDGE('',*,*,#23318,.T.);
#31911=ORIENTED_EDGE('',*,*,#23698,.T.);
#31912=ORIENTED_EDGE('',*,*,#23290,.F.);
#31913=ORIENTED_EDGE('',*,*,#23699,.F.);
#31914=ORIENTED_EDGE('',*,*,#23321,.F.);
#31915=ORIENTED_EDGE('',*,*,#23319,.T.);
#31916=ORIENTED_EDGE('',*,*,#23699,.T.);
#31917=ORIENTED_EDGE('',*,*,#23289,.F.);
#31918=ORIENTED_EDGE('',*,*,#23325,.T.);
#31919=ORIENTED_EDGE('',*,*,#23456,.T.);
#31920=ORIENTED_EDGE('',*,*,#23355,.F.);
#31921=ORIENTED_EDGE('',*,*,#23700,.F.);
#31922=ORIENTED_EDGE('',*,*,#23701,.F.);
#31923=ORIENTED_EDGE('',*,*,#23326,.T.);
#31924=ORIENTED_EDGE('',*,*,#23700,.T.);
#31925=ORIENTED_EDGE('',*,*,#23354,.F.);
#31926=ORIENTED_EDGE('',*,*,#23702,.F.);
#31927=ORIENTED_EDGE('',*,*,#23327,.T.);
#31928=ORIENTED_EDGE('',*,*,#23701,.T.);
#31929=ORIENTED_EDGE('',*,*,#23353,.F.);
#31930=ORIENTED_EDGE('',*,*,#23703,.F.);
#31931=ORIENTED_EDGE('',*,*,#23328,.T.);
#31932=ORIENTED_EDGE('',*,*,#23702,.T.);
#31933=ORIENTED_EDGE('',*,*,#23352,.F.);
#31934=ORIENTED_EDGE('',*,*,#23704,.F.);
#31935=ORIENTED_EDGE('',*,*,#23329,.T.);
#31936=ORIENTED_EDGE('',*,*,#23703,.T.);
#31937=ORIENTED_EDGE('',*,*,#23351,.F.);
#31938=ORIENTED_EDGE('',*,*,#23705,.F.);
#31939=ORIENTED_EDGE('',*,*,#23330,.T.);
#31940=ORIENTED_EDGE('',*,*,#23704,.T.);
#31941=ORIENTED_EDGE('',*,*,#23350,.F.);
#31942=ORIENTED_EDGE('',*,*,#23331,.T.);
#31943=ORIENTED_EDGE('',*,*,#23705,.T.);
#31944=ORIENTED_EDGE('',*,*,#23349,.F.);
#31945=ORIENTED_EDGE('',*,*,#23706,.F.);
#31946=ORIENTED_EDGE('',*,*,#23346,.F.);
#31947=ORIENTED_EDGE('',*,*,#23332,.T.);
#31948=ORIENTED_EDGE('',*,*,#23706,.T.);
#31949=ORIENTED_EDGE('',*,*,#23348,.F.);
#31950=ORIENTED_EDGE('',*,*,#23358,.T.);
#31951=ORIENTED_EDGE('',*,*,#23455,.T.);
#31952=ORIENTED_EDGE('',*,*,#23342,.F.);
#31953=ORIENTED_EDGE('',*,*,#23707,.F.);
#31954=ORIENTED_EDGE('',*,*,#23708,.F.);
#31955=ORIENTED_EDGE('',*,*,#23359,.T.);
#31956=ORIENTED_EDGE('',*,*,#23707,.T.);
#31957=ORIENTED_EDGE('',*,*,#23341,.F.);
#31958=ORIENTED_EDGE('',*,*,#23709,.F.);
#31959=ORIENTED_EDGE('',*,*,#23360,.T.);
#31960=ORIENTED_EDGE('',*,*,#23708,.T.);
#31961=ORIENTED_EDGE('',*,*,#23340,.F.);
#31962=ORIENTED_EDGE('',*,*,#23710,.F.);
#31963=ORIENTED_EDGE('',*,*,#23361,.T.);
#31964=ORIENTED_EDGE('',*,*,#23709,.T.);
#31965=ORIENTED_EDGE('',*,*,#23339,.F.);
#31966=ORIENTED_EDGE('',*,*,#23711,.F.);
#31967=ORIENTED_EDGE('',*,*,#23362,.T.);
#31968=ORIENTED_EDGE('',*,*,#23710,.T.);
#31969=ORIENTED_EDGE('',*,*,#23338,.F.);
#31970=ORIENTED_EDGE('',*,*,#23712,.F.);
#31971=ORIENTED_EDGE('',*,*,#23363,.T.);
#31972=ORIENTED_EDGE('',*,*,#23711,.T.);
#31973=ORIENTED_EDGE('',*,*,#23337,.F.);
#31974=ORIENTED_EDGE('',*,*,#23364,.T.);
#31975=ORIENTED_EDGE('',*,*,#23712,.T.);
#31976=ORIENTED_EDGE('',*,*,#23336,.F.);
#31977=ORIENTED_EDGE('',*,*,#23713,.F.);
#31978=ORIENTED_EDGE('',*,*,#23367,.F.);
#31979=ORIENTED_EDGE('',*,*,#23365,.T.);
#31980=ORIENTED_EDGE('',*,*,#23713,.T.);
#31981=ORIENTED_EDGE('',*,*,#23335,.F.);
#31982=ORIENTED_EDGE('',*,*,#23371,.T.);
#31983=ORIENTED_EDGE('',*,*,#23458,.T.);
#31984=ORIENTED_EDGE('',*,*,#23401,.F.);
#31985=ORIENTED_EDGE('',*,*,#23714,.F.);
#31986=ORIENTED_EDGE('',*,*,#23715,.F.);
#31987=ORIENTED_EDGE('',*,*,#23372,.T.);
#31988=ORIENTED_EDGE('',*,*,#23714,.T.);
#31989=ORIENTED_EDGE('',*,*,#23400,.F.);
#31990=ORIENTED_EDGE('',*,*,#23716,.F.);
#31991=ORIENTED_EDGE('',*,*,#23373,.T.);
#31992=ORIENTED_EDGE('',*,*,#23715,.T.);
#31993=ORIENTED_EDGE('',*,*,#23399,.F.);
#31994=ORIENTED_EDGE('',*,*,#23717,.F.);
#31995=ORIENTED_EDGE('',*,*,#23374,.T.);
#31996=ORIENTED_EDGE('',*,*,#23716,.T.);
#31997=ORIENTED_EDGE('',*,*,#23398,.F.);
#31998=ORIENTED_EDGE('',*,*,#23718,.F.);
#31999=ORIENTED_EDGE('',*,*,#23375,.T.);
#32000=ORIENTED_EDGE('',*,*,#23717,.T.);
#32001=ORIENTED_EDGE('',*,*,#23397,.F.);
#32002=ORIENTED_EDGE('',*,*,#23719,.F.);
#32003=ORIENTED_EDGE('',*,*,#23376,.T.);
#32004=ORIENTED_EDGE('',*,*,#23718,.T.);
#32005=ORIENTED_EDGE('',*,*,#23396,.F.);
#32006=ORIENTED_EDGE('',*,*,#23377,.T.);
#32007=ORIENTED_EDGE('',*,*,#23719,.T.);
#32008=ORIENTED_EDGE('',*,*,#23395,.F.);
#32009=ORIENTED_EDGE('',*,*,#23720,.F.);
#32010=ORIENTED_EDGE('',*,*,#23392,.F.);
#32011=ORIENTED_EDGE('',*,*,#23378,.T.);
#32012=ORIENTED_EDGE('',*,*,#23720,.T.);
#32013=ORIENTED_EDGE('',*,*,#23394,.F.);
#32014=ORIENTED_EDGE('',*,*,#23404,.T.);
#32015=ORIENTED_EDGE('',*,*,#23457,.T.);
#32016=ORIENTED_EDGE('',*,*,#23388,.F.);
#32017=ORIENTED_EDGE('',*,*,#23721,.F.);
#32018=ORIENTED_EDGE('',*,*,#23722,.F.);
#32019=ORIENTED_EDGE('',*,*,#23405,.T.);
#32020=ORIENTED_EDGE('',*,*,#23721,.T.);
#32021=ORIENTED_EDGE('',*,*,#23387,.F.);
#32022=ORIENTED_EDGE('',*,*,#23723,.F.);
#32023=ORIENTED_EDGE('',*,*,#23406,.T.);
#32024=ORIENTED_EDGE('',*,*,#23722,.T.);
#32025=ORIENTED_EDGE('',*,*,#23386,.F.);
#32026=ORIENTED_EDGE('',*,*,#23724,.F.);
#32027=ORIENTED_EDGE('',*,*,#23407,.T.);
#32028=ORIENTED_EDGE('',*,*,#23723,.T.);
#32029=ORIENTED_EDGE('',*,*,#23385,.F.);
#32030=ORIENTED_EDGE('',*,*,#23725,.F.);
#32031=ORIENTED_EDGE('',*,*,#23408,.T.);
#32032=ORIENTED_EDGE('',*,*,#23724,.T.);
#32033=ORIENTED_EDGE('',*,*,#23384,.F.);
#32034=ORIENTED_EDGE('',*,*,#23726,.F.);
#32035=ORIENTED_EDGE('',*,*,#23409,.T.);
#32036=ORIENTED_EDGE('',*,*,#23725,.T.);
#32037=ORIENTED_EDGE('',*,*,#23383,.F.);
#32038=ORIENTED_EDGE('',*,*,#23410,.T.);
#32039=ORIENTED_EDGE('',*,*,#23726,.T.);
#32040=ORIENTED_EDGE('',*,*,#23382,.F.);
#32041=ORIENTED_EDGE('',*,*,#23727,.F.);
#32042=ORIENTED_EDGE('',*,*,#23413,.F.);
#32043=ORIENTED_EDGE('',*,*,#23411,.T.);
#32044=ORIENTED_EDGE('',*,*,#23727,.T.);
#32045=ORIENTED_EDGE('',*,*,#23381,.F.);
#32046=ORIENTED_EDGE('',*,*,#23445,.T.);
#32047=ORIENTED_EDGE('',*,*,#23446,.T.);
#32048=ORIENTED_EDGE('',*,*,#23728,.F.);
#32049=ORIENTED_EDGE('',*,*,#23729,.F.);
#32050=ORIENTED_EDGE('',*,*,#23730,.F.);
#32051=ORIENTED_EDGE('',*,*,#23731,.F.);
#32052=ORIENTED_EDGE('',*,*,#23731,.T.);
#32053=ORIENTED_EDGE('',*,*,#23732,.T.);
#32054=ORIENTED_EDGE('',*,*,#23733,.F.);
#32055=ORIENTED_EDGE('',*,*,#23734,.F.);
#32056=ORIENTED_EDGE('',*,*,#23735,.F.);
#32057=ORIENTED_EDGE('',*,*,#23736,.F.);
#32058=ORIENTED_EDGE('',*,*,#23737,.F.);
#32059=ORIENTED_EDGE('',*,*,#23738,.F.);
#32060=ORIENTED_EDGE('',*,*,#23739,.F.);
#32061=ORIENTED_EDGE('',*,*,#23740,.F.);
#32062=ORIENTED_EDGE('',*,*,#23730,.T.);
#32063=ORIENTED_EDGE('',*,*,#23741,.T.);
#32064=ORIENTED_EDGE('',*,*,#23742,.F.);
#32065=ORIENTED_EDGE('',*,*,#23732,.F.);
#32066=ORIENTED_EDGE('',*,*,#23729,.T.);
#32067=ORIENTED_EDGE('',*,*,#23743,.T.);
#32068=ORIENTED_EDGE('',*,*,#23744,.F.);
#32069=ORIENTED_EDGE('',*,*,#23745,.F.);
#32070=ORIENTED_EDGE('',*,*,#23746,.F.);
#32071=ORIENTED_EDGE('',*,*,#23741,.F.);
#32072=ORIENTED_EDGE('',*,*,#23728,.T.);
#32073=ORIENTED_EDGE('',*,*,#23740,.T.);
#32074=ORIENTED_EDGE('',*,*,#23747,.F.);
#32075=ORIENTED_EDGE('',*,*,#23743,.F.);
#32076=ORIENTED_EDGE('',*,*,#23748,.F.);
#32077=ORIENTED_EDGE('',*,*,#23739,.T.);
#32078=ORIENTED_EDGE('',*,*,#23749,.F.);
#32079=ORIENTED_EDGE('',*,*,#23750,.F.);
#32080=ORIENTED_EDGE('',*,*,#23751,.T.);
#32081=ORIENTED_EDGE('',*,*,#23733,.T.);
#32082=ORIENTED_EDGE('',*,*,#23752,.T.);
#32083=ORIENTED_EDGE('',*,*,#23753,.F.);
#32084=ORIENTED_EDGE('',*,*,#23754,.T.);
#32085=ORIENTED_EDGE('',*,*,#23755,.T.);
#32086=ORIENTED_EDGE('',*,*,#23756,.F.);
#32087=ORIENTED_EDGE('',*,*,#23738,.T.);
#32088=ORIENTED_EDGE('',*,*,#23757,.T.);
#32089=ORIENTED_EDGE('',*,*,#23758,.T.);
#32090=ORIENTED_EDGE('',*,*,#23759,.F.);
#32091=ORIENTED_EDGE('',*,*,#23734,.T.);
#32092=ORIENTED_EDGE('',*,*,#23760,.T.);
#32093=ORIENTED_EDGE('',*,*,#23737,.T.);
#32094=ORIENTED_EDGE('',*,*,#23761,.F.);
#32095=ORIENTED_EDGE('',*,*,#23762,.F.);
#32096=ORIENTED_EDGE('',*,*,#23763,.T.);
#32097=ORIENTED_EDGE('',*,*,#23735,.T.);
#32098=ORIENTED_EDGE('',*,*,#23764,.F.);
#32099=ORIENTED_EDGE('',*,*,#23765,.F.);
#32100=ORIENTED_EDGE('',*,*,#23766,.T.);
#32101=ORIENTED_EDGE('',*,*,#23767,.T.);
#32102=ORIENTED_EDGE('',*,*,#23768,.F.);
#32103=ORIENTED_EDGE('',*,*,#23736,.T.);
#32104=ORIENTED_EDGE('',*,*,#23742,.T.);
#32105=ORIENTED_EDGE('',*,*,#23769,.T.);
#32106=ORIENTED_EDGE('',*,*,#23770,.F.);
#32107=ORIENTED_EDGE('',*,*,#23752,.F.);
#32108=ORIENTED_EDGE('',*,*,#23769,.F.);
#32109=ORIENTED_EDGE('',*,*,#23746,.T.);
#32110=ORIENTED_EDGE('',*,*,#23771,.F.);
#32111=ORIENTED_EDGE('',*,*,#23772,.F.);
#32112=ORIENTED_EDGE('',*,*,#23773,.T.);
#32113=ORIENTED_EDGE('',*,*,#23744,.T.);
#32114=ORIENTED_EDGE('',*,*,#23774,.T.);
#32115=ORIENTED_EDGE('',*,*,#23775,.F.);
#32116=ORIENTED_EDGE('',*,*,#23745,.T.);
#32117=ORIENTED_EDGE('',*,*,#23776,.T.);
#32118=ORIENTED_EDGE('',*,*,#23777,.T.);
#32119=ORIENTED_EDGE('',*,*,#23778,.F.);
#32120=ORIENTED_EDGE('',*,*,#23747,.T.);
#32121=ORIENTED_EDGE('',*,*,#23748,.T.);
#32122=ORIENTED_EDGE('',*,*,#23779,.F.);
#32123=ORIENTED_EDGE('',*,*,#23774,.F.);
#32124=ORIENTED_EDGE('',*,*,#23780,.F.);
#32125=ORIENTED_EDGE('',*,*,#23750,.T.);
#32126=ORIENTED_EDGE('',*,*,#23781,.T.);
#32127=ORIENTED_EDGE('',*,*,#23782,.F.);
#32128=ORIENTED_EDGE('',*,*,#23749,.T.);
#32129=ORIENTED_EDGE('',*,*,#23756,.T.);
#32130=ORIENTED_EDGE('',*,*,#23783,.T.);
#32131=ORIENTED_EDGE('',*,*,#23784,.T.);
#32132=ORIENTED_EDGE('',*,*,#23785,.T.);
#32133=ORIENTED_EDGE('',*,*,#23786,.T.);
#32134=ORIENTED_EDGE('',*,*,#23787,.T.);
#32135=ORIENTED_EDGE('',*,*,#23788,.T.);
#32136=ORIENTED_EDGE('',*,*,#23789,.T.);
#32137=ORIENTED_EDGE('',*,*,#23790,.T.);
#32138=ORIENTED_EDGE('',*,*,#23791,.T.);
#32139=ORIENTED_EDGE('',*,*,#23792,.T.);
#32140=ORIENTED_EDGE('',*,*,#23751,.F.);
#32141=ORIENTED_EDGE('',*,*,#23793,.F.);
#32142=ORIENTED_EDGE('',*,*,#23794,.F.);
#32143=ORIENTED_EDGE('',*,*,#23795,.F.);
#32144=ORIENTED_EDGE('',*,*,#23796,.F.);
#32145=ORIENTED_EDGE('',*,*,#23797,.F.);
#32146=ORIENTED_EDGE('',*,*,#23798,.F.);
#32147=ORIENTED_EDGE('',*,*,#23799,.F.);
#32148=ORIENTED_EDGE('',*,*,#23800,.F.);
#32149=ORIENTED_EDGE('',*,*,#23801,.F.);
#32150=ORIENTED_EDGE('',*,*,#23802,.F.);
#32151=ORIENTED_EDGE('',*,*,#23757,.F.);
#32152=ORIENTED_EDGE('',*,*,#23803,.F.);
#32153=ORIENTED_EDGE('',*,*,#23753,.T.);
#32154=ORIENTED_EDGE('',*,*,#23804,.T.);
#32155=ORIENTED_EDGE('',*,*,#23805,.F.);
#32156=ORIENTED_EDGE('',*,*,#23760,.F.);
#32157=ORIENTED_EDGE('',*,*,#23806,.F.);
#32158=ORIENTED_EDGE('',*,*,#23807,.F.);
#32159=ORIENTED_EDGE('',*,*,#23808,.F.);
#32160=ORIENTED_EDGE('',*,*,#23809,.F.);
#32161=ORIENTED_EDGE('',*,*,#23810,.F.);
#32162=ORIENTED_EDGE('',*,*,#23811,.F.);
#32163=ORIENTED_EDGE('',*,*,#23812,.F.);
#32164=ORIENTED_EDGE('',*,*,#23813,.F.);
#32165=ORIENTED_EDGE('',*,*,#23814,.F.);
#32166=ORIENTED_EDGE('',*,*,#23815,.F.);
#32167=ORIENTED_EDGE('',*,*,#23754,.F.);
#32168=ORIENTED_EDGE('',*,*,#23755,.F.);
#32169=ORIENTED_EDGE('',*,*,#23815,.T.);
#32170=ORIENTED_EDGE('',*,*,#23816,.T.);
#32171=ORIENTED_EDGE('',*,*,#23783,.F.);
#32172=ORIENTED_EDGE('',*,*,#23758,.F.);
#32173=ORIENTED_EDGE('',*,*,#23802,.T.);
#32174=ORIENTED_EDGE('',*,*,#23817,.T.);
#32175=ORIENTED_EDGE('',*,*,#23818,.F.);
#32176=ORIENTED_EDGE('',*,*,#23764,.T.);
#32177=ORIENTED_EDGE('',*,*,#23759,.T.);
#32178=ORIENTED_EDGE('',*,*,#23818,.T.);
#32179=ORIENTED_EDGE('',*,*,#23819,.T.);
#32180=ORIENTED_EDGE('',*,*,#23820,.T.);
#32181=ORIENTED_EDGE('',*,*,#23821,.T.);
#32182=ORIENTED_EDGE('',*,*,#23822,.T.);
#32183=ORIENTED_EDGE('',*,*,#23823,.T.);
#32184=ORIENTED_EDGE('',*,*,#23824,.T.);
#32185=ORIENTED_EDGE('',*,*,#23825,.T.);
#32186=ORIENTED_EDGE('',*,*,#23826,.T.);
#32187=ORIENTED_EDGE('',*,*,#23827,.T.);
#32188=ORIENTED_EDGE('',*,*,#23828,.F.);
#32189=ORIENTED_EDGE('',*,*,#23762,.T.);
#32190=ORIENTED_EDGE('',*,*,#23829,.T.);
#32191=ORIENTED_EDGE('',*,*,#23830,.F.);
#32192=ORIENTED_EDGE('',*,*,#23761,.T.);
#32193=ORIENTED_EDGE('',*,*,#23768,.T.);
#32194=ORIENTED_EDGE('',*,*,#23831,.T.);
#32195=ORIENTED_EDGE('',*,*,#23832,.T.);
#32196=ORIENTED_EDGE('',*,*,#23833,.T.);
#32197=ORIENTED_EDGE('',*,*,#23834,.T.);
#32198=ORIENTED_EDGE('',*,*,#23835,.T.);
#32199=ORIENTED_EDGE('',*,*,#23836,.T.);
#32200=ORIENTED_EDGE('',*,*,#23837,.T.);
#32201=ORIENTED_EDGE('',*,*,#23838,.T.);
#32202=ORIENTED_EDGE('',*,*,#23839,.T.);
#32203=ORIENTED_EDGE('',*,*,#23840,.T.);
#32204=ORIENTED_EDGE('',*,*,#23763,.F.);
#32205=ORIENTED_EDGE('',*,*,#23841,.F.);
#32206=ORIENTED_EDGE('',*,*,#23842,.F.);
#32207=ORIENTED_EDGE('',*,*,#23843,.F.);
#32208=ORIENTED_EDGE('',*,*,#23844,.F.);
#32209=ORIENTED_EDGE('',*,*,#23845,.F.);
#32210=ORIENTED_EDGE('',*,*,#23846,.F.);
#32211=ORIENTED_EDGE('',*,*,#23847,.F.);
#32212=ORIENTED_EDGE('',*,*,#23848,.F.);
#32213=ORIENTED_EDGE('',*,*,#23849,.F.);
#32214=ORIENTED_EDGE('',*,*,#23850,.F.);
#32215=ORIENTED_EDGE('',*,*,#23766,.F.);
#32216=ORIENTED_EDGE('',*,*,#23851,.F.);
#32217=ORIENTED_EDGE('',*,*,#23765,.T.);
#32218=ORIENTED_EDGE('',*,*,#23852,.T.);
#32219=ORIENTED_EDGE('',*,*,#23853,.F.);
#32220=ORIENTED_EDGE('',*,*,#23767,.F.);
#32221=ORIENTED_EDGE('',*,*,#23850,.T.);
#32222=ORIENTED_EDGE('',*,*,#23854,.T.);
#32223=ORIENTED_EDGE('',*,*,#23831,.F.);
#32224=ORIENTED_EDGE('',*,*,#23770,.T.);
#32225=ORIENTED_EDGE('',*,*,#23855,.T.);
#32226=ORIENTED_EDGE('',*,*,#23856,.F.);
#32227=ORIENTED_EDGE('',*,*,#23804,.F.);
#32228=ORIENTED_EDGE('',*,*,#23855,.F.);
#32229=ORIENTED_EDGE('',*,*,#23772,.T.);
#32230=ORIENTED_EDGE('',*,*,#23857,.T.);
#32231=ORIENTED_EDGE('',*,*,#23858,.F.);
#32232=ORIENTED_EDGE('',*,*,#23771,.T.);
#32233=ORIENTED_EDGE('',*,*,#23778,.T.);
#32234=ORIENTED_EDGE('',*,*,#23859,.T.);
#32235=ORIENTED_EDGE('',*,*,#23860,.T.);
#32236=ORIENTED_EDGE('',*,*,#23861,.T.);
#32237=ORIENTED_EDGE('',*,*,#23862,.T.);
#32238=ORIENTED_EDGE('',*,*,#23863,.T.);
#32239=ORIENTED_EDGE('',*,*,#23864,.T.);
#32240=ORIENTED_EDGE('',*,*,#23865,.T.);
#32241=ORIENTED_EDGE('',*,*,#23866,.T.);
#32242=ORIENTED_EDGE('',*,*,#23867,.T.);
#32243=ORIENTED_EDGE('',*,*,#23868,.T.);
#32244=ORIENTED_EDGE('',*,*,#23773,.F.);
#32245=ORIENTED_EDGE('',*,*,#23869,.F.);
#32246=ORIENTED_EDGE('',*,*,#23870,.F.);
#32247=ORIENTED_EDGE('',*,*,#23871,.F.);
#32248=ORIENTED_EDGE('',*,*,#23872,.F.);
#32249=ORIENTED_EDGE('',*,*,#23873,.F.);
#32250=ORIENTED_EDGE('',*,*,#23874,.F.);
#32251=ORIENTED_EDGE('',*,*,#23875,.F.);
#32252=ORIENTED_EDGE('',*,*,#23876,.F.);
#32253=ORIENTED_EDGE('',*,*,#23877,.F.);
#32254=ORIENTED_EDGE('',*,*,#23878,.F.);
#32255=ORIENTED_EDGE('',*,*,#23776,.F.);
#32256=ORIENTED_EDGE('',*,*,#23879,.F.);
#32257=ORIENTED_EDGE('',*,*,#23775,.T.);
#32258=ORIENTED_EDGE('',*,*,#23880,.T.);
#32259=ORIENTED_EDGE('',*,*,#23881,.F.);
#32260=ORIENTED_EDGE('',*,*,#23882,.T.);
#32261=ORIENTED_EDGE('',*,*,#23859,.F.);
#32262=ORIENTED_EDGE('',*,*,#23777,.F.);
#32263=ORIENTED_EDGE('',*,*,#23878,.T.);
#32264=ORIENTED_EDGE('',*,*,#23779,.T.);
#32265=ORIENTED_EDGE('',*,*,#23780,.T.);
#32266=ORIENTED_EDGE('',*,*,#23883,.F.);
#32267=ORIENTED_EDGE('',*,*,#23880,.F.);
#32268=ORIENTED_EDGE('',*,*,#23782,.T.);
#32269=ORIENTED_EDGE('',*,*,#23884,.T.);
#32270=ORIENTED_EDGE('',*,*,#23830,.T.);
#32271=ORIENTED_EDGE('',*,*,#23885,.T.);
#32272=ORIENTED_EDGE('',*,*,#23853,.T.);
#32273=ORIENTED_EDGE('',*,*,#23886,.T.);
#32274=ORIENTED_EDGE('',*,*,#23805,.T.);
#32275=ORIENTED_EDGE('',*,*,#23887,.T.);
#32276=ORIENTED_EDGE('',*,*,#23888,.F.);
#32277=ORIENTED_EDGE('',*,*,#23889,.F.);
#32278=ORIENTED_EDGE('',*,*,#23806,.T.);
#32279=ORIENTED_EDGE('',*,*,#23828,.T.);
#32280=ORIENTED_EDGE('',*,*,#23884,.F.);
#32281=ORIENTED_EDGE('',*,*,#23781,.F.);
#32282=ORIENTED_EDGE('',*,*,#23792,.F.);
#32283=ORIENTED_EDGE('',*,*,#23890,.F.);
#32284=ORIENTED_EDGE('',*,*,#23807,.T.);
#32285=ORIENTED_EDGE('',*,*,#23890,.T.);
#32286=ORIENTED_EDGE('',*,*,#23791,.F.);
#32287=ORIENTED_EDGE('',*,*,#23891,.F.);
#32288=ORIENTED_EDGE('',*,*,#23892,.F.);
#32289=ORIENTED_EDGE('',*,*,#23808,.T.);
#32290=ORIENTED_EDGE('',*,*,#23891,.T.);
#32291=ORIENTED_EDGE('',*,*,#23790,.F.);
#32292=ORIENTED_EDGE('',*,*,#23893,.F.);
#32293=ORIENTED_EDGE('',*,*,#23809,.T.);
#32294=ORIENTED_EDGE('',*,*,#23892,.T.);
#32295=ORIENTED_EDGE('',*,*,#23789,.F.);
#32296=ORIENTED_EDGE('',*,*,#23894,.F.);
#32297=ORIENTED_EDGE('',*,*,#23810,.T.);
#32298=ORIENTED_EDGE('',*,*,#23893,.T.);
#32299=ORIENTED_EDGE('',*,*,#23788,.F.);
#32300=ORIENTED_EDGE('',*,*,#23895,.F.);
#32301=ORIENTED_EDGE('',*,*,#23811,.T.);
#32302=ORIENTED_EDGE('',*,*,#23894,.T.);
#32303=ORIENTED_EDGE('',*,*,#23787,.F.);
#32304=ORIENTED_EDGE('',*,*,#23896,.F.);
#32305=ORIENTED_EDGE('',*,*,#23812,.T.);
#32306=ORIENTED_EDGE('',*,*,#23895,.T.);
#32307=ORIENTED_EDGE('',*,*,#23786,.F.);
#32308=ORIENTED_EDGE('',*,*,#23813,.T.);
#32309=ORIENTED_EDGE('',*,*,#23896,.T.);
#32310=ORIENTED_EDGE('',*,*,#23785,.F.);
#32311=ORIENTED_EDGE('',*,*,#23897,.F.);
#32312=ORIENTED_EDGE('',*,*,#23816,.F.);
#32313=ORIENTED_EDGE('',*,*,#23814,.T.);
#32314=ORIENTED_EDGE('',*,*,#23897,.T.);
#32315=ORIENTED_EDGE('',*,*,#23784,.F.);
#32316=ORIENTED_EDGE('',*,*,#23793,.T.);
#32317=ORIENTED_EDGE('',*,*,#23803,.T.);
#32318=ORIENTED_EDGE('',*,*,#23886,.F.);
#32319=ORIENTED_EDGE('',*,*,#23852,.F.);
#32320=ORIENTED_EDGE('',*,*,#23827,.F.);
#32321=ORIENTED_EDGE('',*,*,#23898,.F.);
#32322=ORIENTED_EDGE('',*,*,#23794,.T.);
#32323=ORIENTED_EDGE('',*,*,#23898,.T.);
#32324=ORIENTED_EDGE('',*,*,#23826,.F.);
#32325=ORIENTED_EDGE('',*,*,#23899,.F.);
#32326=ORIENTED_EDGE('',*,*,#23900,.F.);
#32327=ORIENTED_EDGE('',*,*,#23795,.T.);
#32328=ORIENTED_EDGE('',*,*,#23899,.T.);
#32329=ORIENTED_EDGE('',*,*,#23825,.F.);
#32330=ORIENTED_EDGE('',*,*,#23901,.F.);
#32331=ORIENTED_EDGE('',*,*,#23796,.T.);
#32332=ORIENTED_EDGE('',*,*,#23900,.T.);
#32333=ORIENTED_EDGE('',*,*,#23824,.F.);
#32334=ORIENTED_EDGE('',*,*,#23902,.F.);
#32335=ORIENTED_EDGE('',*,*,#23797,.T.);
#32336=ORIENTED_EDGE('',*,*,#23901,.T.);
#32337=ORIENTED_EDGE('',*,*,#23823,.F.);
#32338=ORIENTED_EDGE('',*,*,#23903,.F.);
#32339=ORIENTED_EDGE('',*,*,#23798,.T.);
#32340=ORIENTED_EDGE('',*,*,#23902,.T.);
#32341=ORIENTED_EDGE('',*,*,#23822,.F.);
#32342=ORIENTED_EDGE('',*,*,#23904,.F.);
#32343=ORIENTED_EDGE('',*,*,#23799,.T.);
#32344=ORIENTED_EDGE('',*,*,#23903,.T.);
#32345=ORIENTED_EDGE('',*,*,#23821,.F.);
#32346=ORIENTED_EDGE('',*,*,#23800,.T.);
#32347=ORIENTED_EDGE('',*,*,#23904,.T.);
#32348=ORIENTED_EDGE('',*,*,#23820,.F.);
#32349=ORIENTED_EDGE('',*,*,#23905,.F.);
#32350=ORIENTED_EDGE('',*,*,#23817,.F.);
#32351=ORIENTED_EDGE('',*,*,#23801,.T.);
#32352=ORIENTED_EDGE('',*,*,#23905,.T.);
#32353=ORIENTED_EDGE('',*,*,#23819,.F.);
#32354=ORIENTED_EDGE('',*,*,#23841,.T.);
#32355=ORIENTED_EDGE('',*,*,#23851,.T.);
#32356=ORIENTED_EDGE('',*,*,#23885,.F.);
#32357=ORIENTED_EDGE('',*,*,#23829,.F.);
#32358=ORIENTED_EDGE('',*,*,#23840,.F.);
#32359=ORIENTED_EDGE('',*,*,#23906,.F.);
#32360=ORIENTED_EDGE('',*,*,#23842,.T.);
#32361=ORIENTED_EDGE('',*,*,#23906,.T.);
#32362=ORIENTED_EDGE('',*,*,#23839,.F.);
#32363=ORIENTED_EDGE('',*,*,#23907,.F.);
#32364=ORIENTED_EDGE('',*,*,#23908,.F.);
#32365=ORIENTED_EDGE('',*,*,#23843,.T.);
#32366=ORIENTED_EDGE('',*,*,#23907,.T.);
#32367=ORIENTED_EDGE('',*,*,#23838,.F.);
#32368=ORIENTED_EDGE('',*,*,#23909,.F.);
#32369=ORIENTED_EDGE('',*,*,#23844,.T.);
#32370=ORIENTED_EDGE('',*,*,#23908,.T.);
#32371=ORIENTED_EDGE('',*,*,#23837,.F.);
#32372=ORIENTED_EDGE('',*,*,#23910,.F.);
#32373=ORIENTED_EDGE('',*,*,#23845,.T.);
#32374=ORIENTED_EDGE('',*,*,#23909,.T.);
#32375=ORIENTED_EDGE('',*,*,#23836,.F.);
#32376=ORIENTED_EDGE('',*,*,#23911,.F.);
#32377=ORIENTED_EDGE('',*,*,#23846,.T.);
#32378=ORIENTED_EDGE('',*,*,#23910,.T.);
#32379=ORIENTED_EDGE('',*,*,#23835,.F.);
#32380=ORIENTED_EDGE('',*,*,#23912,.F.);
#32381=ORIENTED_EDGE('',*,*,#23847,.T.);
#32382=ORIENTED_EDGE('',*,*,#23911,.T.);
#32383=ORIENTED_EDGE('',*,*,#23834,.F.);
#32384=ORIENTED_EDGE('',*,*,#23848,.T.);
#32385=ORIENTED_EDGE('',*,*,#23912,.T.);
#32386=ORIENTED_EDGE('',*,*,#23833,.F.);
#32387=ORIENTED_EDGE('',*,*,#23913,.F.);
#32388=ORIENTED_EDGE('',*,*,#23854,.F.);
#32389=ORIENTED_EDGE('',*,*,#23849,.T.);
#32390=ORIENTED_EDGE('',*,*,#23913,.T.);
#32391=ORIENTED_EDGE('',*,*,#23832,.F.);
#32392=ORIENTED_EDGE('',*,*,#23856,.T.);
#32393=ORIENTED_EDGE('',*,*,#23914,.T.);
#32394=ORIENTED_EDGE('',*,*,#23915,.F.);
#32395=ORIENTED_EDGE('',*,*,#23887,.F.);
#32396=ORIENTED_EDGE('',*,*,#23858,.T.);
#32397=ORIENTED_EDGE('',*,*,#23916,.T.);
#32398=ORIENTED_EDGE('',*,*,#23881,.T.);
#32399=ORIENTED_EDGE('',*,*,#23917,.T.);
#32400=ORIENTED_EDGE('',*,*,#23918,.F.);
#32401=ORIENTED_EDGE('',*,*,#23914,.F.);
#32402=ORIENTED_EDGE('',*,*,#23919,.F.);
#32403=ORIENTED_EDGE('',*,*,#23869,.T.);
#32404=ORIENTED_EDGE('',*,*,#23879,.T.);
#32405=ORIENTED_EDGE('',*,*,#23916,.F.);
#32406=ORIENTED_EDGE('',*,*,#23857,.F.);
#32407=ORIENTED_EDGE('',*,*,#23868,.F.);
#32408=ORIENTED_EDGE('',*,*,#23867,.F.);
#32409=ORIENTED_EDGE('',*,*,#23920,.F.);
#32410=ORIENTED_EDGE('',*,*,#23870,.T.);
#32411=ORIENTED_EDGE('',*,*,#23919,.T.);
#32412=ORIENTED_EDGE('',*,*,#23871,.T.);
#32413=ORIENTED_EDGE('',*,*,#23920,.T.);
#32414=ORIENTED_EDGE('',*,*,#23866,.F.);
#32415=ORIENTED_EDGE('',*,*,#23921,.F.);
#32416=ORIENTED_EDGE('',*,*,#23921,.T.);
#32417=ORIENTED_EDGE('',*,*,#23865,.F.);
#32418=ORIENTED_EDGE('',*,*,#23922,.F.);
#32419=ORIENTED_EDGE('',*,*,#23872,.T.);
#32420=ORIENTED_EDGE('',*,*,#23923,.F.);
#32421=ORIENTED_EDGE('',*,*,#23873,.T.);
#32422=ORIENTED_EDGE('',*,*,#23922,.T.);
#32423=ORIENTED_EDGE('',*,*,#23864,.F.);
#32424=ORIENTED_EDGE('',*,*,#23924,.F.);
#32425=ORIENTED_EDGE('',*,*,#23874,.T.);
#32426=ORIENTED_EDGE('',*,*,#23923,.T.);
#32427=ORIENTED_EDGE('',*,*,#23863,.F.);
#32428=ORIENTED_EDGE('',*,*,#23875,.T.);
#32429=ORIENTED_EDGE('',*,*,#23924,.T.);
#32430=ORIENTED_EDGE('',*,*,#23862,.F.);
#32431=ORIENTED_EDGE('',*,*,#23925,.F.);
#32432=ORIENTED_EDGE('',*,*,#23861,.F.);
#32433=ORIENTED_EDGE('',*,*,#23926,.F.);
#32434=ORIENTED_EDGE('',*,*,#23876,.T.);
#32435=ORIENTED_EDGE('',*,*,#23925,.T.);
#32436=ORIENTED_EDGE('',*,*,#23877,.T.);
#32437=ORIENTED_EDGE('',*,*,#23926,.T.);
#32438=ORIENTED_EDGE('',*,*,#23860,.F.);
#32439=ORIENTED_EDGE('',*,*,#23882,.F.);
#32440=ORIENTED_EDGE('',*,*,#23883,.T.);
#32441=ORIENTED_EDGE('',*,*,#23889,.T.);
#32442=ORIENTED_EDGE('',*,*,#23927,.F.);
#32443=ORIENTED_EDGE('',*,*,#23917,.F.);
#32444=ORIENTED_EDGE('',*,*,#23888,.T.);
#32445=ORIENTED_EDGE('',*,*,#23915,.T.);
#32446=ORIENTED_EDGE('',*,*,#23918,.T.);
#32447=ORIENTED_EDGE('',*,*,#23927,.T.);
#32448=ORIENTED_EDGE('',*,*,#23928,.T.);
#32449=ORIENTED_EDGE('',*,*,#23929,.T.);
#32450=ORIENTED_EDGE('',*,*,#23930,.F.);
#32451=ORIENTED_EDGE('',*,*,#23931,.F.);
#32452=ORIENTED_EDGE('',*,*,#23932,.T.);
#32453=ORIENTED_EDGE('',*,*,#23928,.F.);
#32454=ORIENTED_EDGE('',*,*,#23929,.F.);
#32455=ORIENTED_EDGE('',*,*,#23932,.F.);
#32456=ORIENTED_EDGE('',*,*,#23933,.T.);
#32457=ORIENTED_EDGE('',*,*,#23934,.T.);
#32458=ORIENTED_EDGE('',*,*,#23935,.T.);
#32459=ORIENTED_EDGE('',*,*,#23936,.T.);
#32460=ORIENTED_EDGE('',*,*,#23937,.T.);
#32461=ORIENTED_EDGE('',*,*,#23938,.T.);
#32462=ORIENTED_EDGE('',*,*,#23939,.T.);
#32463=ORIENTED_EDGE('',*,*,#23940,.F.);
#32464=ORIENTED_EDGE('',*,*,#23941,.T.);
#32465=ORIENTED_EDGE('',*,*,#23942,.T.);
#32466=ORIENTED_EDGE('',*,*,#23943,.T.);
#32467=ORIENTED_EDGE('',*,*,#23944,.T.);
#32468=ORIENTED_EDGE('',*,*,#23945,.T.);
#32469=ORIENTED_EDGE('',*,*,#23946,.T.);
#32470=ORIENTED_EDGE('',*,*,#23947,.T.);
#32471=ORIENTED_EDGE('',*,*,#23948,.T.);
#32472=ORIENTED_EDGE('',*,*,#23930,.T.);
#32473=ORIENTED_EDGE('',*,*,#23931,.T.);
#32474=ORIENTED_EDGE('',*,*,#23949,.T.);
#32475=ORIENTED_EDGE('',*,*,#23950,.T.);
#32476=ORIENTED_EDGE('',*,*,#23933,.F.);
#32477=ORIENTED_EDGE('',*,*,#23951,.F.);
#32478=ORIENTED_EDGE('',*,*,#23951,.T.);
#32479=ORIENTED_EDGE('',*,*,#23940,.T.);
#32480=ORIENTED_EDGE('',*,*,#23952,.F.);
#32481=ORIENTED_EDGE('',*,*,#23953,.F.);
#32482=ORIENTED_EDGE('',*,*,#23954,.T.);
#32483=ORIENTED_EDGE('',*,*,#23955,.T.);
#32484=ORIENTED_EDGE('',*,*,#23934,.F.);
#32485=ORIENTED_EDGE('',*,*,#23950,.F.);
#32486=ORIENTED_EDGE('',*,*,#23956,.T.);
#32487=ORIENTED_EDGE('',*,*,#23952,.T.);
#32488=ORIENTED_EDGE('',*,*,#23939,.F.);
#32489=ORIENTED_EDGE('',*,*,#23957,.F.);
#32490=ORIENTED_EDGE('',*,*,#23958,.T.);
#32491=ORIENTED_EDGE('',*,*,#23959,.T.);
#32492=ORIENTED_EDGE('',*,*,#23935,.F.);
#32493=ORIENTED_EDGE('',*,*,#23955,.F.);
#32494=ORIENTED_EDGE('',*,*,#23960,.T.);
#32495=ORIENTED_EDGE('',*,*,#23957,.T.);
#32496=ORIENTED_EDGE('',*,*,#23938,.F.);
#32497=ORIENTED_EDGE('',*,*,#23961,.F.);
#32498=ORIENTED_EDGE('',*,*,#23962,.T.);
#32499=ORIENTED_EDGE('',*,*,#23963,.T.);
#32500=ORIENTED_EDGE('',*,*,#23936,.F.);
#32501=ORIENTED_EDGE('',*,*,#23959,.F.);
#32502=ORIENTED_EDGE('',*,*,#23964,.T.);
#32503=ORIENTED_EDGE('',*,*,#23961,.T.);
#32504=ORIENTED_EDGE('',*,*,#23937,.F.);
#32505=ORIENTED_EDGE('',*,*,#23963,.F.);
#32506=ORIENTED_EDGE('',*,*,#23941,.F.);
#32507=ORIENTED_EDGE('',*,*,#23942,.F.);
#32508=ORIENTED_EDGE('',*,*,#23965,.T.);
#32509=ORIENTED_EDGE('',*,*,#23966,.F.);
#32510=ORIENTED_EDGE('',*,*,#23967,.F.);
#32511=ORIENTED_EDGE('',*,*,#23965,.F.);
#32512=ORIENTED_EDGE('',*,*,#23943,.F.);
#32513=ORIENTED_EDGE('',*,*,#23944,.F.);
#32514=ORIENTED_EDGE('',*,*,#23968,.T.);
#32515=ORIENTED_EDGE('',*,*,#23969,.F.);
#32516=ORIENTED_EDGE('',*,*,#23970,.F.);
#32517=ORIENTED_EDGE('',*,*,#23968,.F.);
#32518=ORIENTED_EDGE('',*,*,#23945,.F.);
#32519=ORIENTED_EDGE('',*,*,#23946,.F.);
#32520=ORIENTED_EDGE('',*,*,#23971,.T.);
#32521=ORIENTED_EDGE('',*,*,#23972,.F.);
#32522=ORIENTED_EDGE('',*,*,#23973,.F.);
#32523=ORIENTED_EDGE('',*,*,#23971,.F.);
#32524=ORIENTED_EDGE('',*,*,#23947,.F.);
#32525=ORIENTED_EDGE('',*,*,#23948,.F.);
#32526=ORIENTED_EDGE('',*,*,#23974,.T.);
#32527=ORIENTED_EDGE('',*,*,#23975,.F.);
#32528=ORIENTED_EDGE('',*,*,#23976,.F.);
#32529=ORIENTED_EDGE('',*,*,#23974,.F.);
#32530=ORIENTED_EDGE('',*,*,#23977,.T.);
#32531=ORIENTED_EDGE('',*,*,#23978,.T.);
#32532=ORIENTED_EDGE('',*,*,#23949,.F.);
#32533=ORIENTED_EDGE('',*,*,#23979,.F.);
#32534=ORIENTED_EDGE('',*,*,#23979,.T.);
#32535=ORIENTED_EDGE('',*,*,#23953,.T.);
#32536=ORIENTED_EDGE('',*,*,#23980,.F.);
#32537=ORIENTED_EDGE('',*,*,#23981,.F.);
#32538=ORIENTED_EDGE('',*,*,#23982,.T.);
#32539=ORIENTED_EDGE('',*,*,#23983,.T.);
#32540=ORIENTED_EDGE('',*,*,#23954,.F.);
#32541=ORIENTED_EDGE('',*,*,#23978,.F.);
#32542=ORIENTED_EDGE('',*,*,#23984,.T.);
#32543=ORIENTED_EDGE('',*,*,#23985,.F.);
#32544=ORIENTED_EDGE('',*,*,#23986,.F.);
#32545=ORIENTED_EDGE('',*,*,#23987,.T.);
#32546=ORIENTED_EDGE('',*,*,#23988,.T.);
#32547=ORIENTED_EDGE('',*,*,#23989,.F.);
#32548=ORIENTED_EDGE('',*,*,#23990,.F.);
#32549=ORIENTED_EDGE('',*,*,#23991,.T.);
#32550=ORIENTED_EDGE('',*,*,#23992,.T.);
#32551=ORIENTED_EDGE('',*,*,#23980,.T.);
#32552=ORIENTED_EDGE('',*,*,#23956,.F.);
#32553=ORIENTED_EDGE('',*,*,#23993,.F.);
#32554=ORIENTED_EDGE('',*,*,#23994,.T.);
#32555=ORIENTED_EDGE('',*,*,#23995,.F.);
#32556=ORIENTED_EDGE('',*,*,#23996,.T.);
#32557=ORIENTED_EDGE('',*,*,#23997,.T.);
#32558=ORIENTED_EDGE('',*,*,#23998,.T.);
#32559=ORIENTED_EDGE('',*,*,#23999,.F.);
#32560=ORIENTED_EDGE('',*,*,#24000,.T.);
#32561=ORIENTED_EDGE('',*,*,#24001,.T.);
#32562=ORIENTED_EDGE('',*,*,#24002,.T.);
#32563=ORIENTED_EDGE('',*,*,#24003,.T.);
#32564=ORIENTED_EDGE('',*,*,#23958,.F.);
#32565=ORIENTED_EDGE('',*,*,#23983,.F.);
#32566=ORIENTED_EDGE('',*,*,#24004,.T.);
#32567=ORIENTED_EDGE('',*,*,#23993,.T.);
#32568=ORIENTED_EDGE('',*,*,#23960,.F.);
#32569=ORIENTED_EDGE('',*,*,#24005,.F.);
#32570=ORIENTED_EDGE('',*,*,#24006,.T.);
#32571=ORIENTED_EDGE('',*,*,#24007,.T.);
#32572=ORIENTED_EDGE('',*,*,#23962,.F.);
#32573=ORIENTED_EDGE('',*,*,#24003,.F.);
#32574=ORIENTED_EDGE('',*,*,#24008,.T.);
#32575=ORIENTED_EDGE('',*,*,#24005,.T.);
#32576=ORIENTED_EDGE('',*,*,#23964,.F.);
#32577=ORIENTED_EDGE('',*,*,#24007,.F.);
#32578=ORIENTED_EDGE('',*,*,#23966,.T.);
#32579=ORIENTED_EDGE('',*,*,#23967,.T.);
#32580=ORIENTED_EDGE('',*,*,#23969,.T.);
#32581=ORIENTED_EDGE('',*,*,#24009,.T.);
#32582=ORIENTED_EDGE('',*,*,#24010,.F.);
#32583=ORIENTED_EDGE('',*,*,#24011,.F.);
#32584=ORIENTED_EDGE('',*,*,#24009,.F.);
#32585=ORIENTED_EDGE('',*,*,#23970,.T.);
#32586=ORIENTED_EDGE('',*,*,#23972,.T.);
#32587=ORIENTED_EDGE('',*,*,#23973,.T.);
#32588=ORIENTED_EDGE('',*,*,#23975,.T.);
#32589=ORIENTED_EDGE('',*,*,#23976,.T.);
#32590=ORIENTED_EDGE('',*,*,#23977,.F.);
#32591=ORIENTED_EDGE('',*,*,#23981,.T.);
#32592=ORIENTED_EDGE('',*,*,#23992,.F.);
#32593=ORIENTED_EDGE('',*,*,#24012,.F.);
#32594=ORIENTED_EDGE('',*,*,#23988,.F.);
#32595=ORIENTED_EDGE('',*,*,#24013,.F.);
#32596=ORIENTED_EDGE('',*,*,#23984,.F.);
#32597=ORIENTED_EDGE('',*,*,#24004,.F.);
#32598=ORIENTED_EDGE('',*,*,#24008,.F.);
#32599=ORIENTED_EDGE('',*,*,#24006,.F.);
#32600=ORIENTED_EDGE('',*,*,#24002,.F.);
#32601=ORIENTED_EDGE('',*,*,#24014,.F.);
#32602=ORIENTED_EDGE('',*,*,#23998,.F.);
#32603=ORIENTED_EDGE('',*,*,#24015,.F.);
#32604=ORIENTED_EDGE('',*,*,#23994,.F.);
#32605=ORIENTED_EDGE('',*,*,#23982,.F.);
#32606=ORIENTED_EDGE('',*,*,#24016,.F.);
#32607=ORIENTED_EDGE('',*,*,#24017,.T.);
#32608=ORIENTED_EDGE('',*,*,#24018,.T.);
#32609=ORIENTED_EDGE('',*,*,#24019,.T.);
#32610=ORIENTED_EDGE('',*,*,#24020,.T.);
#32611=ORIENTED_EDGE('',*,*,#23985,.T.);
#32612=ORIENTED_EDGE('',*,*,#24021,.T.);
#32613=ORIENTED_EDGE('',*,*,#24022,.T.);
#32614=ORIENTED_EDGE('',*,*,#24023,.F.);
#32615=ORIENTED_EDGE('',*,*,#24024,.T.);
#32616=ORIENTED_EDGE('',*,*,#24025,.F.);
#32617=ORIENTED_EDGE('',*,*,#24026,.T.);
#32618=ORIENTED_EDGE('',*,*,#24027,.T.);
#32619=ORIENTED_EDGE('',*,*,#24028,.T.);
#32620=ORIENTED_EDGE('',*,*,#24029,.T.);
#32621=ORIENTED_EDGE('',*,*,#24030,.T.);
#32622=ORIENTED_EDGE('',*,*,#24031,.F.);
#32623=ORIENTED_EDGE('',*,*,#24032,.F.);
#32624=ORIENTED_EDGE('',*,*,#24033,.T.);
#32625=ORIENTED_EDGE('',*,*,#23986,.T.);
#32626=ORIENTED_EDGE('',*,*,#24020,.F.);
#32627=ORIENTED_EDGE('',*,*,#24034,.F.);
#32628=ORIENTED_EDGE('',*,*,#24035,.T.);
#32629=ORIENTED_EDGE('',*,*,#24036,.T.);
#32630=ORIENTED_EDGE('',*,*,#24037,.T.);
#32631=ORIENTED_EDGE('',*,*,#24038,.F.);
#32632=ORIENTED_EDGE('',*,*,#24039,.F.);
#32633=ORIENTED_EDGE('',*,*,#24040,.F.);
#32634=ORIENTED_EDGE('',*,*,#24041,.F.);
#32635=ORIENTED_EDGE('',*,*,#24042,.F.);
#32636=ORIENTED_EDGE('',*,*,#24043,.T.);
#32637=ORIENTED_EDGE('',*,*,#24044,.F.);
#32638=ORIENTED_EDGE('',*,*,#24045,.T.);
#32639=ORIENTED_EDGE('',*,*,#24046,.F.);
#32640=ORIENTED_EDGE('',*,*,#24047,.F.);
#32641=ORIENTED_EDGE('',*,*,#23987,.F.);
#32642=ORIENTED_EDGE('',*,*,#24033,.F.);
#32643=ORIENTED_EDGE('',*,*,#24048,.F.);
#32644=ORIENTED_EDGE('',*,*,#24049,.F.);
#32645=ORIENTED_EDGE('',*,*,#24050,.F.);
#32646=ORIENTED_EDGE('',*,*,#24051,.T.);
#32647=ORIENTED_EDGE('',*,*,#24052,.T.);
#32648=ORIENTED_EDGE('',*,*,#24053,.T.);
#32649=ORIENTED_EDGE('',*,*,#24054,.F.);
#32650=ORIENTED_EDGE('',*,*,#24055,.F.);
#32651=ORIENTED_EDGE('',*,*,#24056,.F.);
#32652=ORIENTED_EDGE('',*,*,#24057,.F.);
#32653=ORIENTED_EDGE('',*,*,#24058,.F.);
#32654=ORIENTED_EDGE('',*,*,#24059,.T.);
#32655=ORIENTED_EDGE('',*,*,#24060,.F.);
#32656=ORIENTED_EDGE('',*,*,#24061,.T.);
#32657=ORIENTED_EDGE('',*,*,#24062,.F.);
#32658=ORIENTED_EDGE('',*,*,#24063,.F.);
#32659=ORIENTED_EDGE('',*,*,#23991,.F.);
#32660=ORIENTED_EDGE('',*,*,#24064,.F.);
#32661=ORIENTED_EDGE('',*,*,#24065,.F.);
#32662=ORIENTED_EDGE('',*,*,#24066,.F.);
#32663=ORIENTED_EDGE('',*,*,#24067,.F.);
#32664=ORIENTED_EDGE('',*,*,#24068,.F.);
#32665=ORIENTED_EDGE('',*,*,#24069,.T.);
#32666=ORIENTED_EDGE('',*,*,#24070,.T.);
#32667=ORIENTED_EDGE('',*,*,#24071,.T.);
#32668=ORIENTED_EDGE('',*,*,#24072,.T.);
#32669=ORIENTED_EDGE('',*,*,#23989,.T.);
#32670=ORIENTED_EDGE('',*,*,#24073,.T.);
#32671=ORIENTED_EDGE('',*,*,#24074,.T.);
#32672=ORIENTED_EDGE('',*,*,#24075,.F.);
#32673=ORIENTED_EDGE('',*,*,#24076,.T.);
#32674=ORIENTED_EDGE('',*,*,#24077,.F.);
#32675=ORIENTED_EDGE('',*,*,#24078,.T.);
#32676=ORIENTED_EDGE('',*,*,#24079,.T.);
#32677=ORIENTED_EDGE('',*,*,#24080,.T.);
#32678=ORIENTED_EDGE('',*,*,#24081,.T.);
#32679=ORIENTED_EDGE('',*,*,#24082,.T.);
#32680=ORIENTED_EDGE('',*,*,#24083,.F.);
#32681=ORIENTED_EDGE('',*,*,#24084,.F.);
#32682=ORIENTED_EDGE('',*,*,#24064,.T.);
#32683=ORIENTED_EDGE('',*,*,#23990,.T.);
#32684=ORIENTED_EDGE('',*,*,#24072,.F.);
#32685=ORIENTED_EDGE('',*,*,#24085,.F.);
#32686=ORIENTED_EDGE('',*,*,#24086,.F.);
#32687=ORIENTED_EDGE('',*,*,#24087,.T.);
#32688=ORIENTED_EDGE('',*,*,#24088,.T.);
#32689=ORIENTED_EDGE('',*,*,#24089,.T.);
#32690=ORIENTED_EDGE('',*,*,#24090,.T.);
#32691=ORIENTED_EDGE('',*,*,#23995,.T.);
#32692=ORIENTED_EDGE('',*,*,#24091,.T.);
#32693=ORIENTED_EDGE('',*,*,#24092,.T.);
#32694=ORIENTED_EDGE('',*,*,#24093,.F.);
#32695=ORIENTED_EDGE('',*,*,#24094,.T.);
#32696=ORIENTED_EDGE('',*,*,#24095,.F.);
#32697=ORIENTED_EDGE('',*,*,#24096,.T.);
#32698=ORIENTED_EDGE('',*,*,#24097,.T.);
#32699=ORIENTED_EDGE('',*,*,#24098,.T.);
#32700=ORIENTED_EDGE('',*,*,#24099,.T.);
#32701=ORIENTED_EDGE('',*,*,#24100,.T.);
#32702=ORIENTED_EDGE('',*,*,#24101,.F.);
#32703=ORIENTED_EDGE('',*,*,#24102,.F.);
#32704=ORIENTED_EDGE('',*,*,#24090,.F.);
#32705=ORIENTED_EDGE('',*,*,#24103,.T.);
#32706=ORIENTED_EDGE('',*,*,#24104,.T.);
#32707=ORIENTED_EDGE('',*,*,#23996,.F.);
#32708=ORIENTED_EDGE('',*,*,#24105,.T.);
#32709=ORIENTED_EDGE('',*,*,#24106,.T.);
#32710=ORIENTED_EDGE('',*,*,#24107,.T.);
#32711=ORIENTED_EDGE('',*,*,#24108,.F.);
#32712=ORIENTED_EDGE('',*,*,#24109,.F.);
#32713=ORIENTED_EDGE('',*,*,#24110,.F.);
#32714=ORIENTED_EDGE('',*,*,#24111,.F.);
#32715=ORIENTED_EDGE('',*,*,#24112,.F.);
#32716=ORIENTED_EDGE('',*,*,#24113,.T.);
#32717=ORIENTED_EDGE('',*,*,#24114,.F.);
#32718=ORIENTED_EDGE('',*,*,#24115,.T.);
#32719=ORIENTED_EDGE('',*,*,#24116,.F.);
#32720=ORIENTED_EDGE('',*,*,#24117,.F.);
#32721=ORIENTED_EDGE('',*,*,#23997,.F.);
#32722=ORIENTED_EDGE('',*,*,#24104,.F.);
#32723=ORIENTED_EDGE('',*,*,#24118,.F.);
#32724=ORIENTED_EDGE('',*,*,#24119,.F.);
#32725=ORIENTED_EDGE('',*,*,#24120,.F.);
#32726=ORIENTED_EDGE('',*,*,#24121,.T.);
#32727=ORIENTED_EDGE('',*,*,#24122,.T.);
#32728=ORIENTED_EDGE('',*,*,#24123,.T.);
#32729=ORIENTED_EDGE('',*,*,#24124,.F.);
#32730=ORIENTED_EDGE('',*,*,#24125,.F.);
#32731=ORIENTED_EDGE('',*,*,#24126,.F.);
#32732=ORIENTED_EDGE('',*,*,#24127,.F.);
#32733=ORIENTED_EDGE('',*,*,#24128,.F.);
#32734=ORIENTED_EDGE('',*,*,#24129,.T.);
#32735=ORIENTED_EDGE('',*,*,#24130,.F.);
#32736=ORIENTED_EDGE('',*,*,#24131,.T.);
#32737=ORIENTED_EDGE('',*,*,#24132,.F.);
#32738=ORIENTED_EDGE('',*,*,#24133,.F.);
#32739=ORIENTED_EDGE('',*,*,#24001,.F.);
#32740=ORIENTED_EDGE('',*,*,#24134,.F.);
#32741=ORIENTED_EDGE('',*,*,#24135,.F.);
#32742=ORIENTED_EDGE('',*,*,#24136,.F.);
#32743=ORIENTED_EDGE('',*,*,#24137,.F.);
#32744=ORIENTED_EDGE('',*,*,#24138,.F.);
#32745=ORIENTED_EDGE('',*,*,#24139,.T.);
#32746=ORIENTED_EDGE('',*,*,#24140,.T.);
#32747=ORIENTED_EDGE('',*,*,#24141,.T.);
#32748=ORIENTED_EDGE('',*,*,#24142,.T.);
#32749=ORIENTED_EDGE('',*,*,#23999,.T.);
#32750=ORIENTED_EDGE('',*,*,#24143,.T.);
#32751=ORIENTED_EDGE('',*,*,#24144,.T.);
#32752=ORIENTED_EDGE('',*,*,#24145,.F.);
#32753=ORIENTED_EDGE('',*,*,#24146,.T.);
#32754=ORIENTED_EDGE('',*,*,#24147,.F.);
#32755=ORIENTED_EDGE('',*,*,#24148,.T.);
#32756=ORIENTED_EDGE('',*,*,#24149,.T.);
#32757=ORIENTED_EDGE('',*,*,#24150,.T.);
#32758=ORIENTED_EDGE('',*,*,#24151,.T.);
#32759=ORIENTED_EDGE('',*,*,#24152,.T.);
#32760=ORIENTED_EDGE('',*,*,#24153,.F.);
#32761=ORIENTED_EDGE('',*,*,#24154,.F.);
#32762=ORIENTED_EDGE('',*,*,#24142,.F.);
#32763=ORIENTED_EDGE('',*,*,#24155,.T.);
#32764=ORIENTED_EDGE('',*,*,#24134,.T.);
#32765=ORIENTED_EDGE('',*,*,#24000,.F.);
#32766=ORIENTED_EDGE('',*,*,#24010,.T.);
#32767=ORIENTED_EDGE('',*,*,#24011,.T.);
#32768=ORIENTED_EDGE('',*,*,#24063,.T.);
#32769=ORIENTED_EDGE('',*,*,#24156,.T.);
#32770=ORIENTED_EDGE('',*,*,#24073,.F.);
#32771=ORIENTED_EDGE('',*,*,#24012,.T.);
#32772=ORIENTED_EDGE('',*,*,#24091,.F.);
#32773=ORIENTED_EDGE('',*,*,#24015,.T.);
#32774=ORIENTED_EDGE('',*,*,#24117,.T.);
#32775=ORIENTED_EDGE('',*,*,#24157,.F.);
#32776=ORIENTED_EDGE('',*,*,#24047,.T.);
#32777=ORIENTED_EDGE('',*,*,#24158,.T.);
#32778=ORIENTED_EDGE('',*,*,#24021,.F.);
#32779=ORIENTED_EDGE('',*,*,#24013,.T.);
#32780=ORIENTED_EDGE('',*,*,#24143,.F.);
#32781=ORIENTED_EDGE('',*,*,#24014,.T.);
#32782=ORIENTED_EDGE('',*,*,#24133,.T.);
#32783=ORIENTED_EDGE('',*,*,#24159,.F.);
#32784=ORIENTED_EDGE('',*,*,#24035,.F.);
#32785=ORIENTED_EDGE('',*,*,#24160,.T.);
#32786=ORIENTED_EDGE('',*,*,#24016,.T.);
#32787=ORIENTED_EDGE('',*,*,#24161,.F.);
#32788=ORIENTED_EDGE('',*,*,#24160,.F.);
#32789=ORIENTED_EDGE('',*,*,#24050,.T.);
#32790=ORIENTED_EDGE('',*,*,#24162,.T.);
#32791=ORIENTED_EDGE('',*,*,#24017,.F.);
#32792=ORIENTED_EDGE('',*,*,#24161,.T.);
#32793=ORIENTED_EDGE('',*,*,#24032,.T.);
#32794=ORIENTED_EDGE('',*,*,#24163,.F.);
#32795=ORIENTED_EDGE('',*,*,#24036,.F.);
#32796=ORIENTED_EDGE('',*,*,#24049,.T.);
#32797=ORIENTED_EDGE('',*,*,#24164,.T.);
#32798=ORIENTED_EDGE('',*,*,#24018,.F.);
#32799=ORIENTED_EDGE('',*,*,#24162,.F.);
#32800=ORIENTED_EDGE('',*,*,#24037,.F.);
#32801=ORIENTED_EDGE('',*,*,#24163,.T.);
#32802=ORIENTED_EDGE('',*,*,#24031,.T.);
#32803=ORIENTED_EDGE('',*,*,#24165,.F.);
#32804=ORIENTED_EDGE('',*,*,#24048,.T.);
#32805=ORIENTED_EDGE('',*,*,#24034,.T.);
#32806=ORIENTED_EDGE('',*,*,#24019,.F.);
#32807=ORIENTED_EDGE('',*,*,#24164,.F.);
#32808=ORIENTED_EDGE('',*,*,#24166,.F.);
#32809=ORIENTED_EDGE('',*,*,#24038,.T.);
#32810=ORIENTED_EDGE('',*,*,#24165,.T.);
#32811=ORIENTED_EDGE('',*,*,#24030,.F.);
#32812=ORIENTED_EDGE('',*,*,#24039,.T.);
#32813=ORIENTED_EDGE('',*,*,#24166,.T.);
#32814=ORIENTED_EDGE('',*,*,#24029,.F.);
#32815=ORIENTED_EDGE('',*,*,#24167,.F.);
#32816=ORIENTED_EDGE('',*,*,#24168,.F.);
#32817=ORIENTED_EDGE('',*,*,#24040,.T.);
#32818=ORIENTED_EDGE('',*,*,#24167,.T.);
#32819=ORIENTED_EDGE('',*,*,#24028,.F.);
#32820=ORIENTED_EDGE('',*,*,#24041,.T.);
#32821=ORIENTED_EDGE('',*,*,#24168,.T.);
#32822=ORIENTED_EDGE('',*,*,#24027,.F.);
#32823=ORIENTED_EDGE('',*,*,#24169,.F.);
#32824=ORIENTED_EDGE('',*,*,#24046,.T.);
#32825=ORIENTED_EDGE('',*,*,#24170,.T.);
#32826=ORIENTED_EDGE('',*,*,#24022,.F.);
#32827=ORIENTED_EDGE('',*,*,#24158,.F.);
#32828=ORIENTED_EDGE('',*,*,#24042,.T.);
#32829=ORIENTED_EDGE('',*,*,#24169,.T.);
#32830=ORIENTED_EDGE('',*,*,#24026,.F.);
#32831=ORIENTED_EDGE('',*,*,#24171,.F.);
#32832=ORIENTED_EDGE('',*,*,#24045,.F.);
#32833=ORIENTED_EDGE('',*,*,#24172,.T.);
#32834=ORIENTED_EDGE('',*,*,#24023,.T.);
#32835=ORIENTED_EDGE('',*,*,#24170,.F.);
#32836=ORIENTED_EDGE('',*,*,#24043,.F.);
#32837=ORIENTED_EDGE('',*,*,#24171,.T.);
#32838=ORIENTED_EDGE('',*,*,#24025,.T.);
#32839=ORIENTED_EDGE('',*,*,#24173,.F.);
#32840=ORIENTED_EDGE('',*,*,#24172,.F.);
#32841=ORIENTED_EDGE('',*,*,#24044,.T.);
#32842=ORIENTED_EDGE('',*,*,#24173,.T.);
#32843=ORIENTED_EDGE('',*,*,#24024,.F.);
#32844=ORIENTED_EDGE('',*,*,#24051,.F.);
#32845=ORIENTED_EDGE('',*,*,#24174,.T.);
#32846=ORIENTED_EDGE('',*,*,#24068,.T.);
#32847=ORIENTED_EDGE('',*,*,#24175,.F.);
#32848=ORIENTED_EDGE('',*,*,#24174,.F.);
#32849=ORIENTED_EDGE('',*,*,#24067,.T.);
#32850=ORIENTED_EDGE('',*,*,#24176,.T.);
#32851=ORIENTED_EDGE('',*,*,#24069,.F.);
#32852=ORIENTED_EDGE('',*,*,#24175,.T.);
#32853=ORIENTED_EDGE('',*,*,#24084,.T.);
#32854=ORIENTED_EDGE('',*,*,#24177,.F.);
#32855=ORIENTED_EDGE('',*,*,#24052,.F.);
#32856=ORIENTED_EDGE('',*,*,#24066,.T.);
#32857=ORIENTED_EDGE('',*,*,#24178,.T.);
#32858=ORIENTED_EDGE('',*,*,#24070,.F.);
#32859=ORIENTED_EDGE('',*,*,#24176,.F.);
#32860=ORIENTED_EDGE('',*,*,#24053,.F.);
#32861=ORIENTED_EDGE('',*,*,#24177,.T.);
#32862=ORIENTED_EDGE('',*,*,#24083,.T.);
#32863=ORIENTED_EDGE('',*,*,#24179,.F.);
#32864=ORIENTED_EDGE('',*,*,#24065,.T.);
#32865=ORIENTED_EDGE('',*,*,#24085,.T.);
#32866=ORIENTED_EDGE('',*,*,#24071,.F.);
#32867=ORIENTED_EDGE('',*,*,#24178,.F.);
#32868=ORIENTED_EDGE('',*,*,#24180,.F.);
#32869=ORIENTED_EDGE('',*,*,#24054,.T.);
#32870=ORIENTED_EDGE('',*,*,#24179,.T.);
#32871=ORIENTED_EDGE('',*,*,#24082,.F.);
#32872=ORIENTED_EDGE('',*,*,#24055,.T.);
#32873=ORIENTED_EDGE('',*,*,#24180,.T.);
#32874=ORIENTED_EDGE('',*,*,#24081,.F.);
#32875=ORIENTED_EDGE('',*,*,#24181,.F.);
#32876=ORIENTED_EDGE('',*,*,#24182,.F.);
#32877=ORIENTED_EDGE('',*,*,#24056,.T.);
#32878=ORIENTED_EDGE('',*,*,#24181,.T.);
#32879=ORIENTED_EDGE('',*,*,#24080,.F.);
#32880=ORIENTED_EDGE('',*,*,#24057,.T.);
#32881=ORIENTED_EDGE('',*,*,#24182,.T.);
#32882=ORIENTED_EDGE('',*,*,#24079,.F.);
#32883=ORIENTED_EDGE('',*,*,#24183,.F.);
#32884=ORIENTED_EDGE('',*,*,#24062,.T.);
#32885=ORIENTED_EDGE('',*,*,#24184,.T.);
#32886=ORIENTED_EDGE('',*,*,#24074,.F.);
#32887=ORIENTED_EDGE('',*,*,#24156,.F.);
#32888=ORIENTED_EDGE('',*,*,#24058,.T.);
#32889=ORIENTED_EDGE('',*,*,#24183,.T.);
#32890=ORIENTED_EDGE('',*,*,#24078,.F.);
#32891=ORIENTED_EDGE('',*,*,#24185,.F.);
#32892=ORIENTED_EDGE('',*,*,#24061,.F.);
#32893=ORIENTED_EDGE('',*,*,#24186,.T.);
#32894=ORIENTED_EDGE('',*,*,#24075,.T.);
#32895=ORIENTED_EDGE('',*,*,#24184,.F.);
#32896=ORIENTED_EDGE('',*,*,#24059,.F.);
#32897=ORIENTED_EDGE('',*,*,#24185,.T.);
#32898=ORIENTED_EDGE('',*,*,#24077,.T.);
#32899=ORIENTED_EDGE('',*,*,#24187,.F.);
#32900=ORIENTED_EDGE('',*,*,#24186,.F.);
#32901=ORIENTED_EDGE('',*,*,#24060,.T.);
#32902=ORIENTED_EDGE('',*,*,#24187,.T.);
#32903=ORIENTED_EDGE('',*,*,#24076,.F.);
#32904=ORIENTED_EDGE('',*,*,#24086,.T.);
#32905=ORIENTED_EDGE('',*,*,#24188,.T.);
#32906=ORIENTED_EDGE('',*,*,#24105,.F.);
#32907=ORIENTED_EDGE('',*,*,#24189,.F.);
#32908=ORIENTED_EDGE('',*,*,#24087,.F.);
#32909=ORIENTED_EDGE('',*,*,#24189,.T.);
#32910=ORIENTED_EDGE('',*,*,#24120,.T.);
#32911=ORIENTED_EDGE('',*,*,#24190,.F.);
#32912=ORIENTED_EDGE('',*,*,#24102,.T.);
#32913=ORIENTED_EDGE('',*,*,#24191,.T.);
#32914=ORIENTED_EDGE('',*,*,#24106,.F.);
#32915=ORIENTED_EDGE('',*,*,#24188,.F.);
#32916=ORIENTED_EDGE('',*,*,#24088,.F.);
#32917=ORIENTED_EDGE('',*,*,#24190,.T.);
#32918=ORIENTED_EDGE('',*,*,#24119,.T.);
#32919=ORIENTED_EDGE('',*,*,#24192,.F.);
#32920=ORIENTED_EDGE('',*,*,#24101,.T.);
#32921=ORIENTED_EDGE('',*,*,#24193,.T.);
#32922=ORIENTED_EDGE('',*,*,#24107,.F.);
#32923=ORIENTED_EDGE('',*,*,#24191,.F.);
#32924=ORIENTED_EDGE('',*,*,#24192,.T.);
#32925=ORIENTED_EDGE('',*,*,#24118,.T.);
#32926=ORIENTED_EDGE('',*,*,#24103,.F.);
#32927=ORIENTED_EDGE('',*,*,#24089,.F.);
#32928=ORIENTED_EDGE('',*,*,#24100,.F.);
#32929=ORIENTED_EDGE('',*,*,#24194,.T.);
#32930=ORIENTED_EDGE('',*,*,#24108,.T.);
#32931=ORIENTED_EDGE('',*,*,#24193,.F.);
#32932=ORIENTED_EDGE('',*,*,#24099,.F.);
#32933=ORIENTED_EDGE('',*,*,#24195,.T.);
#32934=ORIENTED_EDGE('',*,*,#24109,.T.);
#32935=ORIENTED_EDGE('',*,*,#24194,.F.);
#32936=ORIENTED_EDGE('',*,*,#24196,.T.);
#32937=ORIENTED_EDGE('',*,*,#24110,.T.);
#32938=ORIENTED_EDGE('',*,*,#24195,.F.);
#32939=ORIENTED_EDGE('',*,*,#24098,.F.);
#32940=ORIENTED_EDGE('',*,*,#24097,.F.);
#32941=ORIENTED_EDGE('',*,*,#24197,.T.);
#32942=ORIENTED_EDGE('',*,*,#24111,.T.);
#32943=ORIENTED_EDGE('',*,*,#24196,.F.);
#32944=ORIENTED_EDGE('',*,*,#24157,.T.);
#32945=ORIENTED_EDGE('',*,*,#24116,.T.);
#32946=ORIENTED_EDGE('',*,*,#24198,.F.);
#32947=ORIENTED_EDGE('',*,*,#24092,.F.);
#32948=ORIENTED_EDGE('',*,*,#24199,.T.);
#32949=ORIENTED_EDGE('',*,*,#24112,.T.);
#32950=ORIENTED_EDGE('',*,*,#24197,.F.);
#32951=ORIENTED_EDGE('',*,*,#24096,.F.);
#32952=ORIENTED_EDGE('',*,*,#24093,.T.);
#32953=ORIENTED_EDGE('',*,*,#24198,.T.);
#32954=ORIENTED_EDGE('',*,*,#24115,.F.);
#32955=ORIENTED_EDGE('',*,*,#24200,.F.);
#32956=ORIENTED_EDGE('',*,*,#24095,.T.);
#32957=ORIENTED_EDGE('',*,*,#24201,.T.);
#32958=ORIENTED_EDGE('',*,*,#24113,.F.);
#32959=ORIENTED_EDGE('',*,*,#24199,.F.);
#32960=ORIENTED_EDGE('',*,*,#24094,.F.);
#32961=ORIENTED_EDGE('',*,*,#24200,.T.);
#32962=ORIENTED_EDGE('',*,*,#24114,.T.);
#32963=ORIENTED_EDGE('',*,*,#24201,.F.);
#32964=ORIENTED_EDGE('',*,*,#24138,.T.);
#32965=ORIENTED_EDGE('',*,*,#24202,.T.);
#32966=ORIENTED_EDGE('',*,*,#24121,.F.);
#32967=ORIENTED_EDGE('',*,*,#24203,.F.);
#32968=ORIENTED_EDGE('',*,*,#24139,.F.);
#32969=ORIENTED_EDGE('',*,*,#24203,.T.);
#32970=ORIENTED_EDGE('',*,*,#24137,.T.);
#32971=ORIENTED_EDGE('',*,*,#24204,.F.);
#32972=ORIENTED_EDGE('',*,*,#24154,.T.);
#32973=ORIENTED_EDGE('',*,*,#24205,.T.);
#32974=ORIENTED_EDGE('',*,*,#24122,.F.);
#32975=ORIENTED_EDGE('',*,*,#24202,.F.);
#32976=ORIENTED_EDGE('',*,*,#24140,.F.);
#32977=ORIENTED_EDGE('',*,*,#24204,.T.);
#32978=ORIENTED_EDGE('',*,*,#24136,.T.);
#32979=ORIENTED_EDGE('',*,*,#24206,.F.);
#32980=ORIENTED_EDGE('',*,*,#24153,.T.);
#32981=ORIENTED_EDGE('',*,*,#24207,.T.);
#32982=ORIENTED_EDGE('',*,*,#24123,.F.);
#32983=ORIENTED_EDGE('',*,*,#24205,.F.);
#32984=ORIENTED_EDGE('',*,*,#24206,.T.);
#32985=ORIENTED_EDGE('',*,*,#24135,.T.);
#32986=ORIENTED_EDGE('',*,*,#24155,.F.);
#32987=ORIENTED_EDGE('',*,*,#24141,.F.);
#32988=ORIENTED_EDGE('',*,*,#24152,.F.);
#32989=ORIENTED_EDGE('',*,*,#24208,.T.);
#32990=ORIENTED_EDGE('',*,*,#24124,.T.);
#32991=ORIENTED_EDGE('',*,*,#24207,.F.);
#32992=ORIENTED_EDGE('',*,*,#24151,.F.);
#32993=ORIENTED_EDGE('',*,*,#24209,.T.);
#32994=ORIENTED_EDGE('',*,*,#24125,.T.);
#32995=ORIENTED_EDGE('',*,*,#24208,.F.);
#32996=ORIENTED_EDGE('',*,*,#24210,.T.);
#32997=ORIENTED_EDGE('',*,*,#24126,.T.);
#32998=ORIENTED_EDGE('',*,*,#24209,.F.);
#32999=ORIENTED_EDGE('',*,*,#24150,.F.);
#33000=ORIENTED_EDGE('',*,*,#24149,.F.);
#33001=ORIENTED_EDGE('',*,*,#24211,.T.);
#33002=ORIENTED_EDGE('',*,*,#24127,.T.);
#33003=ORIENTED_EDGE('',*,*,#24210,.F.);
#33004=ORIENTED_EDGE('',*,*,#24159,.T.);
#33005=ORIENTED_EDGE('',*,*,#24132,.T.);
#33006=ORIENTED_EDGE('',*,*,#24212,.F.);
#33007=ORIENTED_EDGE('',*,*,#24144,.F.);
#33008=ORIENTED_EDGE('',*,*,#24213,.T.);
#33009=ORIENTED_EDGE('',*,*,#24128,.T.);
#33010=ORIENTED_EDGE('',*,*,#24211,.F.);
#33011=ORIENTED_EDGE('',*,*,#24148,.F.);
#33012=ORIENTED_EDGE('',*,*,#24145,.T.);
#33013=ORIENTED_EDGE('',*,*,#24212,.T.);
#33014=ORIENTED_EDGE('',*,*,#24131,.F.);
#33015=ORIENTED_EDGE('',*,*,#24214,.F.);
#33016=ORIENTED_EDGE('',*,*,#24147,.T.);
#33017=ORIENTED_EDGE('',*,*,#24215,.T.);
#33018=ORIENTED_EDGE('',*,*,#24129,.F.);
#33019=ORIENTED_EDGE('',*,*,#24213,.F.);
#33020=ORIENTED_EDGE('',*,*,#24146,.F.);
#33021=ORIENTED_EDGE('',*,*,#24214,.T.);
#33022=ORIENTED_EDGE('',*,*,#24130,.T.);
#33023=ORIENTED_EDGE('',*,*,#24215,.F.);
#33024=ORIENTED_EDGE('',*,*,#24216,.T.);
#33025=ORIENTED_EDGE('',*,*,#24217,.T.);
#33026=ORIENTED_EDGE('',*,*,#24218,.F.);
#33027=ORIENTED_EDGE('',*,*,#24219,.F.);
#33028=ORIENTED_EDGE('',*,*,#24220,.T.);
#33029=ORIENTED_EDGE('',*,*,#24221,.F.);
#33030=ORIENTED_EDGE('',*,*,#24222,.F.);
#33031=ORIENTED_EDGE('',*,*,#24223,.T.);
#33032=ORIENTED_EDGE('',*,*,#24224,.T.);
#33033=ORIENTED_EDGE('',*,*,#24225,.T.);
#33034=ORIENTED_EDGE('',*,*,#24226,.F.);
#33035=ORIENTED_EDGE('',*,*,#24227,.F.);
#33036=ORIENTED_EDGE('',*,*,#24216,.F.);
#33037=ORIENTED_EDGE('',*,*,#24228,.T.);
#33038=ORIENTED_EDGE('',*,*,#24219,.T.);
#33039=ORIENTED_EDGE('',*,*,#24229,.T.);
#33040=ORIENTED_EDGE('',*,*,#24230,.F.);
#33041=ORIENTED_EDGE('',*,*,#24228,.F.);
#33042=ORIENTED_EDGE('',*,*,#24227,.T.);
#33043=ORIENTED_EDGE('',*,*,#24231,.T.);
#33044=ORIENTED_EDGE('',*,*,#24232,.F.);
#33045=ORIENTED_EDGE('',*,*,#24217,.F.);
#33046=ORIENTED_EDGE('',*,*,#24233,.F.);
#33047=ORIENTED_EDGE('',*,*,#24229,.F.);
#33048=ORIENTED_EDGE('',*,*,#24218,.T.);
#33049=ORIENTED_EDGE('',*,*,#24232,.T.);
#33050=ORIENTED_EDGE('',*,*,#24234,.T.);
#33051=ORIENTED_EDGE('',*,*,#24235,.F.);
#33052=ORIENTED_EDGE('',*,*,#24236,.F.);
#33053=ORIENTED_EDGE('',*,*,#24237,.F.);
#33054=ORIENTED_EDGE('',*,*,#24238,.T.);
#33055=ORIENTED_EDGE('',*,*,#24239,.T.);
#33056=ORIENTED_EDGE('',*,*,#24233,.T.);
#33057=ORIENTED_EDGE('',*,*,#24240,.F.);
#33058=ORIENTED_EDGE('',*,*,#24220,.F.);
#33059=ORIENTED_EDGE('',*,*,#24230,.T.);
#33060=ORIENTED_EDGE('',*,*,#24221,.T.);
#33061=ORIENTED_EDGE('',*,*,#24240,.T.);
#33062=ORIENTED_EDGE('',*,*,#24239,.F.);
#33063=ORIENTED_EDGE('',*,*,#24241,.F.);
#33064=ORIENTED_EDGE('',*,*,#24222,.T.);
#33065=ORIENTED_EDGE('',*,*,#24242,.T.);
#33066=ORIENTED_EDGE('',*,*,#24243,.T.);
#33067=ORIENTED_EDGE('',*,*,#24244,.T.);
#33068=ORIENTED_EDGE('',*,*,#24245,.F.);
#33069=ORIENTED_EDGE('',*,*,#24246,.F.);
#33070=ORIENTED_EDGE('',*,*,#24224,.F.);
#33071=ORIENTED_EDGE('',*,*,#24223,.F.);
#33072=ORIENTED_EDGE('',*,*,#24234,.F.);
#33073=ORIENTED_EDGE('',*,*,#24231,.F.);
#33074=ORIENTED_EDGE('',*,*,#24226,.T.);
#33075=ORIENTED_EDGE('',*,*,#24247,.T.);
#33076=ORIENTED_EDGE('',*,*,#24248,.T.);
#33077=ORIENTED_EDGE('',*,*,#24235,.T.);
#33078=ORIENTED_EDGE('',*,*,#24247,.F.);
#33079=ORIENTED_EDGE('',*,*,#24225,.F.);
#33080=ORIENTED_EDGE('',*,*,#24238,.F.);
#33081=ORIENTED_EDGE('',*,*,#24237,.T.);
#33082=ORIENTED_EDGE('',*,*,#24236,.T.);
#33083=ORIENTED_EDGE('',*,*,#24249,.T.);
#33084=ORIENTED_EDGE('',*,*,#24250,.T.);
#33085=ORIENTED_EDGE('',*,*,#24251,.F.);
#33086=ORIENTED_EDGE('',*,*,#24252,.F.);
#33087=ORIENTED_EDGE('',*,*,#24253,.F.);
#33088=ORIENTED_EDGE('',*,*,#24241,.T.);
#33089=ORIENTED_EDGE('',*,*,#24253,.T.);
#33090=ORIENTED_EDGE('',*,*,#24254,.F.);
#33091=ORIENTED_EDGE('',*,*,#24242,.F.);
#33092=ORIENTED_EDGE('',*,*,#24255,.T.);
#33093=ORIENTED_EDGE('',*,*,#24256,.F.);
#33094=ORIENTED_EDGE('',*,*,#24257,.T.);
#33095=ORIENTED_EDGE('',*,*,#24258,.T.);
#33096=ORIENTED_EDGE('',*,*,#24259,.F.);
#33097=ORIENTED_EDGE('',*,*,#24260,.F.);
#33098=ORIENTED_EDGE('',*,*,#24245,.T.);
#33099=ORIENTED_EDGE('',*,*,#24244,.F.);
#33100=ORIENTED_EDGE('',*,*,#24243,.F.);
#33101=ORIENTED_EDGE('',*,*,#24261,.T.);
#33102=ORIENTED_EDGE('',*,*,#24246,.T.);
#33103=ORIENTED_EDGE('',*,*,#24262,.T.);
#33104=ORIENTED_EDGE('',*,*,#24263,.F.);
#33105=ORIENTED_EDGE('',*,*,#24264,.F.);
#33106=ORIENTED_EDGE('',*,*,#24264,.T.);
#33107=ORIENTED_EDGE('',*,*,#24265,.T.);
#33108=ORIENTED_EDGE('',*,*,#24266,.F.);
#33109=ORIENTED_EDGE('',*,*,#24248,.F.);
#33110=ORIENTED_EDGE('',*,*,#24267,.F.);
#33111=ORIENTED_EDGE('',*,*,#24268,.F.);
#33112=ORIENTED_EDGE('',*,*,#24252,.T.);
#33113=ORIENTED_EDGE('',*,*,#24251,.T.);
#33114=ORIENTED_EDGE('',*,*,#24250,.F.);
#33115=ORIENTED_EDGE('',*,*,#24269,.T.);
#33116=ORIENTED_EDGE('',*,*,#24270,.T.);
#33117=ORIENTED_EDGE('',*,*,#24271,.F.);
#33118=ORIENTED_EDGE('',*,*,#24272,.F.);
#33119=ORIENTED_EDGE('',*,*,#24273,.T.);
#33120=ORIENTED_EDGE('',*,*,#24249,.F.);
#33121=ORIENTED_EDGE('',*,*,#24266,.T.);
#33122=ORIENTED_EDGE('',*,*,#24274,.T.);
#33123=ORIENTED_EDGE('',*,*,#24275,.F.);
#33124=ORIENTED_EDGE('',*,*,#24254,.T.);
#33125=ORIENTED_EDGE('',*,*,#24268,.T.);
#33126=ORIENTED_EDGE('',*,*,#24276,.F.);
#33127=ORIENTED_EDGE('',*,*,#24261,.F.);
#33128=ORIENTED_EDGE('',*,*,#24267,.T.);
#33129=ORIENTED_EDGE('',*,*,#24277,.F.);
#33130=ORIENTED_EDGE('',*,*,#24255,.F.);
#33131=ORIENTED_EDGE('',*,*,#24276,.T.);
#33132=ORIENTED_EDGE('',*,*,#24256,.T.);
#33133=ORIENTED_EDGE('',*,*,#24277,.T.);
#33134=ORIENTED_EDGE('',*,*,#24273,.F.);
#33135=ORIENTED_EDGE('',*,*,#24278,.F.);
#33136=ORIENTED_EDGE('',*,*,#24257,.F.);
#33137=ORIENTED_EDGE('',*,*,#24278,.T.);
#33138=ORIENTED_EDGE('',*,*,#24272,.T.);
#33139=ORIENTED_EDGE('',*,*,#24279,.F.);
#33140=ORIENTED_EDGE('',*,*,#24279,.T.);
#33141=ORIENTED_EDGE('',*,*,#24271,.T.);
#33142=ORIENTED_EDGE('',*,*,#24280,.F.);
#33143=ORIENTED_EDGE('',*,*,#24258,.F.);
#33144=ORIENTED_EDGE('',*,*,#24270,.F.);
#33145=ORIENTED_EDGE('',*,*,#24281,.F.);
#33146=ORIENTED_EDGE('',*,*,#24259,.T.);
#33147=ORIENTED_EDGE('',*,*,#24280,.T.);
#33148=ORIENTED_EDGE('',*,*,#24260,.T.);
#33149=ORIENTED_EDGE('',*,*,#24281,.T.);
#33150=ORIENTED_EDGE('',*,*,#24269,.F.);
#33151=ORIENTED_EDGE('',*,*,#24282,.F.);
#33152=ORIENTED_EDGE('',*,*,#24262,.F.);
#33153=ORIENTED_EDGE('',*,*,#24282,.T.);
#33154=ORIENTED_EDGE('',*,*,#24275,.T.);
#33155=ORIENTED_EDGE('',*,*,#24283,.F.);
#33156=ORIENTED_EDGE('',*,*,#24265,.F.);
#33157=ORIENTED_EDGE('',*,*,#24263,.T.);
#33158=ORIENTED_EDGE('',*,*,#24283,.T.);
#33159=ORIENTED_EDGE('',*,*,#24274,.F.);
#33160=ORIENTED_EDGE('',*,*,#24284,.F.);
#33161=ORIENTED_EDGE('',*,*,#24285,.T.);
#33162=ORIENTED_EDGE('',*,*,#24286,.T.);
#33163=ORIENTED_EDGE('',*,*,#24287,.F.);
#33164=ORIENTED_EDGE('',*,*,#24288,.F.);
#33165=ORIENTED_EDGE('',*,*,#24289,.T.);
#33166=ORIENTED_EDGE('',*,*,#24284,.T.);
#33167=ORIENTED_EDGE('',*,*,#24290,.F.);
#33168=ORIENTED_EDGE('',*,*,#24286,.F.);
#33169=ORIENTED_EDGE('',*,*,#24291,.T.);
#33170=ORIENTED_EDGE('',*,*,#24292,.T.);
#33171=ORIENTED_EDGE('',*,*,#24293,.F.);
#33172=ORIENTED_EDGE('',*,*,#24285,.F.);
#33173=ORIENTED_EDGE('',*,*,#24289,.F.);
#33174=ORIENTED_EDGE('',*,*,#24294,.F.);
#33175=ORIENTED_EDGE('',*,*,#24295,.F.);
#33176=ORIENTED_EDGE('',*,*,#24296,.F.);
#33177=ORIENTED_EDGE('',*,*,#24297,.F.);
#33178=ORIENTED_EDGE('',*,*,#24298,.F.);
#33179=ORIENTED_EDGE('',*,*,#24299,.F.);
#33180=ORIENTED_EDGE('',*,*,#24300,.F.);
#33181=ORIENTED_EDGE('',*,*,#24301,.F.);
#33182=ORIENTED_EDGE('',*,*,#24302,.F.);
#33183=ORIENTED_EDGE('',*,*,#24303,.F.);
#33184=ORIENTED_EDGE('',*,*,#24304,.F.);
#33185=ORIENTED_EDGE('',*,*,#24305,.F.);
#33186=ORIENTED_EDGE('',*,*,#24306,.F.);
#33187=ORIENTED_EDGE('',*,*,#24307,.F.);
#33188=ORIENTED_EDGE('',*,*,#24308,.F.);
#33189=ORIENTED_EDGE('',*,*,#24309,.F.);
#33190=ORIENTED_EDGE('',*,*,#24310,.F.);
#33191=ORIENTED_EDGE('',*,*,#24311,.F.);
#33192=ORIENTED_EDGE('',*,*,#24312,.F.);
#33193=ORIENTED_EDGE('',*,*,#24313,.F.);
#33194=ORIENTED_EDGE('',*,*,#24314,.F.);
#33195=ORIENTED_EDGE('',*,*,#24315,.F.);
#33196=ORIENTED_EDGE('',*,*,#24316,.F.);
#33197=ORIENTED_EDGE('',*,*,#24317,.F.);
#33198=ORIENTED_EDGE('',*,*,#24318,.F.);
#33199=ORIENTED_EDGE('',*,*,#24319,.F.);
#33200=ORIENTED_EDGE('',*,*,#24320,.F.);
#33201=ORIENTED_EDGE('',*,*,#24321,.F.);
#33202=ORIENTED_EDGE('',*,*,#24322,.F.);
#33203=ORIENTED_EDGE('',*,*,#24291,.F.);
#33204=ORIENTED_EDGE('',*,*,#24323,.T.);
#33205=ORIENTED_EDGE('',*,*,#24324,.T.);
#33206=ORIENTED_EDGE('',*,*,#24325,.F.);
#33207=ORIENTED_EDGE('',*,*,#24326,.F.);
#33208=ORIENTED_EDGE('',*,*,#24327,.T.);
#33209=ORIENTED_EDGE('',*,*,#24328,.F.);
#33210=ORIENTED_EDGE('',*,*,#24329,.F.);
#33211=ORIENTED_EDGE('',*,*,#24330,.T.);
#33212=ORIENTED_EDGE('',*,*,#24331,.T.);
#33213=ORIENTED_EDGE('',*,*,#24332,.F.);
#33214=ORIENTED_EDGE('',*,*,#24333,.F.);
#33215=ORIENTED_EDGE('',*,*,#24334,.T.);
#33216=ORIENTED_EDGE('',*,*,#24335,.T.);
#33217=ORIENTED_EDGE('',*,*,#24336,.F.);
#33218=ORIENTED_EDGE('',*,*,#24337,.F.);
#33219=ORIENTED_EDGE('',*,*,#24338,.T.);
#33220=ORIENTED_EDGE('',*,*,#24339,.F.);
#33221=ORIENTED_EDGE('',*,*,#24340,.T.);
#33222=ORIENTED_EDGE('',*,*,#24341,.T.);
#33223=ORIENTED_EDGE('',*,*,#24342,.F.);
#33224=ORIENTED_EDGE('',*,*,#24287,.T.);
#33225=ORIENTED_EDGE('',*,*,#24293,.T.);
#33226=ORIENTED_EDGE('',*,*,#24343,.T.);
#33227=ORIENTED_EDGE('',*,*,#24344,.T.);
#33228=ORIENTED_EDGE('',*,*,#24345,.T.);
#33229=ORIENTED_EDGE('',*,*,#24346,.T.);
#33230=ORIENTED_EDGE('',*,*,#24347,.T.);
#33231=ORIENTED_EDGE('',*,*,#24348,.T.);
#33232=ORIENTED_EDGE('',*,*,#24349,.T.);
#33233=ORIENTED_EDGE('',*,*,#24350,.T.);
#33234=ORIENTED_EDGE('',*,*,#24351,.T.);
#33235=ORIENTED_EDGE('',*,*,#24352,.T.);
#33236=ORIENTED_EDGE('',*,*,#24353,.T.);
#33237=ORIENTED_EDGE('',*,*,#24354,.T.);
#33238=ORIENTED_EDGE('',*,*,#24355,.T.);
#33239=ORIENTED_EDGE('',*,*,#24356,.T.);
#33240=ORIENTED_EDGE('',*,*,#24357,.T.);
#33241=ORIENTED_EDGE('',*,*,#24358,.T.);
#33242=ORIENTED_EDGE('',*,*,#24359,.T.);
#33243=ORIENTED_EDGE('',*,*,#24360,.T.);
#33244=ORIENTED_EDGE('',*,*,#24361,.T.);
#33245=ORIENTED_EDGE('',*,*,#24362,.T.);
#33246=ORIENTED_EDGE('',*,*,#24363,.T.);
#33247=ORIENTED_EDGE('',*,*,#24364,.T.);
#33248=ORIENTED_EDGE('',*,*,#24365,.T.);
#33249=ORIENTED_EDGE('',*,*,#24366,.T.);
#33250=ORIENTED_EDGE('',*,*,#24367,.T.);
#33251=ORIENTED_EDGE('',*,*,#24368,.T.);
#33252=ORIENTED_EDGE('',*,*,#24369,.T.);
#33253=ORIENTED_EDGE('',*,*,#24370,.T.);
#33254=ORIENTED_EDGE('',*,*,#24371,.T.);
#33255=ORIENTED_EDGE('',*,*,#24290,.T.);
#33256=ORIENTED_EDGE('',*,*,#24372,.F.);
#33257=ORIENTED_EDGE('',*,*,#24373,.T.);
#33258=ORIENTED_EDGE('',*,*,#24374,.T.);
#33259=ORIENTED_EDGE('',*,*,#24375,.F.);
#33260=ORIENTED_EDGE('',*,*,#24376,.F.);
#33261=ORIENTED_EDGE('',*,*,#24377,.F.);
#33262=ORIENTED_EDGE('',*,*,#24378,.T.);
#33263=ORIENTED_EDGE('',*,*,#24379,.T.);
#33264=ORIENTED_EDGE('',*,*,#24380,.F.);
#33265=ORIENTED_EDGE('',*,*,#24381,.F.);
#33266=ORIENTED_EDGE('',*,*,#24382,.T.);
#33267=ORIENTED_EDGE('',*,*,#24383,.T.);
#33268=ORIENTED_EDGE('',*,*,#24384,.F.);
#33269=ORIENTED_EDGE('',*,*,#24385,.F.);
#33270=ORIENTED_EDGE('',*,*,#24386,.T.);
#33271=ORIENTED_EDGE('',*,*,#24387,.T.);
#33272=ORIENTED_EDGE('',*,*,#24388,.T.);
#33273=ORIENTED_EDGE('',*,*,#24389,.T.);
#33274=ORIENTED_EDGE('',*,*,#24390,.F.);
#33275=ORIENTED_EDGE('',*,*,#24391,.F.);
#33276=ORIENTED_EDGE('',*,*,#24392,.F.);
#33277=ORIENTED_EDGE('',*,*,#24294,.T.);
#33278=ORIENTED_EDGE('',*,*,#24288,.T.);
#33279=ORIENTED_EDGE('',*,*,#24371,.F.);
#33280=ORIENTED_EDGE('',*,*,#24292,.F.);
#33281=ORIENTED_EDGE('',*,*,#24322,.T.);
#33282=ORIENTED_EDGE('',*,*,#24393,.T.);
#33283=ORIENTED_EDGE('',*,*,#24343,.F.);
#33284=ORIENTED_EDGE('',*,*,#24394,.F.);
#33285=ORIENTED_EDGE('',*,*,#24295,.T.);
#33286=ORIENTED_EDGE('',*,*,#24392,.T.);
#33287=ORIENTED_EDGE('',*,*,#24370,.F.);
#33288=ORIENTED_EDGE('',*,*,#24393,.F.);
#33289=ORIENTED_EDGE('',*,*,#24321,.T.);
#33290=ORIENTED_EDGE('',*,*,#24395,.T.);
#33291=ORIENTED_EDGE('',*,*,#24344,.F.);
#33292=ORIENTED_EDGE('',*,*,#24396,.F.);
#33293=ORIENTED_EDGE('',*,*,#24296,.T.);
#33294=ORIENTED_EDGE('',*,*,#24394,.T.);
#33295=ORIENTED_EDGE('',*,*,#24369,.F.);
#33296=ORIENTED_EDGE('',*,*,#24395,.F.);
#33297=ORIENTED_EDGE('',*,*,#24320,.T.);
#33298=ORIENTED_EDGE('',*,*,#24397,.T.);
#33299=ORIENTED_EDGE('',*,*,#24345,.F.);
#33300=ORIENTED_EDGE('',*,*,#24398,.F.);
#33301=ORIENTED_EDGE('',*,*,#24297,.T.);
#33302=ORIENTED_EDGE('',*,*,#24396,.T.);
#33303=ORIENTED_EDGE('',*,*,#24368,.F.);
#33304=ORIENTED_EDGE('',*,*,#24397,.F.);
#33305=ORIENTED_EDGE('',*,*,#24319,.T.);
#33306=ORIENTED_EDGE('',*,*,#24399,.T.);
#33307=ORIENTED_EDGE('',*,*,#24346,.F.);
#33308=ORIENTED_EDGE('',*,*,#24400,.F.);
#33309=ORIENTED_EDGE('',*,*,#24298,.T.);
#33310=ORIENTED_EDGE('',*,*,#24398,.T.);
#33311=ORIENTED_EDGE('',*,*,#24367,.F.);
#33312=ORIENTED_EDGE('',*,*,#24399,.F.);
#33313=ORIENTED_EDGE('',*,*,#24318,.T.);
#33314=ORIENTED_EDGE('',*,*,#24401,.T.);
#33315=ORIENTED_EDGE('',*,*,#24347,.F.);
#33316=ORIENTED_EDGE('',*,*,#24402,.F.);
#33317=ORIENTED_EDGE('',*,*,#24299,.T.);
#33318=ORIENTED_EDGE('',*,*,#24400,.T.);
#33319=ORIENTED_EDGE('',*,*,#24366,.F.);
#33320=ORIENTED_EDGE('',*,*,#24401,.F.);
#33321=ORIENTED_EDGE('',*,*,#24317,.T.);
#33322=ORIENTED_EDGE('',*,*,#24403,.T.);
#33323=ORIENTED_EDGE('',*,*,#24348,.F.);
#33324=ORIENTED_EDGE('',*,*,#24404,.F.);
#33325=ORIENTED_EDGE('',*,*,#24300,.T.);
#33326=ORIENTED_EDGE('',*,*,#24402,.T.);
#33327=ORIENTED_EDGE('',*,*,#24365,.F.);
#33328=ORIENTED_EDGE('',*,*,#24403,.F.);
#33329=ORIENTED_EDGE('',*,*,#24316,.T.);
#33330=ORIENTED_EDGE('',*,*,#24405,.T.);
#33331=ORIENTED_EDGE('',*,*,#24349,.F.);
#33332=ORIENTED_EDGE('',*,*,#24406,.F.);
#33333=ORIENTED_EDGE('',*,*,#24301,.T.);
#33334=ORIENTED_EDGE('',*,*,#24404,.T.);
#33335=ORIENTED_EDGE('',*,*,#24364,.F.);
#33336=ORIENTED_EDGE('',*,*,#24405,.F.);
#33337=ORIENTED_EDGE('',*,*,#24315,.T.);
#33338=ORIENTED_EDGE('',*,*,#24407,.T.);
#33339=ORIENTED_EDGE('',*,*,#24350,.F.);
#33340=ORIENTED_EDGE('',*,*,#24408,.F.);
#33341=ORIENTED_EDGE('',*,*,#24302,.T.);
#33342=ORIENTED_EDGE('',*,*,#24406,.T.);
#33343=ORIENTED_EDGE('',*,*,#24363,.F.);
#33344=ORIENTED_EDGE('',*,*,#24407,.F.);
#33345=ORIENTED_EDGE('',*,*,#24314,.T.);
#33346=ORIENTED_EDGE('',*,*,#24409,.T.);
#33347=ORIENTED_EDGE('',*,*,#24351,.F.);
#33348=ORIENTED_EDGE('',*,*,#24410,.F.);
#33349=ORIENTED_EDGE('',*,*,#24303,.T.);
#33350=ORIENTED_EDGE('',*,*,#24408,.T.);
#33351=ORIENTED_EDGE('',*,*,#24362,.F.);
#33352=ORIENTED_EDGE('',*,*,#24409,.F.);
#33353=ORIENTED_EDGE('',*,*,#24313,.T.);
#33354=ORIENTED_EDGE('',*,*,#24411,.T.);
#33355=ORIENTED_EDGE('',*,*,#24352,.F.);
#33356=ORIENTED_EDGE('',*,*,#24412,.F.);
#33357=ORIENTED_EDGE('',*,*,#24304,.T.);
#33358=ORIENTED_EDGE('',*,*,#24410,.T.);
#33359=ORIENTED_EDGE('',*,*,#24361,.F.);
#33360=ORIENTED_EDGE('',*,*,#24411,.F.);
#33361=ORIENTED_EDGE('',*,*,#24312,.T.);
#33362=ORIENTED_EDGE('',*,*,#24413,.T.);
#33363=ORIENTED_EDGE('',*,*,#24353,.F.);
#33364=ORIENTED_EDGE('',*,*,#24414,.F.);
#33365=ORIENTED_EDGE('',*,*,#24305,.T.);
#33366=ORIENTED_EDGE('',*,*,#24412,.T.);
#33367=ORIENTED_EDGE('',*,*,#24360,.F.);
#33368=ORIENTED_EDGE('',*,*,#24413,.F.);
#33369=ORIENTED_EDGE('',*,*,#24311,.T.);
#33370=ORIENTED_EDGE('',*,*,#24415,.T.);
#33371=ORIENTED_EDGE('',*,*,#24354,.F.);
#33372=ORIENTED_EDGE('',*,*,#24416,.F.);
#33373=ORIENTED_EDGE('',*,*,#24306,.T.);
#33374=ORIENTED_EDGE('',*,*,#24414,.T.);
#33375=ORIENTED_EDGE('',*,*,#24359,.F.);
#33376=ORIENTED_EDGE('',*,*,#24415,.F.);
#33377=ORIENTED_EDGE('',*,*,#24310,.T.);
#33378=ORIENTED_EDGE('',*,*,#24417,.T.);
#33379=ORIENTED_EDGE('',*,*,#24355,.F.);
#33380=ORIENTED_EDGE('',*,*,#24418,.F.);
#33381=ORIENTED_EDGE('',*,*,#24307,.T.);
#33382=ORIENTED_EDGE('',*,*,#24416,.T.);
#33383=ORIENTED_EDGE('',*,*,#24358,.F.);
#33384=ORIENTED_EDGE('',*,*,#24417,.F.);
#33385=ORIENTED_EDGE('',*,*,#24309,.T.);
#33386=ORIENTED_EDGE('',*,*,#24419,.T.);
#33387=ORIENTED_EDGE('',*,*,#24356,.F.);
#33388=ORIENTED_EDGE('',*,*,#24419,.F.);
#33389=ORIENTED_EDGE('',*,*,#24308,.T.);
#33390=ORIENTED_EDGE('',*,*,#24418,.T.);
#33391=ORIENTED_EDGE('',*,*,#24357,.F.);
#33392=ORIENTED_EDGE('',*,*,#24420,.F.);
#33393=ORIENTED_EDGE('',*,*,#24421,.T.);
#33394=ORIENTED_EDGE('',*,*,#24422,.T.);
#33395=ORIENTED_EDGE('',*,*,#24323,.F.);
#33396=ORIENTED_EDGE('',*,*,#24422,.F.);
#33397=ORIENTED_EDGE('',*,*,#24423,.F.);
#33398=ORIENTED_EDGE('',*,*,#24424,.T.);
#33399=ORIENTED_EDGE('',*,*,#24324,.F.);
#33400=ORIENTED_EDGE('',*,*,#24425,.T.);
#33401=ORIENTED_EDGE('',*,*,#24325,.T.);
#33402=ORIENTED_EDGE('',*,*,#24424,.F.);
#33403=ORIENTED_EDGE('',*,*,#24426,.F.);
#33404=ORIENTED_EDGE('',*,*,#24420,.T.);
#33405=ORIENTED_EDGE('',*,*,#24326,.T.);
#33406=ORIENTED_EDGE('',*,*,#24425,.F.);
#33407=ORIENTED_EDGE('',*,*,#24427,.T.);
#33408=ORIENTED_EDGE('',*,*,#24428,.F.);
#33409=ORIENTED_EDGE('',*,*,#24429,.F.);
#33410=ORIENTED_EDGE('',*,*,#24430,.T.);
#33411=ORIENTED_EDGE('',*,*,#24327,.F.);
#33412=ORIENTED_EDGE('',*,*,#24431,.T.);
#33413=ORIENTED_EDGE('',*,*,#24328,.T.);
#33414=ORIENTED_EDGE('',*,*,#24430,.F.);
#33415=ORIENTED_EDGE('',*,*,#24432,.F.);
#33416=ORIENTED_EDGE('',*,*,#24433,.T.);
#33417=ORIENTED_EDGE('',*,*,#24329,.T.);
#33418=ORIENTED_EDGE('',*,*,#24431,.F.);
#33419=ORIENTED_EDGE('',*,*,#24434,.T.);
#33420=ORIENTED_EDGE('',*,*,#24433,.F.);
#33421=ORIENTED_EDGE('',*,*,#24435,.T.);
#33422=ORIENTED_EDGE('',*,*,#24428,.T.);
#33423=ORIENTED_EDGE('',*,*,#24330,.F.);
#33424=ORIENTED_EDGE('',*,*,#24436,.F.);
#33425=ORIENTED_EDGE('',*,*,#24437,.F.);
#33426=ORIENTED_EDGE('',*,*,#24438,.T.);
#33427=ORIENTED_EDGE('',*,*,#24331,.F.);
#33428=ORIENTED_EDGE('',*,*,#24439,.T.);
#33429=ORIENTED_EDGE('',*,*,#24332,.T.);
#33430=ORIENTED_EDGE('',*,*,#24438,.F.);
#33431=ORIENTED_EDGE('',*,*,#24440,.F.);
#33432=ORIENTED_EDGE('',*,*,#24441,.T.);
#33433=ORIENTED_EDGE('',*,*,#24333,.T.);
#33434=ORIENTED_EDGE('',*,*,#24439,.F.);
#33435=ORIENTED_EDGE('',*,*,#24442,.T.);
#33436=ORIENTED_EDGE('',*,*,#24441,.F.);
#33437=ORIENTED_EDGE('',*,*,#24443,.T.);
#33438=ORIENTED_EDGE('',*,*,#24436,.T.);
#33439=ORIENTED_EDGE('',*,*,#24334,.F.);
#33440=ORIENTED_EDGE('',*,*,#24444,.F.);
#33441=ORIENTED_EDGE('',*,*,#24445,.F.);
#33442=ORIENTED_EDGE('',*,*,#24446,.T.);
#33443=ORIENTED_EDGE('',*,*,#24335,.F.);
#33444=ORIENTED_EDGE('',*,*,#24447,.T.);
#33445=ORIENTED_EDGE('',*,*,#24336,.T.);
#33446=ORIENTED_EDGE('',*,*,#24446,.F.);
#33447=ORIENTED_EDGE('',*,*,#24448,.F.);
#33448=ORIENTED_EDGE('',*,*,#24449,.T.);
#33449=ORIENTED_EDGE('',*,*,#24337,.T.);
#33450=ORIENTED_EDGE('',*,*,#24447,.F.);
#33451=ORIENTED_EDGE('',*,*,#24450,.T.);
#33452=ORIENTED_EDGE('',*,*,#24449,.F.);
#33453=ORIENTED_EDGE('',*,*,#24451,.T.);
#33454=ORIENTED_EDGE('',*,*,#24444,.T.);
#33455=ORIENTED_EDGE('',*,*,#24338,.F.);
#33456=ORIENTED_EDGE('',*,*,#24452,.T.);
#33457=ORIENTED_EDGE('',*,*,#24339,.T.);
#33458=ORIENTED_EDGE('',*,*,#24453,.F.);
#33459=ORIENTED_EDGE('',*,*,#24454,.T.);
#33460=ORIENTED_EDGE('',*,*,#24452,.F.);
#33461=ORIENTED_EDGE('',*,*,#24455,.T.);
#33462=ORIENTED_EDGE('',*,*,#24456,.T.);
#33463=ORIENTED_EDGE('',*,*,#24340,.F.);
#33464=ORIENTED_EDGE('',*,*,#24456,.F.);
#33465=ORIENTED_EDGE('',*,*,#24457,.F.);
#33466=ORIENTED_EDGE('',*,*,#24458,.T.);
#33467=ORIENTED_EDGE('',*,*,#24341,.F.);
#33468=ORIENTED_EDGE('',*,*,#24453,.T.);
#33469=ORIENTED_EDGE('',*,*,#24342,.T.);
#33470=ORIENTED_EDGE('',*,*,#24458,.F.);
#33471=ORIENTED_EDGE('',*,*,#24459,.F.);
#33472=ORIENTED_EDGE('',*,*,#24460,.F.);
#33473=ORIENTED_EDGE('',*,*,#24372,.T.);
#33474=ORIENTED_EDGE('',*,*,#24461,.T.);
#33475=ORIENTED_EDGE('',*,*,#24462,.F.);
#33476=ORIENTED_EDGE('',*,*,#24461,.F.);
#33477=ORIENTED_EDGE('',*,*,#24375,.T.);
#33478=ORIENTED_EDGE('',*,*,#24463,.T.);
#33479=ORIENTED_EDGE('',*,*,#24464,.T.);
#33480=ORIENTED_EDGE('',*,*,#24465,.T.);
#33481=ORIENTED_EDGE('',*,*,#24466,.T.);
#33482=ORIENTED_EDGE('',*,*,#24463,.F.);
#33483=ORIENTED_EDGE('',*,*,#24374,.F.);
#33484=ORIENTED_EDGE('',*,*,#24460,.T.);
#33485=ORIENTED_EDGE('',*,*,#24467,.F.);
#33486=ORIENTED_EDGE('',*,*,#24465,.F.);
#33487=ORIENTED_EDGE('',*,*,#24373,.F.);
#33488=ORIENTED_EDGE('',*,*,#24468,.F.);
#33489=ORIENTED_EDGE('',*,*,#24376,.T.);
#33490=ORIENTED_EDGE('',*,*,#24469,.T.);
#33491=ORIENTED_EDGE('',*,*,#24470,.T.);
#33492=ORIENTED_EDGE('',*,*,#24471,.T.);
#33493=ORIENTED_EDGE('',*,*,#24472,.T.);
#33494=ORIENTED_EDGE('',*,*,#24469,.F.);
#33495=ORIENTED_EDGE('',*,*,#24379,.F.);
#33496=ORIENTED_EDGE('',*,*,#24473,.T.);
#33497=ORIENTED_EDGE('',*,*,#24474,.F.);
#33498=ORIENTED_EDGE('',*,*,#24471,.F.);
#33499=ORIENTED_EDGE('',*,*,#24378,.F.);
#33500=ORIENTED_EDGE('',*,*,#24473,.F.);
#33501=ORIENTED_EDGE('',*,*,#24377,.T.);
#33502=ORIENTED_EDGE('',*,*,#24468,.T.);
#33503=ORIENTED_EDGE('',*,*,#24475,.F.);
#33504=ORIENTED_EDGE('',*,*,#24476,.F.);
#33505=ORIENTED_EDGE('',*,*,#24380,.T.);
#33506=ORIENTED_EDGE('',*,*,#24477,.T.);
#33507=ORIENTED_EDGE('',*,*,#24478,.T.);
#33508=ORIENTED_EDGE('',*,*,#24479,.T.);
#33509=ORIENTED_EDGE('',*,*,#24480,.T.);
#33510=ORIENTED_EDGE('',*,*,#24477,.F.);
#33511=ORIENTED_EDGE('',*,*,#24383,.F.);
#33512=ORIENTED_EDGE('',*,*,#24481,.T.);
#33513=ORIENTED_EDGE('',*,*,#24482,.F.);
#33514=ORIENTED_EDGE('',*,*,#24479,.F.);
#33515=ORIENTED_EDGE('',*,*,#24382,.F.);
#33516=ORIENTED_EDGE('',*,*,#24481,.F.);
#33517=ORIENTED_EDGE('',*,*,#24381,.T.);
#33518=ORIENTED_EDGE('',*,*,#24476,.T.);
#33519=ORIENTED_EDGE('',*,*,#24483,.F.);
#33520=ORIENTED_EDGE('',*,*,#24484,.F.);
#33521=ORIENTED_EDGE('',*,*,#24384,.T.);
#33522=ORIENTED_EDGE('',*,*,#24485,.T.);
#33523=ORIENTED_EDGE('',*,*,#24486,.T.);
#33524=ORIENTED_EDGE('',*,*,#24487,.T.);
#33525=ORIENTED_EDGE('',*,*,#24488,.T.);
#33526=ORIENTED_EDGE('',*,*,#24485,.F.);
#33527=ORIENTED_EDGE('',*,*,#24387,.F.);
#33528=ORIENTED_EDGE('',*,*,#24489,.T.);
#33529=ORIENTED_EDGE('',*,*,#24490,.F.);
#33530=ORIENTED_EDGE('',*,*,#24487,.F.);
#33531=ORIENTED_EDGE('',*,*,#24386,.F.);
#33532=ORIENTED_EDGE('',*,*,#24489,.F.);
#33533=ORIENTED_EDGE('',*,*,#24385,.T.);
#33534=ORIENTED_EDGE('',*,*,#24484,.T.);
#33535=ORIENTED_EDGE('',*,*,#24491,.F.);
#33536=ORIENTED_EDGE('',*,*,#24492,.T.);
#33537=ORIENTED_EDGE('',*,*,#24493,.F.);
#33538=ORIENTED_EDGE('',*,*,#24494,.F.);
#33539=ORIENTED_EDGE('',*,*,#24388,.F.);
#33540=ORIENTED_EDGE('',*,*,#24492,.F.);
#33541=ORIENTED_EDGE('',*,*,#24391,.T.);
#33542=ORIENTED_EDGE('',*,*,#24495,.T.);
#33543=ORIENTED_EDGE('',*,*,#24496,.F.);
#33544=ORIENTED_EDGE('',*,*,#24495,.F.);
#33545=ORIENTED_EDGE('',*,*,#24390,.T.);
#33546=ORIENTED_EDGE('',*,*,#24497,.T.);
#33547=ORIENTED_EDGE('',*,*,#24498,.T.);
#33548=ORIENTED_EDGE('',*,*,#24494,.T.);
#33549=ORIENTED_EDGE('',*,*,#24499,.T.);
#33550=ORIENTED_EDGE('',*,*,#24497,.F.);
#33551=ORIENTED_EDGE('',*,*,#24389,.F.);
#33552=ORIENTED_EDGE('',*,*,#24500,.T.);
#33553=ORIENTED_EDGE('',*,*,#24501,.T.);
#33554=ORIENTED_EDGE('',*,*,#24502,.F.);
#33555=ORIENTED_EDGE('',*,*,#24421,.F.);
#33556=ORIENTED_EDGE('',*,*,#24503,.F.);
#33557=ORIENTED_EDGE('',*,*,#24504,.F.);
#33558=ORIENTED_EDGE('',*,*,#24423,.T.);
#33559=ORIENTED_EDGE('',*,*,#24502,.T.);
#33560=ORIENTED_EDGE('',*,*,#24505,.F.);
#33561=ORIENTED_EDGE('',*,*,#24426,.T.);
#33562=ORIENTED_EDGE('',*,*,#24504,.T.);
#33563=ORIENTED_EDGE('',*,*,#24506,.F.);
#33564=ORIENTED_EDGE('',*,*,#24505,.T.);
#33565=ORIENTED_EDGE('',*,*,#24507,.T.);
#33566=ORIENTED_EDGE('',*,*,#24500,.F.);
#33567=ORIENTED_EDGE('',*,*,#24427,.F.);
#33568=ORIENTED_EDGE('',*,*,#24508,.F.);
#33569=ORIENTED_EDGE('',*,*,#24509,.F.);
#33570=ORIENTED_EDGE('',*,*,#24429,.T.);
#33571=ORIENTED_EDGE('',*,*,#24510,.T.);
#33572=ORIENTED_EDGE('',*,*,#24511,.F.);
#33573=ORIENTED_EDGE('',*,*,#24432,.T.);
#33574=ORIENTED_EDGE('',*,*,#24509,.T.);
#33575=ORIENTED_EDGE('',*,*,#24512,.F.);
#33576=ORIENTED_EDGE('',*,*,#24513,.T.);
#33577=ORIENTED_EDGE('',*,*,#24514,.F.);
#33578=ORIENTED_EDGE('',*,*,#24434,.F.);
#33579=ORIENTED_EDGE('',*,*,#24511,.T.);
#33580=ORIENTED_EDGE('',*,*,#24514,.T.);
#33581=ORIENTED_EDGE('',*,*,#24515,.T.);
#33582=ORIENTED_EDGE('',*,*,#24510,.F.);
#33583=ORIENTED_EDGE('',*,*,#24435,.F.);
#33584=ORIENTED_EDGE('',*,*,#24516,.F.);
#33585=ORIENTED_EDGE('',*,*,#24517,.F.);
#33586=ORIENTED_EDGE('',*,*,#24437,.T.);
#33587=ORIENTED_EDGE('',*,*,#24518,.T.);
#33588=ORIENTED_EDGE('',*,*,#24519,.F.);
#33589=ORIENTED_EDGE('',*,*,#24440,.T.);
#33590=ORIENTED_EDGE('',*,*,#24517,.T.);
#33591=ORIENTED_EDGE('',*,*,#24520,.F.);
#33592=ORIENTED_EDGE('',*,*,#24521,.T.);
#33593=ORIENTED_EDGE('',*,*,#24522,.F.);
#33594=ORIENTED_EDGE('',*,*,#24442,.F.);
#33595=ORIENTED_EDGE('',*,*,#24519,.T.);
#33596=ORIENTED_EDGE('',*,*,#24522,.T.);
#33597=ORIENTED_EDGE('',*,*,#24523,.T.);
#33598=ORIENTED_EDGE('',*,*,#24518,.F.);
#33599=ORIENTED_EDGE('',*,*,#24443,.F.);
#33600=ORIENTED_EDGE('',*,*,#24524,.F.);
#33601=ORIENTED_EDGE('',*,*,#24525,.F.);
#33602=ORIENTED_EDGE('',*,*,#24445,.T.);
#33603=ORIENTED_EDGE('',*,*,#24526,.T.);
#33604=ORIENTED_EDGE('',*,*,#24527,.F.);
#33605=ORIENTED_EDGE('',*,*,#24448,.T.);
#33606=ORIENTED_EDGE('',*,*,#24525,.T.);
#33607=ORIENTED_EDGE('',*,*,#24528,.F.);
#33608=ORIENTED_EDGE('',*,*,#24529,.T.);
#33609=ORIENTED_EDGE('',*,*,#24530,.F.);
#33610=ORIENTED_EDGE('',*,*,#24450,.F.);
#33611=ORIENTED_EDGE('',*,*,#24527,.T.);
#33612=ORIENTED_EDGE('',*,*,#24530,.T.);
#33613=ORIENTED_EDGE('',*,*,#24531,.T.);
#33614=ORIENTED_EDGE('',*,*,#24526,.F.);
#33615=ORIENTED_EDGE('',*,*,#24451,.F.);
#33616=ORIENTED_EDGE('',*,*,#24532,.T.);
#33617=ORIENTED_EDGE('',*,*,#24533,.F.);
#33618=ORIENTED_EDGE('',*,*,#24454,.F.);
#33619=ORIENTED_EDGE('',*,*,#24534,.T.);
#33620=ORIENTED_EDGE('',*,*,#24533,.T.);
#33621=ORIENTED_EDGE('',*,*,#24535,.T.);
#33622=ORIENTED_EDGE('',*,*,#24536,.F.);
#33623=ORIENTED_EDGE('',*,*,#24455,.F.);
#33624=ORIENTED_EDGE('',*,*,#24537,.F.);
#33625=ORIENTED_EDGE('',*,*,#24538,.F.);
#33626=ORIENTED_EDGE('',*,*,#24457,.T.);
#33627=ORIENTED_EDGE('',*,*,#24536,.T.);
#33628=ORIENTED_EDGE('',*,*,#24534,.F.);
#33629=ORIENTED_EDGE('',*,*,#24459,.T.);
#33630=ORIENTED_EDGE('',*,*,#24538,.T.);
#33631=ORIENTED_EDGE('',*,*,#24539,.F.);
#33632=ORIENTED_EDGE('',*,*,#24540,.F.);
#33633=ORIENTED_EDGE('',*,*,#24462,.T.);
#33634=ORIENTED_EDGE('',*,*,#24541,.T.);
#33635=ORIENTED_EDGE('',*,*,#24542,.F.);
#33636=ORIENTED_EDGE('',*,*,#24543,.T.);
#33637=ORIENTED_EDGE('',*,*,#24541,.F.);
#33638=ORIENTED_EDGE('',*,*,#24464,.F.);
#33639=ORIENTED_EDGE('',*,*,#24544,.T.);
#33640=ORIENTED_EDGE('',*,*,#24545,.T.);
#33641=ORIENTED_EDGE('',*,*,#24546,.T.);
#33642=ORIENTED_EDGE('',*,*,#24544,.F.);
#33643=ORIENTED_EDGE('',*,*,#24466,.F.);
#33644=ORIENTED_EDGE('',*,*,#24545,.F.);
#33645=ORIENTED_EDGE('',*,*,#24467,.T.);
#33646=ORIENTED_EDGE('',*,*,#24540,.T.);
#33647=ORIENTED_EDGE('',*,*,#24547,.F.);
#33648=ORIENTED_EDGE('',*,*,#24548,.T.);
#33649=ORIENTED_EDGE('',*,*,#24549,.F.);
#33650=ORIENTED_EDGE('',*,*,#24470,.F.);
#33651=ORIENTED_EDGE('',*,*,#24550,.T.);
#33652=ORIENTED_EDGE('',*,*,#24551,.T.);
#33653=ORIENTED_EDGE('',*,*,#24552,.T.);
#33654=ORIENTED_EDGE('',*,*,#24550,.F.);
#33655=ORIENTED_EDGE('',*,*,#24472,.F.);
#33656=ORIENTED_EDGE('',*,*,#24553,.F.);
#33657=ORIENTED_EDGE('',*,*,#24551,.F.);
#33658=ORIENTED_EDGE('',*,*,#24474,.T.);
#33659=ORIENTED_EDGE('',*,*,#24554,.T.);
#33660=ORIENTED_EDGE('',*,*,#24554,.F.);
#33661=ORIENTED_EDGE('',*,*,#24475,.T.);
#33662=ORIENTED_EDGE('',*,*,#24549,.T.);
#33663=ORIENTED_EDGE('',*,*,#24555,.F.);
#33664=ORIENTED_EDGE('',*,*,#24556,.T.);
#33665=ORIENTED_EDGE('',*,*,#24557,.F.);
#33666=ORIENTED_EDGE('',*,*,#24478,.F.);
#33667=ORIENTED_EDGE('',*,*,#24558,.T.);
#33668=ORIENTED_EDGE('',*,*,#24559,.T.);
#33669=ORIENTED_EDGE('',*,*,#24560,.T.);
#33670=ORIENTED_EDGE('',*,*,#24558,.F.);
#33671=ORIENTED_EDGE('',*,*,#24480,.F.);
#33672=ORIENTED_EDGE('',*,*,#24561,.F.);
#33673=ORIENTED_EDGE('',*,*,#24559,.F.);
#33674=ORIENTED_EDGE('',*,*,#24482,.T.);
#33675=ORIENTED_EDGE('',*,*,#24562,.T.);
#33676=ORIENTED_EDGE('',*,*,#24562,.F.);
#33677=ORIENTED_EDGE('',*,*,#24483,.T.);
#33678=ORIENTED_EDGE('',*,*,#24557,.T.);
#33679=ORIENTED_EDGE('',*,*,#24563,.F.);
#33680=ORIENTED_EDGE('',*,*,#24564,.T.);
#33681=ORIENTED_EDGE('',*,*,#24565,.F.);
#33682=ORIENTED_EDGE('',*,*,#24486,.F.);
#33683=ORIENTED_EDGE('',*,*,#24566,.T.);
#33684=ORIENTED_EDGE('',*,*,#24567,.T.);
#33685=ORIENTED_EDGE('',*,*,#24568,.T.);
#33686=ORIENTED_EDGE('',*,*,#24566,.F.);
#33687=ORIENTED_EDGE('',*,*,#24488,.F.);
#33688=ORIENTED_EDGE('',*,*,#24569,.F.);
#33689=ORIENTED_EDGE('',*,*,#24567,.F.);
#33690=ORIENTED_EDGE('',*,*,#24490,.T.);
#33691=ORIENTED_EDGE('',*,*,#24570,.T.);
#33692=ORIENTED_EDGE('',*,*,#24570,.F.);
#33693=ORIENTED_EDGE('',*,*,#24491,.T.);
#33694=ORIENTED_EDGE('',*,*,#24565,.T.);
#33695=ORIENTED_EDGE('',*,*,#24571,.F.);
#33696=ORIENTED_EDGE('',*,*,#24572,.F.);
#33697=ORIENTED_EDGE('',*,*,#24573,.F.);
#33698=ORIENTED_EDGE('',*,*,#24493,.T.);
#33699=ORIENTED_EDGE('',*,*,#24574,.T.);
#33700=ORIENTED_EDGE('',*,*,#24574,.F.);
#33701=ORIENTED_EDGE('',*,*,#24496,.T.);
#33702=ORIENTED_EDGE('',*,*,#24575,.T.);
#33703=ORIENTED_EDGE('',*,*,#24576,.F.);
#33704=ORIENTED_EDGE('',*,*,#24577,.T.);
#33705=ORIENTED_EDGE('',*,*,#24575,.F.);
#33706=ORIENTED_EDGE('',*,*,#24498,.F.);
#33707=ORIENTED_EDGE('',*,*,#24578,.T.);
#33708=ORIENTED_EDGE('',*,*,#24573,.T.);
#33709=ORIENTED_EDGE('',*,*,#24579,.T.);
#33710=ORIENTED_EDGE('',*,*,#24578,.F.);
#33711=ORIENTED_EDGE('',*,*,#24499,.F.);
#33712=ORIENTED_EDGE('',*,*,#24507,.F.);
#33713=ORIENTED_EDGE('',*,*,#24506,.T.);
#33714=ORIENTED_EDGE('',*,*,#24503,.T.);
#33715=ORIENTED_EDGE('',*,*,#24501,.F.);
#33716=ORIENTED_EDGE('',*,*,#24513,.F.);
#33717=ORIENTED_EDGE('',*,*,#24512,.T.);
#33718=ORIENTED_EDGE('',*,*,#24508,.T.);
#33719=ORIENTED_EDGE('',*,*,#24515,.F.);
#33720=ORIENTED_EDGE('',*,*,#24521,.F.);
#33721=ORIENTED_EDGE('',*,*,#24520,.T.);
#33722=ORIENTED_EDGE('',*,*,#24516,.T.);
#33723=ORIENTED_EDGE('',*,*,#24523,.F.);
#33724=ORIENTED_EDGE('',*,*,#24529,.F.);
#33725=ORIENTED_EDGE('',*,*,#24528,.T.);
#33726=ORIENTED_EDGE('',*,*,#24524,.T.);
#33727=ORIENTED_EDGE('',*,*,#24531,.F.);
#33728=ORIENTED_EDGE('',*,*,#24532,.F.);
#33729=ORIENTED_EDGE('',*,*,#24539,.T.);
#33730=ORIENTED_EDGE('',*,*,#24537,.T.);
#33731=ORIENTED_EDGE('',*,*,#24535,.F.);
#33732=ORIENTED_EDGE('',*,*,#24542,.T.);
#33733=ORIENTED_EDGE('',*,*,#24543,.F.);
#33734=ORIENTED_EDGE('',*,*,#24546,.F.);
#33735=ORIENTED_EDGE('',*,*,#24547,.T.);
#33736=ORIENTED_EDGE('',*,*,#24555,.T.);
#33737=ORIENTED_EDGE('',*,*,#24548,.F.);
#33738=ORIENTED_EDGE('',*,*,#24552,.F.);
#33739=ORIENTED_EDGE('',*,*,#24553,.T.);
#33740=ORIENTED_EDGE('',*,*,#24563,.T.);
#33741=ORIENTED_EDGE('',*,*,#24556,.F.);
#33742=ORIENTED_EDGE('',*,*,#24560,.F.);
#33743=ORIENTED_EDGE('',*,*,#24561,.T.);
#33744=ORIENTED_EDGE('',*,*,#24571,.T.);
#33745=ORIENTED_EDGE('',*,*,#24564,.F.);
#33746=ORIENTED_EDGE('',*,*,#24568,.F.);
#33747=ORIENTED_EDGE('',*,*,#24569,.T.);
#33748=ORIENTED_EDGE('',*,*,#24576,.T.);
#33749=ORIENTED_EDGE('',*,*,#24577,.F.);
#33750=ORIENTED_EDGE('',*,*,#24579,.F.);
#33751=ORIENTED_EDGE('',*,*,#24572,.T.);
#33752=ORIENTED_EDGE('',*,*,#24580,.F.);
#33753=ORIENTED_EDGE('',*,*,#24581,.F.);
#33754=ORIENTED_EDGE('',*,*,#24582,.F.);
#33755=ORIENTED_EDGE('',*,*,#24583,.F.);
#33756=ORIENTED_EDGE('',*,*,#24584,.T.);
#33757=ORIENTED_EDGE('',*,*,#24585,.F.);
#33758=ORIENTED_EDGE('',*,*,#24586,.T.);
#33759=ORIENTED_EDGE('',*,*,#24580,.T.);
#33760=ORIENTED_EDGE('',*,*,#24587,.F.);
#33761=ORIENTED_EDGE('',*,*,#24588,.F.);
#33762=ORIENTED_EDGE('',*,*,#24589,.T.);
#33763=ORIENTED_EDGE('',*,*,#24590,.T.);
#33764=ORIENTED_EDGE('',*,*,#24591,.T.);
#33765=ORIENTED_EDGE('',*,*,#24592,.T.);
#33766=ORIENTED_EDGE('',*,*,#24593,.F.);
#33767=ORIENTED_EDGE('',*,*,#24594,.F.);
#33768=ORIENTED_EDGE('',*,*,#24581,.T.);
#33769=ORIENTED_EDGE('',*,*,#24595,.F.);
#33770=ORIENTED_EDGE('',*,*,#24596,.F.);
#33771=ORIENTED_EDGE('',*,*,#24597,.F.);
#33772=ORIENTED_EDGE('',*,*,#24587,.T.);
#33773=ORIENTED_EDGE('',*,*,#24583,.T.);
#33774=ORIENTED_EDGE('',*,*,#24598,.F.);
#33775=ORIENTED_EDGE('',*,*,#24599,.F.);
#33776=ORIENTED_EDGE('',*,*,#24598,.T.);
#33777=ORIENTED_EDGE('',*,*,#24582,.T.);
#33778=ORIENTED_EDGE('',*,*,#24600,.F.);
#33779=ORIENTED_EDGE('',*,*,#24601,.F.);
#33780=ORIENTED_EDGE('',*,*,#24602,.F.);
#33781=ORIENTED_EDGE('',*,*,#24603,.F.);
#33782=ORIENTED_EDGE('',*,*,#24604,.F.);
#33783=ORIENTED_EDGE('',*,*,#24605,.F.);
#33784=ORIENTED_EDGE('',*,*,#24606,.F.);
#33785=ORIENTED_EDGE('',*,*,#24584,.F.);
#33786=ORIENTED_EDGE('',*,*,#24588,.T.);
#33787=ORIENTED_EDGE('',*,*,#24599,.T.);
#33788=ORIENTED_EDGE('',*,*,#24603,.T.);
#33789=ORIENTED_EDGE('',*,*,#24607,.T.);
#33790=ORIENTED_EDGE('',*,*,#24608,.F.);
#33791=ORIENTED_EDGE('',*,*,#24609,.F.);
#33792=ORIENTED_EDGE('',*,*,#24610,.T.);
#33793=ORIENTED_EDGE('',*,*,#24585,.T.);
#33794=ORIENTED_EDGE('',*,*,#24611,.F.);
#33795=ORIENTED_EDGE('',*,*,#24595,.T.);
#33796=ORIENTED_EDGE('',*,*,#24586,.F.);
#33797=ORIENTED_EDGE('',*,*,#24612,.F.);
#33798=ORIENTED_EDGE('',*,*,#24613,.T.);
#33799=ORIENTED_EDGE('',*,*,#24614,.T.);
#33800=ORIENTED_EDGE('',*,*,#24589,.F.);
#33801=ORIENTED_EDGE('',*,*,#24597,.T.);
#33802=ORIENTED_EDGE('',*,*,#24596,.T.);
#33803=ORIENTED_EDGE('',*,*,#24615,.T.);
#33804=ORIENTED_EDGE('',*,*,#24616,.F.);
#33805=ORIENTED_EDGE('',*,*,#24617,.F.);
#33806=ORIENTED_EDGE('',*,*,#24618,.F.);
#33807=ORIENTED_EDGE('',*,*,#24619,.T.);
#33808=ORIENTED_EDGE('',*,*,#24620,.T.);
#33809=ORIENTED_EDGE('',*,*,#24621,.T.);
#33810=ORIENTED_EDGE('',*,*,#24622,.T.);
#33811=ORIENTED_EDGE('',*,*,#24623,.T.);
#33812=ORIENTED_EDGE('',*,*,#24624,.T.);
#33813=ORIENTED_EDGE('',*,*,#24625,.T.);
#33814=ORIENTED_EDGE('',*,*,#24626,.T.);
#33815=ORIENTED_EDGE('',*,*,#24627,.T.);
#33816=ORIENTED_EDGE('',*,*,#24628,.F.);
#33817=ORIENTED_EDGE('',*,*,#24629,.T.);
#33818=ORIENTED_EDGE('',*,*,#24630,.T.);
#33819=ORIENTED_EDGE('',*,*,#24590,.F.);
#33820=ORIENTED_EDGE('',*,*,#24631,.F.);
#33821=ORIENTED_EDGE('',*,*,#24632,.F.);
#33822=ORIENTED_EDGE('',*,*,#24633,.F.);
#33823=ORIENTED_EDGE('',*,*,#24634,.T.);
#33824=ORIENTED_EDGE('',*,*,#24635,.F.);
#33825=ORIENTED_EDGE('',*,*,#24636,.T.);
#33826=ORIENTED_EDGE('',*,*,#24637,.T.);
#33827=ORIENTED_EDGE('',*,*,#24638,.F.);
#33828=ORIENTED_EDGE('',*,*,#24639,.T.);
#33829=ORIENTED_EDGE('',*,*,#24593,.T.);
#33830=ORIENTED_EDGE('',*,*,#24592,.F.);
#33831=ORIENTED_EDGE('',*,*,#24591,.F.);
#33832=ORIENTED_EDGE('',*,*,#24640,.T.);
#33833=ORIENTED_EDGE('',*,*,#24641,.F.);
#33834=ORIENTED_EDGE('',*,*,#24600,.T.);
#33835=ORIENTED_EDGE('',*,*,#24594,.T.);
#33836=ORIENTED_EDGE('',*,*,#24642,.F.);
#33837=ORIENTED_EDGE('',*,*,#24643,.F.);
#33838=ORIENTED_EDGE('',*,*,#24602,.T.);
#33839=ORIENTED_EDGE('',*,*,#24644,.F.);
#33840=ORIENTED_EDGE('',*,*,#24645,.F.);
#33841=ORIENTED_EDGE('',*,*,#24601,.T.);
#33842=ORIENTED_EDGE('',*,*,#24646,.T.);
#33843=ORIENTED_EDGE('',*,*,#24647,.T.);
#33844=ORIENTED_EDGE('',*,*,#24648,.F.);
#33845=ORIENTED_EDGE('',*,*,#24649,.F.);
#33846=ORIENTED_EDGE('',*,*,#24650,.T.);
#33847=ORIENTED_EDGE('',*,*,#24651,.T.);
#33848=ORIENTED_EDGE('',*,*,#24604,.T.);
#33849=ORIENTED_EDGE('',*,*,#24607,.F.);
#33850=ORIENTED_EDGE('',*,*,#24643,.T.);
#33851=ORIENTED_EDGE('',*,*,#24652,.T.);
#33852=ORIENTED_EDGE('',*,*,#24653,.F.);
#33853=ORIENTED_EDGE('',*,*,#24654,.F.);
#33854=ORIENTED_EDGE('',*,*,#24625,.F.);
#33855=ORIENTED_EDGE('',*,*,#24655,.T.);
#33856=ORIENTED_EDGE('',*,*,#24608,.T.);
#33857=ORIENTED_EDGE('',*,*,#24606,.T.);
#33858=ORIENTED_EDGE('',*,*,#24656,.F.);
#33859=ORIENTED_EDGE('',*,*,#24656,.T.);
#33860=ORIENTED_EDGE('',*,*,#24605,.T.);
#33861=ORIENTED_EDGE('',*,*,#24657,.T.);
#33862=ORIENTED_EDGE('',*,*,#24658,.T.);
#33863=ORIENTED_EDGE('',*,*,#24659,.T.);
#33864=ORIENTED_EDGE('',*,*,#24612,.T.);
#33865=ORIENTED_EDGE('',*,*,#24610,.F.);
#33866=ORIENTED_EDGE('',*,*,#24660,.T.);
#33867=ORIENTED_EDGE('',*,*,#24661,.F.);
#33868=ORIENTED_EDGE('',*,*,#24662,.F.);
#33869=ORIENTED_EDGE('',*,*,#24616,.T.);
#33870=ORIENTED_EDGE('',*,*,#24663,.F.);
#33871=ORIENTED_EDGE('',*,*,#24660,.F.);
#33872=ORIENTED_EDGE('',*,*,#24609,.T.);
#33873=ORIENTED_EDGE('',*,*,#24655,.F.);
#33874=ORIENTED_EDGE('',*,*,#24658,.F.);
#33875=ORIENTED_EDGE('',*,*,#24663,.T.);
#33876=ORIENTED_EDGE('',*,*,#24615,.F.);
#33877=ORIENTED_EDGE('',*,*,#24611,.T.);
#33878=ORIENTED_EDGE('',*,*,#24659,.F.);
#33879=ORIENTED_EDGE('',*,*,#24664,.T.);
#33880=ORIENTED_EDGE('',*,*,#24665,.T.);
#33881=ORIENTED_EDGE('',*,*,#24666,.T.);
#33882=ORIENTED_EDGE('',*,*,#24667,.F.);
#33883=ORIENTED_EDGE('',*,*,#24668,.T.);
#33884=ORIENTED_EDGE('',*,*,#24669,.T.);
#33885=ORIENTED_EDGE('',*,*,#24613,.F.);
#33886=ORIENTED_EDGE('',*,*,#24670,.T.);
#33887=ORIENTED_EDGE('',*,*,#24671,.T.);
#33888=ORIENTED_EDGE('',*,*,#24672,.T.);
#33889=ORIENTED_EDGE('',*,*,#24670,.F.);
#33890=ORIENTED_EDGE('',*,*,#24619,.F.);
#33891=ORIENTED_EDGE('',*,*,#24620,.F.);
#33892=ORIENTED_EDGE('',*,*,#24614,.F.);
#33893=ORIENTED_EDGE('',*,*,#24669,.F.);
#33894=ORIENTED_EDGE('',*,*,#24673,.F.);
#33895=ORIENTED_EDGE('',*,*,#24618,.T.);
#33896=ORIENTED_EDGE('',*,*,#24674,.T.);
#33897=ORIENTED_EDGE('',*,*,#24675,.F.);
#33898=ORIENTED_EDGE('',*,*,#24671,.F.);
#33899=ORIENTED_EDGE('',*,*,#24617,.T.);
#33900=ORIENTED_EDGE('',*,*,#24662,.T.);
#33901=ORIENTED_EDGE('',*,*,#24676,.F.);
#33902=ORIENTED_EDGE('',*,*,#24674,.F.);
#33903=ORIENTED_EDGE('',*,*,#24673,.T.);
#33904=ORIENTED_EDGE('',*,*,#24668,.F.);
#33905=ORIENTED_EDGE('',*,*,#24677,.F.);
#33906=ORIENTED_EDGE('',*,*,#24621,.F.);
#33907=ORIENTED_EDGE('',*,*,#24622,.F.);
#33908=ORIENTED_EDGE('',*,*,#24677,.T.);
#33909=ORIENTED_EDGE('',*,*,#24667,.T.);
#33910=ORIENTED_EDGE('',*,*,#24678,.F.);
#33911=ORIENTED_EDGE('',*,*,#24623,.F.);
#33912=ORIENTED_EDGE('',*,*,#24678,.T.);
#33913=ORIENTED_EDGE('',*,*,#24666,.F.);
#33914=ORIENTED_EDGE('',*,*,#24679,.F.);
#33915=ORIENTED_EDGE('',*,*,#24679,.T.);
#33916=ORIENTED_EDGE('',*,*,#24665,.F.);
#33917=ORIENTED_EDGE('',*,*,#24680,.T.);
#33918=ORIENTED_EDGE('',*,*,#24624,.F.);
#33919=ORIENTED_EDGE('',*,*,#24626,.F.);
#33920=ORIENTED_EDGE('',*,*,#24681,.T.);
#33921=ORIENTED_EDGE('',*,*,#24682,.F.);
#33922=ORIENTED_EDGE('',*,*,#24683,.F.);
#33923=ORIENTED_EDGE('',*,*,#24683,.T.);
#33924=ORIENTED_EDGE('',*,*,#24684,.F.);
#33925=ORIENTED_EDGE('',*,*,#24685,.T.);
#33926=ORIENTED_EDGE('',*,*,#24627,.F.);
#33927=ORIENTED_EDGE('',*,*,#24685,.F.);
#33928=ORIENTED_EDGE('',*,*,#24686,.F.);
#33929=ORIENTED_EDGE('',*,*,#24687,.T.);
#33930=ORIENTED_EDGE('',*,*,#24628,.T.);
#33931=ORIENTED_EDGE('',*,*,#24629,.F.);
#33932=ORIENTED_EDGE('',*,*,#24687,.F.);
#33933=ORIENTED_EDGE('',*,*,#24688,.F.);
#33934=ORIENTED_EDGE('',*,*,#24689,.F.);
#33935=ORIENTED_EDGE('',*,*,#24689,.T.);
#33936=ORIENTED_EDGE('',*,*,#24690,.F.);
#33937=ORIENTED_EDGE('',*,*,#24640,.F.);
#33938=ORIENTED_EDGE('',*,*,#24630,.F.);
#33939=ORIENTED_EDGE('',*,*,#24691,.T.);
#33940=ORIENTED_EDGE('',*,*,#24631,.T.);
#33941=ORIENTED_EDGE('',*,*,#24692,.F.);
#33942=ORIENTED_EDGE('',*,*,#24693,.F.);
#33943=ORIENTED_EDGE('',*,*,#24694,.T.);
#33944=ORIENTED_EDGE('',*,*,#24632,.T.);
#33945=ORIENTED_EDGE('',*,*,#24691,.F.);
#33946=ORIENTED_EDGE('',*,*,#24695,.F.);
#33947=ORIENTED_EDGE('',*,*,#24692,.T.);
#33948=ORIENTED_EDGE('',*,*,#24633,.T.);
#33949=ORIENTED_EDGE('',*,*,#24694,.F.);
#33950=ORIENTED_EDGE('',*,*,#24696,.F.);
#33951=ORIENTED_EDGE('',*,*,#24697,.T.);
#33952=ORIENTED_EDGE('',*,*,#24698,.F.);
#33953=ORIENTED_EDGE('',*,*,#24634,.F.);
#33954=ORIENTED_EDGE('',*,*,#24690,.T.);
#33955=ORIENTED_EDGE('',*,*,#24688,.T.);
#33956=ORIENTED_EDGE('',*,*,#24686,.T.);
#33957=ORIENTED_EDGE('',*,*,#24684,.T.);
#33958=ORIENTED_EDGE('',*,*,#24682,.T.);
#33959=ORIENTED_EDGE('',*,*,#24635,.T.);
#33960=ORIENTED_EDGE('',*,*,#24698,.T.);
#33961=ORIENTED_EDGE('',*,*,#24699,.F.);
#33962=ORIENTED_EDGE('',*,*,#24700,.F.);
#33963=ORIENTED_EDGE('',*,*,#24636,.F.);
#33964=ORIENTED_EDGE('',*,*,#24700,.T.);
#33965=ORIENTED_EDGE('',*,*,#24701,.T.);
#33966=ORIENTED_EDGE('',*,*,#24702,.F.);
#33967=ORIENTED_EDGE('',*,*,#24702,.T.);
#33968=ORIENTED_EDGE('',*,*,#24703,.T.);
#33969=ORIENTED_EDGE('',*,*,#24704,.F.);
#33970=ORIENTED_EDGE('',*,*,#24637,.F.);
#33971=ORIENTED_EDGE('',*,*,#24705,.F.);
#33972=ORIENTED_EDGE('',*,*,#24706,.F.);
#33973=ORIENTED_EDGE('',*,*,#24707,.F.);
#33974=ORIENTED_EDGE('',*,*,#24647,.F.);
#33975=ORIENTED_EDGE('',*,*,#24708,.F.);
#33976=ORIENTED_EDGE('',*,*,#24709,.F.);
#33977=ORIENTED_EDGE('',*,*,#24638,.T.);
#33978=ORIENTED_EDGE('',*,*,#24704,.T.);
#33979=ORIENTED_EDGE('',*,*,#24710,.T.);
#33980=ORIENTED_EDGE('',*,*,#24642,.T.);
#33981=ORIENTED_EDGE('',*,*,#24639,.F.);
#33982=ORIENTED_EDGE('',*,*,#24709,.T.);
#33983=ORIENTED_EDGE('',*,*,#24708,.T.);
#33984=ORIENTED_EDGE('',*,*,#24646,.F.);
#33985=ORIENTED_EDGE('',*,*,#24641,.T.);
#33986=ORIENTED_EDGE('',*,*,#24710,.F.);
#33987=ORIENTED_EDGE('',*,*,#24706,.T.);
#33988=ORIENTED_EDGE('',*,*,#24711,.T.);
#33989=ORIENTED_EDGE('',*,*,#24645,.T.);
#33990=ORIENTED_EDGE('',*,*,#24712,.F.);
#33991=ORIENTED_EDGE('',*,*,#24712,.T.);
#33992=ORIENTED_EDGE('',*,*,#24644,.T.);
#33993=ORIENTED_EDGE('',*,*,#24648,.T.);
#33994=ORIENTED_EDGE('',*,*,#24707,.T.);
#33995=ORIENTED_EDGE('',*,*,#24664,.F.);
#33996=ORIENTED_EDGE('',*,*,#24672,.F.);
#33997=ORIENTED_EDGE('',*,*,#24675,.T.);
#33998=ORIENTED_EDGE('',*,*,#24676,.T.);
#33999=ORIENTED_EDGE('',*,*,#24661,.T.);
#34000=ORIENTED_EDGE('',*,*,#24657,.F.);
#34001=ORIENTED_EDGE('',*,*,#24651,.F.);
#34002=ORIENTED_EDGE('',*,*,#24650,.F.);
#34003=ORIENTED_EDGE('',*,*,#24649,.T.);
#34004=ORIENTED_EDGE('',*,*,#24680,.F.);
#34005=ORIENTED_EDGE('',*,*,#24697,.F.);
#34006=ORIENTED_EDGE('',*,*,#24681,.F.);
#34007=ORIENTED_EDGE('',*,*,#24654,.T.);
#34008=ORIENTED_EDGE('',*,*,#24653,.T.);
#34009=ORIENTED_EDGE('',*,*,#24652,.F.);
#34010=ORIENTED_EDGE('',*,*,#24711,.F.);
#34011=ORIENTED_EDGE('',*,*,#24705,.T.);
#34012=ORIENTED_EDGE('',*,*,#24703,.F.);
#34013=ORIENTED_EDGE('',*,*,#24701,.F.);
#34014=ORIENTED_EDGE('',*,*,#24699,.T.);
#34015=ORIENTED_EDGE('',*,*,#24695,.T.);
#34016=ORIENTED_EDGE('',*,*,#24693,.T.);
#34017=ORIENTED_EDGE('',*,*,#24696,.T.);
#34018=ORIENTED_EDGE('',*,*,#24713,.T.);
#34019=ORIENTED_EDGE('',*,*,#24714,.T.);
#34020=ORIENTED_EDGE('',*,*,#24715,.T.);
#34021=ORIENTED_EDGE('',*,*,#24716,.F.);
#34022=ORIENTED_EDGE('',*,*,#24717,.F.);
#34023=ORIENTED_EDGE('',*,*,#24718,.T.);
#34024=ORIENTED_EDGE('',*,*,#24719,.F.);
#34025=ORIENTED_EDGE('',*,*,#24720,.F.);
#34026=ORIENTED_EDGE('',*,*,#24721,.T.);
#34027=ORIENTED_EDGE('',*,*,#24722,.F.);
#34028=ORIENTED_EDGE('',*,*,#24713,.F.);
#34029=ORIENTED_EDGE('',*,*,#24723,.T.);
#34030=ORIENTED_EDGE('',*,*,#24720,.T.);
#34031=ORIENTED_EDGE('',*,*,#24724,.T.);
#34032=ORIENTED_EDGE('',*,*,#24725,.F.);
#34033=ORIENTED_EDGE('',*,*,#24723,.F.);
#34034=ORIENTED_EDGE('',*,*,#24722,.T.);
#34035=ORIENTED_EDGE('',*,*,#24726,.T.);
#34036=ORIENTED_EDGE('',*,*,#24727,.F.);
#34037=ORIENTED_EDGE('',*,*,#24714,.F.);
#34038=ORIENTED_EDGE('',*,*,#24719,.T.);
#34039=ORIENTED_EDGE('',*,*,#24728,.T.);
#34040=ORIENTED_EDGE('',*,*,#24729,.F.);
#34041=ORIENTED_EDGE('',*,*,#24724,.F.);
#34042=ORIENTED_EDGE('',*,*,#24715,.F.);
#34043=ORIENTED_EDGE('',*,*,#24727,.T.);
#34044=ORIENTED_EDGE('',*,*,#24730,.T.);
#34045=ORIENTED_EDGE('',*,*,#24731,.F.);
#34046=ORIENTED_EDGE('',*,*,#24732,.T.);
#34047=ORIENTED_EDGE('',*,*,#24733,.T.);
#34048=ORIENTED_EDGE('',*,*,#24728,.F.);
#34049=ORIENTED_EDGE('',*,*,#24718,.F.);
#34050=ORIENTED_EDGE('',*,*,#24716,.T.);
#34051=ORIENTED_EDGE('',*,*,#24731,.T.);
#34052=ORIENTED_EDGE('',*,*,#24734,.F.);
#34053=ORIENTED_EDGE('',*,*,#24735,.F.);
#34054=ORIENTED_EDGE('',*,*,#24736,.F.);
#34055=ORIENTED_EDGE('',*,*,#24732,.F.);
#34056=ORIENTED_EDGE('',*,*,#24717,.T.);
#34057=ORIENTED_EDGE('',*,*,#24735,.T.);
#34058=ORIENTED_EDGE('',*,*,#24721,.F.);
#34059=ORIENTED_EDGE('',*,*,#24725,.T.);
#34060=ORIENTED_EDGE('',*,*,#24729,.T.);
#34061=ORIENTED_EDGE('',*,*,#24733,.F.);
#34062=ORIENTED_EDGE('',*,*,#24736,.T.);
#34063=ORIENTED_EDGE('',*,*,#24734,.T.);
#34064=ORIENTED_EDGE('',*,*,#24730,.F.);
#34065=ORIENTED_EDGE('',*,*,#24726,.F.);
#34066=ORIENTED_EDGE('',*,*,#24737,.F.);
#34067=ORIENTED_EDGE('',*,*,#24738,.T.);
#34068=ORIENTED_EDGE('',*,*,#24739,.T.);
#34069=ORIENTED_EDGE('',*,*,#24740,.T.);
#34070=ORIENTED_EDGE('',*,*,#24741,.F.);
#34071=ORIENTED_EDGE('',*,*,#24742,.F.);
#34072=ORIENTED_EDGE('',*,*,#24743,.T.);
#34073=ORIENTED_EDGE('',*,*,#24744,.F.);
#34074=ORIENTED_EDGE('',*,*,#24737,.T.);
#34075=ORIENTED_EDGE('',*,*,#24745,.T.);
#34076=ORIENTED_EDGE('',*,*,#24746,.F.);
#34077=ORIENTED_EDGE('',*,*,#24747,.F.);
#34078=ORIENTED_EDGE('',*,*,#24744,.T.);
#34079=ORIENTED_EDGE('',*,*,#24748,.T.);
#34080=ORIENTED_EDGE('',*,*,#24749,.F.);
#34081=ORIENTED_EDGE('',*,*,#24745,.F.);
#34082=ORIENTED_EDGE('',*,*,#24750,.T.);
#34083=ORIENTED_EDGE('',*,*,#24751,.T.);
#34084=ORIENTED_EDGE('',*,*,#24748,.F.);
#34085=ORIENTED_EDGE('',*,*,#24743,.F.);
#34086=ORIENTED_EDGE('',*,*,#24752,.F.);
#34087=ORIENTED_EDGE('',*,*,#24750,.F.);
#34088=ORIENTED_EDGE('',*,*,#24742,.T.);
#34089=ORIENTED_EDGE('',*,*,#24753,.T.);
#34090=ORIENTED_EDGE('',*,*,#24741,.T.);
#34091=ORIENTED_EDGE('',*,*,#24754,.T.);
#34092=ORIENTED_EDGE('',*,*,#24755,.F.);
#34093=ORIENTED_EDGE('',*,*,#24753,.F.);
#34094=ORIENTED_EDGE('',*,*,#24740,.F.);
#34095=ORIENTED_EDGE('',*,*,#24756,.T.);
#34096=ORIENTED_EDGE('',*,*,#24757,.T.);
#34097=ORIENTED_EDGE('',*,*,#24754,.F.);
#34098=ORIENTED_EDGE('',*,*,#24758,.T.);
#34099=ORIENTED_EDGE('',*,*,#24759,.T.);
#34100=ORIENTED_EDGE('',*,*,#24756,.F.);
#34101=ORIENTED_EDGE('',*,*,#24739,.F.);
#34102=ORIENTED_EDGE('',*,*,#24760,.T.);
#34103=ORIENTED_EDGE('',*,*,#24758,.F.);
#34104=ORIENTED_EDGE('',*,*,#24738,.F.);
#34105=ORIENTED_EDGE('',*,*,#24747,.T.);
#34106=ORIENTED_EDGE('',*,*,#24761,.T.);
#34107=ORIENTED_EDGE('',*,*,#24762,.T.);
#34108=ORIENTED_EDGE('',*,*,#24763,.T.);
#34109=ORIENTED_EDGE('',*,*,#24764,.F.);
#34110=ORIENTED_EDGE('',*,*,#24765,.F.);
#34111=ORIENTED_EDGE('',*,*,#24766,.T.);
#34112=ORIENTED_EDGE('',*,*,#24767,.F.);
#34113=ORIENTED_EDGE('',*,*,#24768,.F.);
#34114=ORIENTED_EDGE('',*,*,#24746,.T.);
#34115=ORIENTED_EDGE('',*,*,#24749,.T.);
#34116=ORIENTED_EDGE('',*,*,#24751,.F.);
#34117=ORIENTED_EDGE('',*,*,#24752,.T.);
#34118=ORIENTED_EDGE('',*,*,#24755,.T.);
#34119=ORIENTED_EDGE('',*,*,#24757,.F.);
#34120=ORIENTED_EDGE('',*,*,#24759,.F.);
#34121=ORIENTED_EDGE('',*,*,#24760,.F.);
#34122=ORIENTED_EDGE('',*,*,#24769,.T.);
#34123=ORIENTED_EDGE('',*,*,#24770,.F.);
#34124=ORIENTED_EDGE('',*,*,#24761,.F.);
#34125=ORIENTED_EDGE('',*,*,#24771,.T.);
#34126=ORIENTED_EDGE('',*,*,#24768,.T.);
#34127=ORIENTED_EDGE('',*,*,#24772,.T.);
#34128=ORIENTED_EDGE('',*,*,#24773,.F.);
#34129=ORIENTED_EDGE('',*,*,#24771,.F.);
#34130=ORIENTED_EDGE('',*,*,#24770,.T.);
#34131=ORIENTED_EDGE('',*,*,#24774,.T.);
#34132=ORIENTED_EDGE('',*,*,#24775,.F.);
#34133=ORIENTED_EDGE('',*,*,#24762,.F.);
#34134=ORIENTED_EDGE('',*,*,#24767,.T.);
#34135=ORIENTED_EDGE('',*,*,#24776,.T.);
#34136=ORIENTED_EDGE('',*,*,#24777,.F.);
#34137=ORIENTED_EDGE('',*,*,#24772,.F.);
#34138=ORIENTED_EDGE('',*,*,#24763,.F.);
#34139=ORIENTED_EDGE('',*,*,#24775,.T.);
#34140=ORIENTED_EDGE('',*,*,#24778,.T.);
#34141=ORIENTED_EDGE('',*,*,#24779,.F.);
#34142=ORIENTED_EDGE('',*,*,#24780,.T.);
#34143=ORIENTED_EDGE('',*,*,#24781,.T.);
#34144=ORIENTED_EDGE('',*,*,#24776,.F.);
#34145=ORIENTED_EDGE('',*,*,#24766,.F.);
#34146=ORIENTED_EDGE('',*,*,#24764,.T.);
#34147=ORIENTED_EDGE('',*,*,#24779,.T.);
#34148=ORIENTED_EDGE('',*,*,#24782,.F.);
#34149=ORIENTED_EDGE('',*,*,#24783,.F.);
#34150=ORIENTED_EDGE('',*,*,#24784,.F.);
#34151=ORIENTED_EDGE('',*,*,#24780,.F.);
#34152=ORIENTED_EDGE('',*,*,#24765,.T.);
#34153=ORIENTED_EDGE('',*,*,#24783,.T.);
#34154=ORIENTED_EDGE('',*,*,#24769,.F.);
#34155=ORIENTED_EDGE('',*,*,#24773,.T.);
#34156=ORIENTED_EDGE('',*,*,#24777,.T.);
#34157=ORIENTED_EDGE('',*,*,#24781,.F.);
#34158=ORIENTED_EDGE('',*,*,#24784,.T.);
#34159=ORIENTED_EDGE('',*,*,#24782,.T.);
#34160=ORIENTED_EDGE('',*,*,#24778,.F.);
#34161=ORIENTED_EDGE('',*,*,#24774,.F.);
#34162=ORIENTED_EDGE('',*,*,#24785,.F.);
#34163=ORIENTED_EDGE('',*,*,#24786,.T.);
#34164=ORIENTED_EDGE('',*,*,#24787,.T.);
#34165=ORIENTED_EDGE('',*,*,#24788,.F.);
#34166=ORIENTED_EDGE('',*,*,#24789,.F.);
#34167=ORIENTED_EDGE('',*,*,#24790,.T.);
#34168=ORIENTED_EDGE('',*,*,#24785,.T.);
#34169=ORIENTED_EDGE('',*,*,#24791,.F.);
#34170=ORIENTED_EDGE('',*,*,#24787,.F.);
#34171=ORIENTED_EDGE('',*,*,#24792,.T.);
#34172=ORIENTED_EDGE('',*,*,#24793,.T.);
#34173=ORIENTED_EDGE('',*,*,#24794,.F.);
#34174=ORIENTED_EDGE('',*,*,#24786,.F.);
#34175=ORIENTED_EDGE('',*,*,#24790,.F.);
#34176=ORIENTED_EDGE('',*,*,#24795,.F.);
#34177=ORIENTED_EDGE('',*,*,#24792,.F.);
#34178=ORIENTED_EDGE('',*,*,#24796,.T.);
#34179=ORIENTED_EDGE('',*,*,#24797,.T.);
#34180=ORIENTED_EDGE('',*,*,#24798,.T.);
#34181=ORIENTED_EDGE('',*,*,#24799,.T.);
#34182=ORIENTED_EDGE('',*,*,#24800,.T.);
#34183=ORIENTED_EDGE('',*,*,#24801,.T.);
#34184=ORIENTED_EDGE('',*,*,#24802,.T.);
#34185=ORIENTED_EDGE('',*,*,#24803,.T.);
#34186=ORIENTED_EDGE('',*,*,#24804,.T.);
#34187=ORIENTED_EDGE('',*,*,#24805,.T.);
#34188=ORIENTED_EDGE('',*,*,#24806,.T.);
#34189=ORIENTED_EDGE('',*,*,#24807,.T.);
#34190=ORIENTED_EDGE('',*,*,#24808,.T.);
#34191=ORIENTED_EDGE('',*,*,#24809,.T.);
#34192=ORIENTED_EDGE('',*,*,#24810,.T.);
#34193=ORIENTED_EDGE('',*,*,#24811,.T.);
#34194=ORIENTED_EDGE('',*,*,#24812,.T.);
#34195=ORIENTED_EDGE('',*,*,#24813,.T.);
#34196=ORIENTED_EDGE('',*,*,#24814,.T.);
#34197=ORIENTED_EDGE('',*,*,#24815,.T.);
#34198=ORIENTED_EDGE('',*,*,#24816,.T.);
#34199=ORIENTED_EDGE('',*,*,#24817,.T.);
#34200=ORIENTED_EDGE('',*,*,#24818,.T.);
#34201=ORIENTED_EDGE('',*,*,#24819,.T.);
#34202=ORIENTED_EDGE('',*,*,#24820,.T.);
#34203=ORIENTED_EDGE('',*,*,#24821,.T.);
#34204=ORIENTED_EDGE('',*,*,#24822,.T.);
#34205=ORIENTED_EDGE('',*,*,#24823,.T.);
#34206=ORIENTED_EDGE('',*,*,#24824,.T.);
#34207=ORIENTED_EDGE('',*,*,#24825,.T.);
#34208=ORIENTED_EDGE('',*,*,#24826,.T.);
#34209=ORIENTED_EDGE('',*,*,#24827,.T.);
#34210=ORIENTED_EDGE('',*,*,#24828,.T.);
#34211=ORIENTED_EDGE('',*,*,#24829,.T.);
#34212=ORIENTED_EDGE('',*,*,#24830,.T.);
#34213=ORIENTED_EDGE('',*,*,#24831,.T.);
#34214=ORIENTED_EDGE('',*,*,#24832,.T.);
#34215=ORIENTED_EDGE('',*,*,#24833,.T.);
#34216=ORIENTED_EDGE('',*,*,#24834,.T.);
#34217=ORIENTED_EDGE('',*,*,#24835,.T.);
#34218=ORIENTED_EDGE('',*,*,#24836,.T.);
#34219=ORIENTED_EDGE('',*,*,#24837,.T.);
#34220=ORIENTED_EDGE('',*,*,#24838,.T.);
#34221=ORIENTED_EDGE('',*,*,#24839,.T.);
#34222=ORIENTED_EDGE('',*,*,#24840,.T.);
#34223=ORIENTED_EDGE('',*,*,#24841,.T.);
#34224=ORIENTED_EDGE('',*,*,#24842,.T.);
#34225=ORIENTED_EDGE('',*,*,#24843,.T.);
#34226=ORIENTED_EDGE('',*,*,#24844,.T.);
#34227=ORIENTED_EDGE('',*,*,#24845,.T.);
#34228=ORIENTED_EDGE('',*,*,#24846,.T.);
#34229=ORIENTED_EDGE('',*,*,#24847,.T.);
#34230=ORIENTED_EDGE('',*,*,#24848,.T.);
#34231=ORIENTED_EDGE('',*,*,#24849,.T.);
#34232=ORIENTED_EDGE('',*,*,#24850,.T.);
#34233=ORIENTED_EDGE('',*,*,#24851,.T.);
#34234=ORIENTED_EDGE('',*,*,#24852,.T.);
#34235=ORIENTED_EDGE('',*,*,#24853,.T.);
#34236=ORIENTED_EDGE('',*,*,#24854,.T.);
#34237=ORIENTED_EDGE('',*,*,#24855,.T.);
#34238=ORIENTED_EDGE('',*,*,#24856,.T.);
#34239=ORIENTED_EDGE('',*,*,#24857,.T.);
#34240=ORIENTED_EDGE('',*,*,#24858,.T.);
#34241=ORIENTED_EDGE('',*,*,#24859,.T.);
#34242=ORIENTED_EDGE('',*,*,#24860,.T.);
#34243=ORIENTED_EDGE('',*,*,#24861,.T.);
#34244=ORIENTED_EDGE('',*,*,#24862,.T.);
#34245=ORIENTED_EDGE('',*,*,#24863,.T.);
#34246=ORIENTED_EDGE('',*,*,#24864,.T.);
#34247=ORIENTED_EDGE('',*,*,#24865,.T.);
#34248=ORIENTED_EDGE('',*,*,#24866,.T.);
#34249=ORIENTED_EDGE('',*,*,#24867,.T.);
#34250=ORIENTED_EDGE('',*,*,#24868,.T.);
#34251=ORIENTED_EDGE('',*,*,#24869,.T.);
#34252=ORIENTED_EDGE('',*,*,#24870,.T.);
#34253=ORIENTED_EDGE('',*,*,#24871,.T.);
#34254=ORIENTED_EDGE('',*,*,#24872,.T.);
#34255=ORIENTED_EDGE('',*,*,#24873,.T.);
#34256=ORIENTED_EDGE('',*,*,#24874,.T.);
#34257=ORIENTED_EDGE('',*,*,#24875,.T.);
#34258=ORIENTED_EDGE('',*,*,#24876,.T.);
#34259=ORIENTED_EDGE('',*,*,#24877,.T.);
#34260=ORIENTED_EDGE('',*,*,#24878,.T.);
#34261=ORIENTED_EDGE('',*,*,#24879,.T.);
#34262=ORIENTED_EDGE('',*,*,#24880,.T.);
#34263=ORIENTED_EDGE('',*,*,#24881,.T.);
#34264=ORIENTED_EDGE('',*,*,#24882,.T.);
#34265=ORIENTED_EDGE('',*,*,#24883,.T.);
#34266=ORIENTED_EDGE('',*,*,#24884,.T.);
#34267=ORIENTED_EDGE('',*,*,#24885,.T.);
#34268=ORIENTED_EDGE('',*,*,#24886,.T.);
#34269=ORIENTED_EDGE('',*,*,#24887,.T.);
#34270=ORIENTED_EDGE('',*,*,#24888,.T.);
#34271=ORIENTED_EDGE('',*,*,#24889,.T.);
#34272=ORIENTED_EDGE('',*,*,#24890,.T.);
#34273=ORIENTED_EDGE('',*,*,#24891,.T.);
#34274=ORIENTED_EDGE('',*,*,#24892,.T.);
#34275=ORIENTED_EDGE('',*,*,#24893,.T.);
#34276=ORIENTED_EDGE('',*,*,#24894,.T.);
#34277=ORIENTED_EDGE('',*,*,#24895,.T.);
#34278=ORIENTED_EDGE('',*,*,#24896,.T.);
#34279=ORIENTED_EDGE('',*,*,#24897,.T.);
#34280=ORIENTED_EDGE('',*,*,#24898,.T.);
#34281=ORIENTED_EDGE('',*,*,#24899,.T.);
#34282=ORIENTED_EDGE('',*,*,#24900,.T.);
#34283=ORIENTED_EDGE('',*,*,#24901,.T.);
#34284=ORIENTED_EDGE('',*,*,#24902,.T.);
#34285=ORIENTED_EDGE('',*,*,#24903,.T.);
#34286=ORIENTED_EDGE('',*,*,#24904,.T.);
#34287=ORIENTED_EDGE('',*,*,#24905,.T.);
#34288=ORIENTED_EDGE('',*,*,#24906,.T.);
#34289=ORIENTED_EDGE('',*,*,#24907,.T.);
#34290=ORIENTED_EDGE('',*,*,#24908,.T.);
#34291=ORIENTED_EDGE('',*,*,#24909,.T.);
#34292=ORIENTED_EDGE('',*,*,#24910,.T.);
#34293=ORIENTED_EDGE('',*,*,#24911,.T.);
#34294=ORIENTED_EDGE('',*,*,#24912,.T.);
#34295=ORIENTED_EDGE('',*,*,#24913,.T.);
#34296=ORIENTED_EDGE('',*,*,#24914,.T.);
#34297=ORIENTED_EDGE('',*,*,#24915,.T.);
#34298=ORIENTED_EDGE('',*,*,#24916,.T.);
#34299=ORIENTED_EDGE('',*,*,#24917,.T.);
#34300=ORIENTED_EDGE('',*,*,#24918,.T.);
#34301=ORIENTED_EDGE('',*,*,#24919,.T.);
#34302=ORIENTED_EDGE('',*,*,#24920,.T.);
#34303=ORIENTED_EDGE('',*,*,#24921,.T.);
#34304=ORIENTED_EDGE('',*,*,#24922,.T.);
#34305=ORIENTED_EDGE('',*,*,#24923,.T.);
#34306=ORIENTED_EDGE('',*,*,#24924,.T.);
#34307=ORIENTED_EDGE('',*,*,#24925,.T.);
#34308=ORIENTED_EDGE('',*,*,#24926,.T.);
#34309=ORIENTED_EDGE('',*,*,#24927,.T.);
#34310=ORIENTED_EDGE('',*,*,#24928,.T.);
#34311=ORIENTED_EDGE('',*,*,#24929,.T.);
#34312=ORIENTED_EDGE('',*,*,#24930,.T.);
#34313=ORIENTED_EDGE('',*,*,#24931,.T.);
#34314=ORIENTED_EDGE('',*,*,#24932,.T.);
#34315=ORIENTED_EDGE('',*,*,#24933,.T.);
#34316=ORIENTED_EDGE('',*,*,#24934,.T.);
#34317=ORIENTED_EDGE('',*,*,#24935,.T.);
#34318=ORIENTED_EDGE('',*,*,#24936,.T.);
#34319=ORIENTED_EDGE('',*,*,#24937,.T.);
#34320=ORIENTED_EDGE('',*,*,#24938,.T.);
#34321=ORIENTED_EDGE('',*,*,#24939,.T.);
#34322=ORIENTED_EDGE('',*,*,#24940,.T.);
#34323=ORIENTED_EDGE('',*,*,#24941,.T.);
#34324=ORIENTED_EDGE('',*,*,#24942,.T.);
#34325=ORIENTED_EDGE('',*,*,#24943,.T.);
#34326=ORIENTED_EDGE('',*,*,#24944,.T.);
#34327=ORIENTED_EDGE('',*,*,#24945,.T.);
#34328=ORIENTED_EDGE('',*,*,#24946,.T.);
#34329=ORIENTED_EDGE('',*,*,#24947,.T.);
#34330=ORIENTED_EDGE('',*,*,#24948,.T.);
#34331=ORIENTED_EDGE('',*,*,#24949,.T.);
#34332=ORIENTED_EDGE('',*,*,#24950,.T.);
#34333=ORIENTED_EDGE('',*,*,#24951,.T.);
#34334=ORIENTED_EDGE('',*,*,#24952,.T.);
#34335=ORIENTED_EDGE('',*,*,#24953,.T.);
#34336=ORIENTED_EDGE('',*,*,#24954,.T.);
#34337=ORIENTED_EDGE('',*,*,#24955,.T.);
#34338=ORIENTED_EDGE('',*,*,#24956,.T.);
#34339=ORIENTED_EDGE('',*,*,#24957,.T.);
#34340=ORIENTED_EDGE('',*,*,#24958,.T.);
#34341=ORIENTED_EDGE('',*,*,#24959,.T.);
#34342=ORIENTED_EDGE('',*,*,#24960,.T.);
#34343=ORIENTED_EDGE('',*,*,#24961,.T.);
#34344=ORIENTED_EDGE('',*,*,#24962,.T.);
#34345=ORIENTED_EDGE('',*,*,#24963,.T.);
#34346=ORIENTED_EDGE('',*,*,#24964,.T.);
#34347=ORIENTED_EDGE('',*,*,#24965,.T.);
#34348=ORIENTED_EDGE('',*,*,#24966,.T.);
#34349=ORIENTED_EDGE('',*,*,#24967,.T.);
#34350=ORIENTED_EDGE('',*,*,#24968,.T.);
#34351=ORIENTED_EDGE('',*,*,#24969,.T.);
#34352=ORIENTED_EDGE('',*,*,#24970,.T.);
#34353=ORIENTED_EDGE('',*,*,#24971,.T.);
#34354=ORIENTED_EDGE('',*,*,#24972,.T.);
#34355=ORIENTED_EDGE('',*,*,#24973,.T.);
#34356=ORIENTED_EDGE('',*,*,#24974,.T.);
#34357=ORIENTED_EDGE('',*,*,#24975,.T.);
#34358=ORIENTED_EDGE('',*,*,#24976,.T.);
#34359=ORIENTED_EDGE('',*,*,#24977,.T.);
#34360=ORIENTED_EDGE('',*,*,#24978,.T.);
#34361=ORIENTED_EDGE('',*,*,#24979,.T.);
#34362=ORIENTED_EDGE('',*,*,#24980,.T.);
#34363=ORIENTED_EDGE('',*,*,#24981,.T.);
#34364=ORIENTED_EDGE('',*,*,#24982,.T.);
#34365=ORIENTED_EDGE('',*,*,#24983,.T.);
#34366=ORIENTED_EDGE('',*,*,#24984,.T.);
#34367=ORIENTED_EDGE('',*,*,#24985,.T.);
#34368=ORIENTED_EDGE('',*,*,#24986,.T.);
#34369=ORIENTED_EDGE('',*,*,#24987,.T.);
#34370=ORIENTED_EDGE('',*,*,#24988,.T.);
#34371=ORIENTED_EDGE('',*,*,#24989,.T.);
#34372=ORIENTED_EDGE('',*,*,#24990,.T.);
#34373=ORIENTED_EDGE('',*,*,#24991,.T.);
#34374=ORIENTED_EDGE('',*,*,#24992,.T.);
#34375=ORIENTED_EDGE('',*,*,#24993,.T.);
#34376=ORIENTED_EDGE('',*,*,#24994,.T.);
#34377=ORIENTED_EDGE('',*,*,#24995,.T.);
#34378=ORIENTED_EDGE('',*,*,#24996,.T.);
#34379=ORIENTED_EDGE('',*,*,#24997,.T.);
#34380=ORIENTED_EDGE('',*,*,#24998,.T.);
#34381=ORIENTED_EDGE('',*,*,#24999,.T.);
#34382=ORIENTED_EDGE('',*,*,#25000,.T.);
#34383=ORIENTED_EDGE('',*,*,#25001,.T.);
#34384=ORIENTED_EDGE('',*,*,#25002,.T.);
#34385=ORIENTED_EDGE('',*,*,#25003,.T.);
#34386=ORIENTED_EDGE('',*,*,#25004,.T.);
#34387=ORIENTED_EDGE('',*,*,#25005,.T.);
#34388=ORIENTED_EDGE('',*,*,#25006,.T.);
#34389=ORIENTED_EDGE('',*,*,#25007,.T.);
#34390=ORIENTED_EDGE('',*,*,#25008,.T.);
#34391=ORIENTED_EDGE('',*,*,#25009,.T.);
#34392=ORIENTED_EDGE('',*,*,#25010,.T.);
#34393=ORIENTED_EDGE('',*,*,#25011,.T.);
#34394=ORIENTED_EDGE('',*,*,#25012,.T.);
#34395=ORIENTED_EDGE('',*,*,#25013,.T.);
#34396=ORIENTED_EDGE('',*,*,#25014,.T.);
#34397=ORIENTED_EDGE('',*,*,#25015,.T.);
#34398=ORIENTED_EDGE('',*,*,#25016,.T.);
#34399=ORIENTED_EDGE('',*,*,#25017,.T.);
#34400=ORIENTED_EDGE('',*,*,#25018,.T.);
#34401=ORIENTED_EDGE('',*,*,#25019,.T.);
#34402=ORIENTED_EDGE('',*,*,#25020,.T.);
#34403=ORIENTED_EDGE('',*,*,#25021,.T.);
#34404=ORIENTED_EDGE('',*,*,#25022,.T.);
#34405=ORIENTED_EDGE('',*,*,#25023,.T.);
#34406=ORIENTED_EDGE('',*,*,#25024,.T.);
#34407=ORIENTED_EDGE('',*,*,#25025,.T.);
#34408=ORIENTED_EDGE('',*,*,#25026,.T.);
#34409=ORIENTED_EDGE('',*,*,#25027,.T.);
#34410=ORIENTED_EDGE('',*,*,#25028,.T.);
#34411=ORIENTED_EDGE('',*,*,#25029,.T.);
#34412=ORIENTED_EDGE('',*,*,#25030,.T.);
#34413=ORIENTED_EDGE('',*,*,#25031,.T.);
#34414=ORIENTED_EDGE('',*,*,#25032,.T.);
#34415=ORIENTED_EDGE('',*,*,#25033,.T.);
#34416=ORIENTED_EDGE('',*,*,#25034,.T.);
#34417=ORIENTED_EDGE('',*,*,#25035,.T.);
#34418=ORIENTED_EDGE('',*,*,#25036,.T.);
#34419=ORIENTED_EDGE('',*,*,#25037,.T.);
#34420=ORIENTED_EDGE('',*,*,#25038,.T.);
#34421=ORIENTED_EDGE('',*,*,#25039,.T.);
#34422=ORIENTED_EDGE('',*,*,#25040,.T.);
#34423=ORIENTED_EDGE('',*,*,#25041,.T.);
#34424=ORIENTED_EDGE('',*,*,#25042,.T.);
#34425=ORIENTED_EDGE('',*,*,#25043,.T.);
#34426=ORIENTED_EDGE('',*,*,#25044,.T.);
#34427=ORIENTED_EDGE('',*,*,#25045,.T.);
#34428=ORIENTED_EDGE('',*,*,#25046,.T.);
#34429=ORIENTED_EDGE('',*,*,#25047,.T.);
#34430=ORIENTED_EDGE('',*,*,#25048,.T.);
#34431=ORIENTED_EDGE('',*,*,#25049,.T.);
#34432=ORIENTED_EDGE('',*,*,#25050,.T.);
#34433=ORIENTED_EDGE('',*,*,#25051,.T.);
#34434=ORIENTED_EDGE('',*,*,#25052,.T.);
#34435=ORIENTED_EDGE('',*,*,#25053,.T.);
#34436=ORIENTED_EDGE('',*,*,#25054,.T.);
#34437=ORIENTED_EDGE('',*,*,#25055,.T.);
#34438=ORIENTED_EDGE('',*,*,#25056,.T.);
#34439=ORIENTED_EDGE('',*,*,#25057,.T.);
#34440=ORIENTED_EDGE('',*,*,#25058,.T.);
#34441=ORIENTED_EDGE('',*,*,#25059,.T.);
#34442=ORIENTED_EDGE('',*,*,#25060,.T.);
#34443=ORIENTED_EDGE('',*,*,#25061,.T.);
#34444=ORIENTED_EDGE('',*,*,#25062,.T.);
#34445=ORIENTED_EDGE('',*,*,#25063,.T.);
#34446=ORIENTED_EDGE('',*,*,#25064,.T.);
#34447=ORIENTED_EDGE('',*,*,#25065,.T.);
#34448=ORIENTED_EDGE('',*,*,#25066,.T.);
#34449=ORIENTED_EDGE('',*,*,#25067,.T.);
#34450=ORIENTED_EDGE('',*,*,#25068,.T.);
#34451=ORIENTED_EDGE('',*,*,#25069,.T.);
#34452=ORIENTED_EDGE('',*,*,#25070,.T.);
#34453=ORIENTED_EDGE('',*,*,#25071,.T.);
#34454=ORIENTED_EDGE('',*,*,#25072,.T.);
#34455=ORIENTED_EDGE('',*,*,#25073,.T.);
#34456=ORIENTED_EDGE('',*,*,#25074,.T.);
#34457=ORIENTED_EDGE('',*,*,#25075,.T.);
#34458=ORIENTED_EDGE('',*,*,#25076,.T.);
#34459=ORIENTED_EDGE('',*,*,#25077,.T.);
#34460=ORIENTED_EDGE('',*,*,#25078,.T.);
#34461=ORIENTED_EDGE('',*,*,#25079,.T.);
#34462=ORIENTED_EDGE('',*,*,#25080,.T.);
#34463=ORIENTED_EDGE('',*,*,#25081,.T.);
#34464=ORIENTED_EDGE('',*,*,#25082,.T.);
#34465=ORIENTED_EDGE('',*,*,#25083,.T.);
#34466=ORIENTED_EDGE('',*,*,#25084,.T.);
#34467=ORIENTED_EDGE('',*,*,#25085,.T.);
#34468=ORIENTED_EDGE('',*,*,#25086,.T.);
#34469=ORIENTED_EDGE('',*,*,#25087,.T.);
#34470=ORIENTED_EDGE('',*,*,#25088,.T.);
#34471=ORIENTED_EDGE('',*,*,#25089,.T.);
#34472=ORIENTED_EDGE('',*,*,#25090,.T.);
#34473=ORIENTED_EDGE('',*,*,#25091,.T.);
#34474=ORIENTED_EDGE('',*,*,#25092,.T.);
#34475=ORIENTED_EDGE('',*,*,#25093,.T.);
#34476=ORIENTED_EDGE('',*,*,#25094,.T.);
#34477=ORIENTED_EDGE('',*,*,#25095,.T.);
#34478=ORIENTED_EDGE('',*,*,#25096,.T.);
#34479=ORIENTED_EDGE('',*,*,#25097,.T.);
#34480=ORIENTED_EDGE('',*,*,#25098,.T.);
#34481=ORIENTED_EDGE('',*,*,#25099,.T.);
#34482=ORIENTED_EDGE('',*,*,#25100,.T.);
#34483=ORIENTED_EDGE('',*,*,#25101,.T.);
#34484=ORIENTED_EDGE('',*,*,#24788,.T.);
#34485=ORIENTED_EDGE('',*,*,#24794,.T.);
#34486=ORIENTED_EDGE('',*,*,#25102,.T.);
#34487=ORIENTED_EDGE('',*,*,#24791,.T.);
#34488=ORIENTED_EDGE('',*,*,#25103,.F.);
#34489=ORIENTED_EDGE('',*,*,#25104,.F.);
#34490=ORIENTED_EDGE('',*,*,#25105,.F.);
#34491=ORIENTED_EDGE('',*,*,#25106,.F.);
#34492=ORIENTED_EDGE('',*,*,#25107,.F.);
#34493=ORIENTED_EDGE('',*,*,#25108,.F.);
#34494=ORIENTED_EDGE('',*,*,#25109,.F.);
#34495=ORIENTED_EDGE('',*,*,#25110,.F.);
#34496=ORIENTED_EDGE('',*,*,#25111,.F.);
#34497=ORIENTED_EDGE('',*,*,#25112,.F.);
#34498=ORIENTED_EDGE('',*,*,#25113,.F.);
#34499=ORIENTED_EDGE('',*,*,#25114,.F.);
#34500=ORIENTED_EDGE('',*,*,#25115,.F.);
#34501=ORIENTED_EDGE('',*,*,#25116,.F.);
#34502=ORIENTED_EDGE('',*,*,#25117,.F.);
#34503=ORIENTED_EDGE('',*,*,#25118,.F.);
#34504=ORIENTED_EDGE('',*,*,#25119,.F.);
#34505=ORIENTED_EDGE('',*,*,#25120,.F.);
#34506=ORIENTED_EDGE('',*,*,#25121,.F.);
#34507=ORIENTED_EDGE('',*,*,#25122,.F.);
#34508=ORIENTED_EDGE('',*,*,#25123,.F.);
#34509=ORIENTED_EDGE('',*,*,#25124,.F.);
#34510=ORIENTED_EDGE('',*,*,#25125,.F.);
#34511=ORIENTED_EDGE('',*,*,#25126,.F.);
#34512=ORIENTED_EDGE('',*,*,#25127,.F.);
#34513=ORIENTED_EDGE('',*,*,#25128,.F.);
#34514=ORIENTED_EDGE('',*,*,#25129,.F.);
#34515=ORIENTED_EDGE('',*,*,#25130,.F.);
#34516=ORIENTED_EDGE('',*,*,#25131,.F.);
#34517=ORIENTED_EDGE('',*,*,#25132,.F.);
#34518=ORIENTED_EDGE('',*,*,#25133,.F.);
#34519=ORIENTED_EDGE('',*,*,#25134,.F.);
#34520=ORIENTED_EDGE('',*,*,#25135,.F.);
#34521=ORIENTED_EDGE('',*,*,#25136,.F.);
#34522=ORIENTED_EDGE('',*,*,#25137,.F.);
#34523=ORIENTED_EDGE('',*,*,#25138,.F.);
#34524=ORIENTED_EDGE('',*,*,#25139,.F.);
#34525=ORIENTED_EDGE('',*,*,#25140,.F.);
#34526=ORIENTED_EDGE('',*,*,#25141,.F.);
#34527=ORIENTED_EDGE('',*,*,#25142,.F.);
#34528=ORIENTED_EDGE('',*,*,#25143,.F.);
#34529=ORIENTED_EDGE('',*,*,#25144,.F.);
#34530=ORIENTED_EDGE('',*,*,#25145,.F.);
#34531=ORIENTED_EDGE('',*,*,#25146,.F.);
#34532=ORIENTED_EDGE('',*,*,#25147,.F.);
#34533=ORIENTED_EDGE('',*,*,#25148,.F.);
#34534=ORIENTED_EDGE('',*,*,#25149,.F.);
#34535=ORIENTED_EDGE('',*,*,#25150,.F.);
#34536=ORIENTED_EDGE('',*,*,#25151,.F.);
#34537=ORIENTED_EDGE('',*,*,#25152,.F.);
#34538=ORIENTED_EDGE('',*,*,#25153,.F.);
#34539=ORIENTED_EDGE('',*,*,#25154,.F.);
#34540=ORIENTED_EDGE('',*,*,#25155,.F.);
#34541=ORIENTED_EDGE('',*,*,#25156,.F.);
#34542=ORIENTED_EDGE('',*,*,#25157,.F.);
#34543=ORIENTED_EDGE('',*,*,#25158,.F.);
#34544=ORIENTED_EDGE('',*,*,#25159,.F.);
#34545=ORIENTED_EDGE('',*,*,#25160,.F.);
#34546=ORIENTED_EDGE('',*,*,#25161,.F.);
#34547=ORIENTED_EDGE('',*,*,#25162,.F.);
#34548=ORIENTED_EDGE('',*,*,#25163,.F.);
#34549=ORIENTED_EDGE('',*,*,#25164,.F.);
#34550=ORIENTED_EDGE('',*,*,#25165,.F.);
#34551=ORIENTED_EDGE('',*,*,#25166,.F.);
#34552=ORIENTED_EDGE('',*,*,#25167,.F.);
#34553=ORIENTED_EDGE('',*,*,#25168,.F.);
#34554=ORIENTED_EDGE('',*,*,#25169,.F.);
#34555=ORIENTED_EDGE('',*,*,#25170,.F.);
#34556=ORIENTED_EDGE('',*,*,#25171,.F.);
#34557=ORIENTED_EDGE('',*,*,#25172,.F.);
#34558=ORIENTED_EDGE('',*,*,#25173,.F.);
#34559=ORIENTED_EDGE('',*,*,#25174,.F.);
#34560=ORIENTED_EDGE('',*,*,#25175,.F.);
#34561=ORIENTED_EDGE('',*,*,#25176,.F.);
#34562=ORIENTED_EDGE('',*,*,#25177,.F.);
#34563=ORIENTED_EDGE('',*,*,#25178,.F.);
#34564=ORIENTED_EDGE('',*,*,#25179,.F.);
#34565=ORIENTED_EDGE('',*,*,#25180,.F.);
#34566=ORIENTED_EDGE('',*,*,#25181,.F.);
#34567=ORIENTED_EDGE('',*,*,#25182,.F.);
#34568=ORIENTED_EDGE('',*,*,#25183,.F.);
#34569=ORIENTED_EDGE('',*,*,#25184,.F.);
#34570=ORIENTED_EDGE('',*,*,#25185,.F.);
#34571=ORIENTED_EDGE('',*,*,#25186,.F.);
#34572=ORIENTED_EDGE('',*,*,#25187,.F.);
#34573=ORIENTED_EDGE('',*,*,#25188,.F.);
#34574=ORIENTED_EDGE('',*,*,#25189,.F.);
#34575=ORIENTED_EDGE('',*,*,#25190,.F.);
#34576=ORIENTED_EDGE('',*,*,#25191,.F.);
#34577=ORIENTED_EDGE('',*,*,#25192,.F.);
#34578=ORIENTED_EDGE('',*,*,#25193,.F.);
#34579=ORIENTED_EDGE('',*,*,#25194,.F.);
#34580=ORIENTED_EDGE('',*,*,#25195,.F.);
#34581=ORIENTED_EDGE('',*,*,#25196,.F.);
#34582=ORIENTED_EDGE('',*,*,#25197,.F.);
#34583=ORIENTED_EDGE('',*,*,#25198,.F.);
#34584=ORIENTED_EDGE('',*,*,#25199,.F.);
#34585=ORIENTED_EDGE('',*,*,#25200,.F.);
#34586=ORIENTED_EDGE('',*,*,#25201,.F.);
#34587=ORIENTED_EDGE('',*,*,#25202,.F.);
#34588=ORIENTED_EDGE('',*,*,#25203,.F.);
#34589=ORIENTED_EDGE('',*,*,#25204,.F.);
#34590=ORIENTED_EDGE('',*,*,#25205,.F.);
#34591=ORIENTED_EDGE('',*,*,#25206,.F.);
#34592=ORIENTED_EDGE('',*,*,#25207,.F.);
#34593=ORIENTED_EDGE('',*,*,#25208,.F.);
#34594=ORIENTED_EDGE('',*,*,#25209,.F.);
#34595=ORIENTED_EDGE('',*,*,#25210,.F.);
#34596=ORIENTED_EDGE('',*,*,#25211,.F.);
#34597=ORIENTED_EDGE('',*,*,#25212,.F.);
#34598=ORIENTED_EDGE('',*,*,#25213,.F.);
#34599=ORIENTED_EDGE('',*,*,#25214,.F.);
#34600=ORIENTED_EDGE('',*,*,#25215,.F.);
#34601=ORIENTED_EDGE('',*,*,#25216,.F.);
#34602=ORIENTED_EDGE('',*,*,#25217,.F.);
#34603=ORIENTED_EDGE('',*,*,#25218,.F.);
#34604=ORIENTED_EDGE('',*,*,#25219,.F.);
#34605=ORIENTED_EDGE('',*,*,#25220,.F.);
#34606=ORIENTED_EDGE('',*,*,#25221,.F.);
#34607=ORIENTED_EDGE('',*,*,#25222,.F.);
#34608=ORIENTED_EDGE('',*,*,#25223,.F.);
#34609=ORIENTED_EDGE('',*,*,#25224,.F.);
#34610=ORIENTED_EDGE('',*,*,#25225,.F.);
#34611=ORIENTED_EDGE('',*,*,#25226,.F.);
#34612=ORIENTED_EDGE('',*,*,#25227,.F.);
#34613=ORIENTED_EDGE('',*,*,#25228,.F.);
#34614=ORIENTED_EDGE('',*,*,#25229,.F.);
#34615=ORIENTED_EDGE('',*,*,#25230,.F.);
#34616=ORIENTED_EDGE('',*,*,#25231,.F.);
#34617=ORIENTED_EDGE('',*,*,#25232,.F.);
#34618=ORIENTED_EDGE('',*,*,#25233,.F.);
#34619=ORIENTED_EDGE('',*,*,#25234,.F.);
#34620=ORIENTED_EDGE('',*,*,#25235,.F.);
#34621=ORIENTED_EDGE('',*,*,#25236,.F.);
#34622=ORIENTED_EDGE('',*,*,#25237,.F.);
#34623=ORIENTED_EDGE('',*,*,#25238,.F.);
#34624=ORIENTED_EDGE('',*,*,#25239,.F.);
#34625=ORIENTED_EDGE('',*,*,#25240,.F.);
#34626=ORIENTED_EDGE('',*,*,#25241,.F.);
#34627=ORIENTED_EDGE('',*,*,#25242,.F.);
#34628=ORIENTED_EDGE('',*,*,#25243,.F.);
#34629=ORIENTED_EDGE('',*,*,#25244,.F.);
#34630=ORIENTED_EDGE('',*,*,#25245,.F.);
#34631=ORIENTED_EDGE('',*,*,#25246,.F.);
#34632=ORIENTED_EDGE('',*,*,#25247,.F.);
#34633=ORIENTED_EDGE('',*,*,#25248,.F.);
#34634=ORIENTED_EDGE('',*,*,#25249,.F.);
#34635=ORIENTED_EDGE('',*,*,#25250,.F.);
#34636=ORIENTED_EDGE('',*,*,#25251,.F.);
#34637=ORIENTED_EDGE('',*,*,#25252,.F.);
#34638=ORIENTED_EDGE('',*,*,#25253,.F.);
#34639=ORIENTED_EDGE('',*,*,#25254,.F.);
#34640=ORIENTED_EDGE('',*,*,#25255,.F.);
#34641=ORIENTED_EDGE('',*,*,#25256,.F.);
#34642=ORIENTED_EDGE('',*,*,#25257,.F.);
#34643=ORIENTED_EDGE('',*,*,#25258,.F.);
#34644=ORIENTED_EDGE('',*,*,#25259,.F.);
#34645=ORIENTED_EDGE('',*,*,#25260,.F.);
#34646=ORIENTED_EDGE('',*,*,#25261,.F.);
#34647=ORIENTED_EDGE('',*,*,#25262,.F.);
#34648=ORIENTED_EDGE('',*,*,#25263,.F.);
#34649=ORIENTED_EDGE('',*,*,#25264,.F.);
#34650=ORIENTED_EDGE('',*,*,#25265,.F.);
#34651=ORIENTED_EDGE('',*,*,#25266,.F.);
#34652=ORIENTED_EDGE('',*,*,#25267,.F.);
#34653=ORIENTED_EDGE('',*,*,#25268,.F.);
#34654=ORIENTED_EDGE('',*,*,#25269,.F.);
#34655=ORIENTED_EDGE('',*,*,#25270,.F.);
#34656=ORIENTED_EDGE('',*,*,#25271,.F.);
#34657=ORIENTED_EDGE('',*,*,#25272,.F.);
#34658=ORIENTED_EDGE('',*,*,#25273,.F.);
#34659=ORIENTED_EDGE('',*,*,#25274,.F.);
#34660=ORIENTED_EDGE('',*,*,#25275,.F.);
#34661=ORIENTED_EDGE('',*,*,#25276,.F.);
#34662=ORIENTED_EDGE('',*,*,#25277,.F.);
#34663=ORIENTED_EDGE('',*,*,#25278,.F.);
#34664=ORIENTED_EDGE('',*,*,#25279,.F.);
#34665=ORIENTED_EDGE('',*,*,#25280,.F.);
#34666=ORIENTED_EDGE('',*,*,#25281,.F.);
#34667=ORIENTED_EDGE('',*,*,#25282,.F.);
#34668=ORIENTED_EDGE('',*,*,#25283,.F.);
#34669=ORIENTED_EDGE('',*,*,#25284,.F.);
#34670=ORIENTED_EDGE('',*,*,#25285,.F.);
#34671=ORIENTED_EDGE('',*,*,#25286,.F.);
#34672=ORIENTED_EDGE('',*,*,#25287,.F.);
#34673=ORIENTED_EDGE('',*,*,#25288,.F.);
#34674=ORIENTED_EDGE('',*,*,#25289,.F.);
#34675=ORIENTED_EDGE('',*,*,#25290,.F.);
#34676=ORIENTED_EDGE('',*,*,#25291,.F.);
#34677=ORIENTED_EDGE('',*,*,#25292,.F.);
#34678=ORIENTED_EDGE('',*,*,#25293,.F.);
#34679=ORIENTED_EDGE('',*,*,#25294,.F.);
#34680=ORIENTED_EDGE('',*,*,#25295,.F.);
#34681=ORIENTED_EDGE('',*,*,#25296,.F.);
#34682=ORIENTED_EDGE('',*,*,#25297,.F.);
#34683=ORIENTED_EDGE('',*,*,#25298,.F.);
#34684=ORIENTED_EDGE('',*,*,#25299,.F.);
#34685=ORIENTED_EDGE('',*,*,#25300,.F.);
#34686=ORIENTED_EDGE('',*,*,#25301,.F.);
#34687=ORIENTED_EDGE('',*,*,#25302,.F.);
#34688=ORIENTED_EDGE('',*,*,#25303,.F.);
#34689=ORIENTED_EDGE('',*,*,#25304,.F.);
#34690=ORIENTED_EDGE('',*,*,#25305,.F.);
#34691=ORIENTED_EDGE('',*,*,#25306,.F.);
#34692=ORIENTED_EDGE('',*,*,#25307,.F.);
#34693=ORIENTED_EDGE('',*,*,#25308,.F.);
#34694=ORIENTED_EDGE('',*,*,#25309,.F.);
#34695=ORIENTED_EDGE('',*,*,#25310,.F.);
#34696=ORIENTED_EDGE('',*,*,#25311,.F.);
#34697=ORIENTED_EDGE('',*,*,#25312,.F.);
#34698=ORIENTED_EDGE('',*,*,#25313,.F.);
#34699=ORIENTED_EDGE('',*,*,#25314,.F.);
#34700=ORIENTED_EDGE('',*,*,#25315,.F.);
#34701=ORIENTED_EDGE('',*,*,#25316,.F.);
#34702=ORIENTED_EDGE('',*,*,#25317,.F.);
#34703=ORIENTED_EDGE('',*,*,#25318,.F.);
#34704=ORIENTED_EDGE('',*,*,#25319,.F.);
#34705=ORIENTED_EDGE('',*,*,#25320,.F.);
#34706=ORIENTED_EDGE('',*,*,#25321,.F.);
#34707=ORIENTED_EDGE('',*,*,#25322,.F.);
#34708=ORIENTED_EDGE('',*,*,#25323,.F.);
#34709=ORIENTED_EDGE('',*,*,#25324,.F.);
#34710=ORIENTED_EDGE('',*,*,#25325,.F.);
#34711=ORIENTED_EDGE('',*,*,#25326,.F.);
#34712=ORIENTED_EDGE('',*,*,#25327,.F.);
#34713=ORIENTED_EDGE('',*,*,#25328,.F.);
#34714=ORIENTED_EDGE('',*,*,#25329,.F.);
#34715=ORIENTED_EDGE('',*,*,#25330,.F.);
#34716=ORIENTED_EDGE('',*,*,#25331,.F.);
#34717=ORIENTED_EDGE('',*,*,#25332,.F.);
#34718=ORIENTED_EDGE('',*,*,#25333,.F.);
#34719=ORIENTED_EDGE('',*,*,#25334,.F.);
#34720=ORIENTED_EDGE('',*,*,#25335,.F.);
#34721=ORIENTED_EDGE('',*,*,#25336,.F.);
#34722=ORIENTED_EDGE('',*,*,#25337,.F.);
#34723=ORIENTED_EDGE('',*,*,#25338,.F.);
#34724=ORIENTED_EDGE('',*,*,#25339,.F.);
#34725=ORIENTED_EDGE('',*,*,#25340,.F.);
#34726=ORIENTED_EDGE('',*,*,#25341,.F.);
#34727=ORIENTED_EDGE('',*,*,#25342,.F.);
#34728=ORIENTED_EDGE('',*,*,#25343,.F.);
#34729=ORIENTED_EDGE('',*,*,#25344,.F.);
#34730=ORIENTED_EDGE('',*,*,#25345,.F.);
#34731=ORIENTED_EDGE('',*,*,#25346,.F.);
#34732=ORIENTED_EDGE('',*,*,#25347,.F.);
#34733=ORIENTED_EDGE('',*,*,#25348,.F.);
#34734=ORIENTED_EDGE('',*,*,#25349,.F.);
#34735=ORIENTED_EDGE('',*,*,#25350,.F.);
#34736=ORIENTED_EDGE('',*,*,#25351,.F.);
#34737=ORIENTED_EDGE('',*,*,#25352,.F.);
#34738=ORIENTED_EDGE('',*,*,#25353,.F.);
#34739=ORIENTED_EDGE('',*,*,#25354,.F.);
#34740=ORIENTED_EDGE('',*,*,#25355,.F.);
#34741=ORIENTED_EDGE('',*,*,#25356,.F.);
#34742=ORIENTED_EDGE('',*,*,#25357,.F.);
#34743=ORIENTED_EDGE('',*,*,#25358,.F.);
#34744=ORIENTED_EDGE('',*,*,#25359,.F.);
#34745=ORIENTED_EDGE('',*,*,#25360,.F.);
#34746=ORIENTED_EDGE('',*,*,#25361,.F.);
#34747=ORIENTED_EDGE('',*,*,#25362,.F.);
#34748=ORIENTED_EDGE('',*,*,#25363,.F.);
#34749=ORIENTED_EDGE('',*,*,#25364,.F.);
#34750=ORIENTED_EDGE('',*,*,#25365,.F.);
#34751=ORIENTED_EDGE('',*,*,#25366,.F.);
#34752=ORIENTED_EDGE('',*,*,#25367,.F.);
#34753=ORIENTED_EDGE('',*,*,#25368,.F.);
#34754=ORIENTED_EDGE('',*,*,#25369,.F.);
#34755=ORIENTED_EDGE('',*,*,#25370,.F.);
#34756=ORIENTED_EDGE('',*,*,#25371,.F.);
#34757=ORIENTED_EDGE('',*,*,#25372,.F.);
#34758=ORIENTED_EDGE('',*,*,#25373,.F.);
#34759=ORIENTED_EDGE('',*,*,#25374,.F.);
#34760=ORIENTED_EDGE('',*,*,#25375,.F.);
#34761=ORIENTED_EDGE('',*,*,#25376,.F.);
#34762=ORIENTED_EDGE('',*,*,#25377,.F.);
#34763=ORIENTED_EDGE('',*,*,#25378,.F.);
#34764=ORIENTED_EDGE('',*,*,#25379,.F.);
#34765=ORIENTED_EDGE('',*,*,#25380,.F.);
#34766=ORIENTED_EDGE('',*,*,#25381,.F.);
#34767=ORIENTED_EDGE('',*,*,#25382,.F.);
#34768=ORIENTED_EDGE('',*,*,#25383,.F.);
#34769=ORIENTED_EDGE('',*,*,#25384,.F.);
#34770=ORIENTED_EDGE('',*,*,#25385,.F.);
#34771=ORIENTED_EDGE('',*,*,#25386,.F.);
#34772=ORIENTED_EDGE('',*,*,#25387,.F.);
#34773=ORIENTED_EDGE('',*,*,#25388,.F.);
#34774=ORIENTED_EDGE('',*,*,#25389,.F.);
#34775=ORIENTED_EDGE('',*,*,#25390,.F.);
#34776=ORIENTED_EDGE('',*,*,#25391,.F.);
#34777=ORIENTED_EDGE('',*,*,#25392,.F.);
#34778=ORIENTED_EDGE('',*,*,#25393,.F.);
#34779=ORIENTED_EDGE('',*,*,#25394,.F.);
#34780=ORIENTED_EDGE('',*,*,#25395,.F.);
#34781=ORIENTED_EDGE('',*,*,#25396,.F.);
#34782=ORIENTED_EDGE('',*,*,#25397,.F.);
#34783=ORIENTED_EDGE('',*,*,#25398,.F.);
#34784=ORIENTED_EDGE('',*,*,#25399,.F.);
#34785=ORIENTED_EDGE('',*,*,#25400,.F.);
#34786=ORIENTED_EDGE('',*,*,#25401,.F.);
#34787=ORIENTED_EDGE('',*,*,#25402,.F.);
#34788=ORIENTED_EDGE('',*,*,#25403,.F.);
#34789=ORIENTED_EDGE('',*,*,#25404,.F.);
#34790=ORIENTED_EDGE('',*,*,#25405,.F.);
#34791=ORIENTED_EDGE('',*,*,#25406,.F.);
#34792=ORIENTED_EDGE('',*,*,#25407,.F.);
#34793=ORIENTED_EDGE('',*,*,#25408,.F.);
#34794=ORIENTED_EDGE('',*,*,#24793,.F.);
#34795=ORIENTED_EDGE('',*,*,#24795,.T.);
#34796=ORIENTED_EDGE('',*,*,#24789,.T.);
#34797=ORIENTED_EDGE('',*,*,#25102,.F.);
#34798=ORIENTED_EDGE('',*,*,#24796,.F.);
#34799=ORIENTED_EDGE('',*,*,#24797,.F.);
#34800=ORIENTED_EDGE('',*,*,#25409,.T.);
#34801=ORIENTED_EDGE('',*,*,#25348,.T.);
#34802=ORIENTED_EDGE('',*,*,#25347,.T.);
#34803=ORIENTED_EDGE('',*,*,#25409,.F.);
#34804=ORIENTED_EDGE('',*,*,#24798,.F.);
#34805=ORIENTED_EDGE('',*,*,#24799,.F.);
#34806=ORIENTED_EDGE('',*,*,#25410,.T.);
#34807=ORIENTED_EDGE('',*,*,#25358,.T.);
#34808=ORIENTED_EDGE('',*,*,#25357,.T.);
#34809=ORIENTED_EDGE('',*,*,#25410,.F.);
#34810=ORIENTED_EDGE('',*,*,#24800,.F.);
#34811=ORIENTED_EDGE('',*,*,#24801,.F.);
#34812=ORIENTED_EDGE('',*,*,#25411,.T.);
#34813=ORIENTED_EDGE('',*,*,#25342,.T.);
#34814=ORIENTED_EDGE('',*,*,#25341,.T.);
#34815=ORIENTED_EDGE('',*,*,#25411,.F.);
#34816=ORIENTED_EDGE('',*,*,#24802,.F.);
#34817=ORIENTED_EDGE('',*,*,#24803,.F.);
#34818=ORIENTED_EDGE('',*,*,#25412,.T.);
#34819=ORIENTED_EDGE('',*,*,#25334,.T.);
#34820=ORIENTED_EDGE('',*,*,#25333,.T.);
#34821=ORIENTED_EDGE('',*,*,#25412,.F.);
#34822=ORIENTED_EDGE('',*,*,#24804,.F.);
#34823=ORIENTED_EDGE('',*,*,#24805,.F.);
#34824=ORIENTED_EDGE('',*,*,#25413,.T.);
#34825=ORIENTED_EDGE('',*,*,#25346,.T.);
#34826=ORIENTED_EDGE('',*,*,#25345,.T.);
#34827=ORIENTED_EDGE('',*,*,#25413,.F.);
#34828=ORIENTED_EDGE('',*,*,#25414,.T.);
#34829=ORIENTED_EDGE('',*,*,#25236,.T.);
#34830=ORIENTED_EDGE('',*,*,#25415,.F.);
#34831=ORIENTED_EDGE('',*,*,#24806,.F.);
#34832=ORIENTED_EDGE('',*,*,#25416,.T.);
#34833=ORIENTED_EDGE('',*,*,#25233,.T.);
#34834=ORIENTED_EDGE('',*,*,#25414,.F.);
#34835=ORIENTED_EDGE('',*,*,#24809,.F.);
#34836=ORIENTED_EDGE('',*,*,#25415,.T.);
#34837=ORIENTED_EDGE('',*,*,#25235,.T.);
#34838=ORIENTED_EDGE('',*,*,#25417,.F.);
#34839=ORIENTED_EDGE('',*,*,#24807,.F.);
#34840=ORIENTED_EDGE('',*,*,#25417,.T.);
#34841=ORIENTED_EDGE('',*,*,#25234,.T.);
#34842=ORIENTED_EDGE('',*,*,#25416,.F.);
#34843=ORIENTED_EDGE('',*,*,#24808,.F.);
#34844=ORIENTED_EDGE('',*,*,#24810,.F.);
#34845=ORIENTED_EDGE('',*,*,#24811,.F.);
#34846=ORIENTED_EDGE('',*,*,#25418,.T.);
#34847=ORIENTED_EDGE('',*,*,#25246,.T.);
#34848=ORIENTED_EDGE('',*,*,#25245,.T.);
#34849=ORIENTED_EDGE('',*,*,#25418,.F.);
#34850=ORIENTED_EDGE('',*,*,#24812,.F.);
#34851=ORIENTED_EDGE('',*,*,#24813,.F.);
#34852=ORIENTED_EDGE('',*,*,#25419,.T.);
#34853=ORIENTED_EDGE('',*,*,#25218,.T.);
#34854=ORIENTED_EDGE('',*,*,#25217,.T.);
#34855=ORIENTED_EDGE('',*,*,#25419,.F.);
#34856=ORIENTED_EDGE('',*,*,#24814,.F.);
#34857=ORIENTED_EDGE('',*,*,#24815,.F.);
#34858=ORIENTED_EDGE('',*,*,#25420,.T.);
#34859=ORIENTED_EDGE('',*,*,#25364,.T.);
#34860=ORIENTED_EDGE('',*,*,#25363,.T.);
#34861=ORIENTED_EDGE('',*,*,#25420,.F.);
#34862=ORIENTED_EDGE('',*,*,#24816,.F.);
#34863=ORIENTED_EDGE('',*,*,#24817,.F.);
#34864=ORIENTED_EDGE('',*,*,#25421,.T.);
#34865=ORIENTED_EDGE('',*,*,#25372,.T.);
#34866=ORIENTED_EDGE('',*,*,#25371,.T.);
#34867=ORIENTED_EDGE('',*,*,#25421,.F.);
#34868=ORIENTED_EDGE('',*,*,#24818,.F.);
#34869=ORIENTED_EDGE('',*,*,#24819,.F.);
#34870=ORIENTED_EDGE('',*,*,#25422,.T.);
#34871=ORIENTED_EDGE('',*,*,#25366,.T.);
#34872=ORIENTED_EDGE('',*,*,#25365,.T.);
#34873=ORIENTED_EDGE('',*,*,#25422,.F.);
#34874=ORIENTED_EDGE('',*,*,#24820,.F.);
#34875=ORIENTED_EDGE('',*,*,#24821,.F.);
#34876=ORIENTED_EDGE('',*,*,#25423,.T.);
#34877=ORIENTED_EDGE('',*,*,#25238,.T.);
#34878=ORIENTED_EDGE('',*,*,#25237,.T.);
#34879=ORIENTED_EDGE('',*,*,#25423,.F.);
#34880=ORIENTED_EDGE('',*,*,#24822,.F.);
#34881=ORIENTED_EDGE('',*,*,#24823,.F.);
#34882=ORIENTED_EDGE('',*,*,#25424,.T.);
#34883=ORIENTED_EDGE('',*,*,#25240,.T.);
#34884=ORIENTED_EDGE('',*,*,#25239,.T.);
#34885=ORIENTED_EDGE('',*,*,#25424,.F.);
#34886=ORIENTED_EDGE('',*,*,#24824,.F.);
#34887=ORIENTED_EDGE('',*,*,#24825,.F.);
#34888=ORIENTED_EDGE('',*,*,#25425,.T.);
#34889=ORIENTED_EDGE('',*,*,#25268,.T.);
#34890=ORIENTED_EDGE('',*,*,#25267,.T.);
#34891=ORIENTED_EDGE('',*,*,#25425,.F.);
#34892=ORIENTED_EDGE('',*,*,#24826,.F.);
#34893=ORIENTED_EDGE('',*,*,#24827,.F.);
#34894=ORIENTED_EDGE('',*,*,#25426,.T.);
#34895=ORIENTED_EDGE('',*,*,#25278,.T.);
#34896=ORIENTED_EDGE('',*,*,#25277,.T.);
#34897=ORIENTED_EDGE('',*,*,#25426,.F.);
#34898=ORIENTED_EDGE('',*,*,#24828,.F.);
#34899=ORIENTED_EDGE('',*,*,#24829,.F.);
#34900=ORIENTED_EDGE('',*,*,#25427,.T.);
#34901=ORIENTED_EDGE('',*,*,#25294,.T.);
#34902=ORIENTED_EDGE('',*,*,#25293,.T.);
#34903=ORIENTED_EDGE('',*,*,#25427,.F.);
#34904=ORIENTED_EDGE('',*,*,#24830,.F.);
#34905=ORIENTED_EDGE('',*,*,#24831,.F.);
#34906=ORIENTED_EDGE('',*,*,#25428,.T.);
#34907=ORIENTED_EDGE('',*,*,#25232,.T.);
#34908=ORIENTED_EDGE('',*,*,#25231,.T.);
#34909=ORIENTED_EDGE('',*,*,#25428,.F.);
#34910=ORIENTED_EDGE('',*,*,#24832,.F.);
#34911=ORIENTED_EDGE('',*,*,#24833,.F.);
#34912=ORIENTED_EDGE('',*,*,#25429,.T.);
#34913=ORIENTED_EDGE('',*,*,#25292,.T.);
#34914=ORIENTED_EDGE('',*,*,#25291,.T.);
#34915=ORIENTED_EDGE('',*,*,#25429,.F.);
#34916=ORIENTED_EDGE('',*,*,#24834,.F.);
#34917=ORIENTED_EDGE('',*,*,#24835,.F.);
#34918=ORIENTED_EDGE('',*,*,#25430,.T.);
#34919=ORIENTED_EDGE('',*,*,#25228,.T.);
#34920=ORIENTED_EDGE('',*,*,#25227,.T.);
#34921=ORIENTED_EDGE('',*,*,#25430,.F.);
#34922=ORIENTED_EDGE('',*,*,#24836,.F.);
#34923=ORIENTED_EDGE('',*,*,#24837,.F.);
#34924=ORIENTED_EDGE('',*,*,#25431,.T.);
#34925=ORIENTED_EDGE('',*,*,#25260,.T.);
#34926=ORIENTED_EDGE('',*,*,#25259,.T.);
#34927=ORIENTED_EDGE('',*,*,#25431,.F.);
#34928=ORIENTED_EDGE('',*,*,#24838,.F.);
#34929=ORIENTED_EDGE('',*,*,#24839,.F.);
#34930=ORIENTED_EDGE('',*,*,#25432,.T.);
#34931=ORIENTED_EDGE('',*,*,#25258,.T.);
#34932=ORIENTED_EDGE('',*,*,#25257,.T.);
#34933=ORIENTED_EDGE('',*,*,#25432,.F.);
#34934=ORIENTED_EDGE('',*,*,#24840,.F.);
#34935=ORIENTED_EDGE('',*,*,#24841,.F.);
#34936=ORIENTED_EDGE('',*,*,#25433,.T.);
#34937=ORIENTED_EDGE('',*,*,#25266,.T.);
#34938=ORIENTED_EDGE('',*,*,#25265,.T.);
#34939=ORIENTED_EDGE('',*,*,#25433,.F.);
#34940=ORIENTED_EDGE('',*,*,#24842,.F.);
#34941=ORIENTED_EDGE('',*,*,#24843,.F.);
#34942=ORIENTED_EDGE('',*,*,#25434,.T.);
#34943=ORIENTED_EDGE('',*,*,#25124,.T.);
#34944=ORIENTED_EDGE('',*,*,#25123,.T.);
#34945=ORIENTED_EDGE('',*,*,#25434,.F.);
#34946=ORIENTED_EDGE('',*,*,#24844,.F.);
#34947=ORIENTED_EDGE('',*,*,#24845,.F.);
#34948=ORIENTED_EDGE('',*,*,#25435,.T.);
#34949=ORIENTED_EDGE('',*,*,#25126,.T.);
#34950=ORIENTED_EDGE('',*,*,#25125,.T.);
#34951=ORIENTED_EDGE('',*,*,#25435,.F.);
#34952=ORIENTED_EDGE('',*,*,#24846,.F.);
#34953=ORIENTED_EDGE('',*,*,#24847,.F.);
#34954=ORIENTED_EDGE('',*,*,#25436,.T.);
#34955=ORIENTED_EDGE('',*,*,#25122,.T.);
#34956=ORIENTED_EDGE('',*,*,#25121,.T.);
#34957=ORIENTED_EDGE('',*,*,#25436,.F.);
#34958=ORIENTED_EDGE('',*,*,#24848,.F.);
#34959=ORIENTED_EDGE('',*,*,#24849,.F.);
#34960=ORIENTED_EDGE('',*,*,#25437,.T.);
#34961=ORIENTED_EDGE('',*,*,#25114,.T.);
#34962=ORIENTED_EDGE('',*,*,#25113,.T.);
#34963=ORIENTED_EDGE('',*,*,#25437,.F.);
#34964=ORIENTED_EDGE('',*,*,#24850,.F.);
#34965=ORIENTED_EDGE('',*,*,#24851,.F.);
#34966=ORIENTED_EDGE('',*,*,#25438,.T.);
#34967=ORIENTED_EDGE('',*,*,#25202,.T.);
#34968=ORIENTED_EDGE('',*,*,#25201,.T.);
#34969=ORIENTED_EDGE('',*,*,#25438,.F.);
#34970=ORIENTED_EDGE('',*,*,#24852,.F.);
#34971=ORIENTED_EDGE('',*,*,#24853,.F.);
#34972=ORIENTED_EDGE('',*,*,#25439,.T.);
#34973=ORIENTED_EDGE('',*,*,#25146,.T.);
#34974=ORIENTED_EDGE('',*,*,#25145,.T.);
#34975=ORIENTED_EDGE('',*,*,#25439,.F.);
#34976=ORIENTED_EDGE('',*,*,#24854,.F.);
#34977=ORIENTED_EDGE('',*,*,#24855,.F.);
#34978=ORIENTED_EDGE('',*,*,#25440,.T.);
#34979=ORIENTED_EDGE('',*,*,#25284,.T.);
#34980=ORIENTED_EDGE('',*,*,#25283,.T.);
#34981=ORIENTED_EDGE('',*,*,#25440,.F.);
#34982=ORIENTED_EDGE('',*,*,#24856,.F.);
#34983=ORIENTED_EDGE('',*,*,#24857,.F.);
#34984=ORIENTED_EDGE('',*,*,#25441,.T.);
#34985=ORIENTED_EDGE('',*,*,#25178,.T.);
#34986=ORIENTED_EDGE('',*,*,#25177,.T.);
#34987=ORIENTED_EDGE('',*,*,#25441,.F.);
#34988=ORIENTED_EDGE('',*,*,#24858,.F.);
#34989=ORIENTED_EDGE('',*,*,#24859,.F.);
#34990=ORIENTED_EDGE('',*,*,#25442,.T.);
#34991=ORIENTED_EDGE('',*,*,#25184,.T.);
#34992=ORIENTED_EDGE('',*,*,#25183,.T.);
#34993=ORIENTED_EDGE('',*,*,#25442,.F.);
#34994=ORIENTED_EDGE('',*,*,#24860,.F.);
#34995=ORIENTED_EDGE('',*,*,#24861,.F.);
#34996=ORIENTED_EDGE('',*,*,#25443,.T.);
#34997=ORIENTED_EDGE('',*,*,#25198,.T.);
#34998=ORIENTED_EDGE('',*,*,#25197,.T.);
#34999=ORIENTED_EDGE('',*,*,#25443,.F.);
#35000=ORIENTED_EDGE('',*,*,#24862,.F.);
#35001=ORIENTED_EDGE('',*,*,#24863,.F.);
#35002=ORIENTED_EDGE('',*,*,#25444,.T.);
#35003=ORIENTED_EDGE('',*,*,#25204,.T.);
#35004=ORIENTED_EDGE('',*,*,#25203,.T.);
#35005=ORIENTED_EDGE('',*,*,#25444,.F.);
#35006=ORIENTED_EDGE('',*,*,#24864,.F.);
#35007=ORIENTED_EDGE('',*,*,#24865,.F.);
#35008=ORIENTED_EDGE('',*,*,#25445,.T.);
#35009=ORIENTED_EDGE('',*,*,#25132,.T.);
#35010=ORIENTED_EDGE('',*,*,#25131,.T.);
#35011=ORIENTED_EDGE('',*,*,#25445,.F.);
#35012=ORIENTED_EDGE('',*,*,#24866,.F.);
#35013=ORIENTED_EDGE('',*,*,#24867,.F.);
#35014=ORIENTED_EDGE('',*,*,#25446,.T.);
#35015=ORIENTED_EDGE('',*,*,#25144,.T.);
#35016=ORIENTED_EDGE('',*,*,#25143,.T.);
#35017=ORIENTED_EDGE('',*,*,#25446,.F.);
#35018=ORIENTED_EDGE('',*,*,#24868,.F.);
#35019=ORIENTED_EDGE('',*,*,#24869,.F.);
#35020=ORIENTED_EDGE('',*,*,#25447,.T.);
#35021=ORIENTED_EDGE('',*,*,#25134,.T.);
#35022=ORIENTED_EDGE('',*,*,#25133,.T.);
#35023=ORIENTED_EDGE('',*,*,#25447,.F.);
#35024=ORIENTED_EDGE('',*,*,#24870,.F.);
#35025=ORIENTED_EDGE('',*,*,#24871,.F.);
#35026=ORIENTED_EDGE('',*,*,#25448,.T.);
#35027=ORIENTED_EDGE('',*,*,#25190,.T.);
#35028=ORIENTED_EDGE('',*,*,#25189,.T.);
#35029=ORIENTED_EDGE('',*,*,#25448,.F.);
#35030=ORIENTED_EDGE('',*,*,#24872,.F.);
#35031=ORIENTED_EDGE('',*,*,#24873,.F.);
#35032=ORIENTED_EDGE('',*,*,#25449,.T.);
#35033=ORIENTED_EDGE('',*,*,#25192,.T.);
#35034=ORIENTED_EDGE('',*,*,#25191,.T.);
#35035=ORIENTED_EDGE('',*,*,#25449,.F.);
#35036=ORIENTED_EDGE('',*,*,#24874,.F.);
#35037=ORIENTED_EDGE('',*,*,#24875,.F.);
#35038=ORIENTED_EDGE('',*,*,#25450,.T.);
#35039=ORIENTED_EDGE('',*,*,#25170,.T.);
#35040=ORIENTED_EDGE('',*,*,#25169,.T.);
#35041=ORIENTED_EDGE('',*,*,#25450,.F.);
#35042=ORIENTED_EDGE('',*,*,#24876,.F.);
#35043=ORIENTED_EDGE('',*,*,#24877,.F.);
#35044=ORIENTED_EDGE('',*,*,#25451,.T.);
#35045=ORIENTED_EDGE('',*,*,#25172,.T.);
#35046=ORIENTED_EDGE('',*,*,#25171,.T.);
#35047=ORIENTED_EDGE('',*,*,#25451,.F.);
#35048=ORIENTED_EDGE('',*,*,#24878,.F.);
#35049=ORIENTED_EDGE('',*,*,#24879,.F.);
#35050=ORIENTED_EDGE('',*,*,#25452,.T.);
#35051=ORIENTED_EDGE('',*,*,#25286,.T.);
#35052=ORIENTED_EDGE('',*,*,#25285,.T.);
#35053=ORIENTED_EDGE('',*,*,#25452,.F.);
#35054=ORIENTED_EDGE('',*,*,#24880,.F.);
#35055=ORIENTED_EDGE('',*,*,#24881,.F.);
#35056=ORIENTED_EDGE('',*,*,#25453,.T.);
#35057=ORIENTED_EDGE('',*,*,#25166,.T.);
#35058=ORIENTED_EDGE('',*,*,#25165,.T.);
#35059=ORIENTED_EDGE('',*,*,#25453,.F.);
#35060=ORIENTED_EDGE('',*,*,#24882,.F.);
#35061=ORIENTED_EDGE('',*,*,#24883,.F.);
#35062=ORIENTED_EDGE('',*,*,#25454,.T.);
#35063=ORIENTED_EDGE('',*,*,#25154,.T.);
#35064=ORIENTED_EDGE('',*,*,#25153,.T.);
#35065=ORIENTED_EDGE('',*,*,#25454,.F.);
#35066=ORIENTED_EDGE('',*,*,#24884,.F.);
#35067=ORIENTED_EDGE('',*,*,#24885,.F.);
#35068=ORIENTED_EDGE('',*,*,#25455,.T.);
#35069=ORIENTED_EDGE('',*,*,#25142,.T.);
#35070=ORIENTED_EDGE('',*,*,#25141,.T.);
#35071=ORIENTED_EDGE('',*,*,#25455,.F.);
#35072=ORIENTED_EDGE('',*,*,#24886,.F.);
#35073=ORIENTED_EDGE('',*,*,#24887,.F.);
#35074=ORIENTED_EDGE('',*,*,#25456,.T.);
#35075=ORIENTED_EDGE('',*,*,#25156,.T.);
#35076=ORIENTED_EDGE('',*,*,#25155,.T.);
#35077=ORIENTED_EDGE('',*,*,#25456,.F.);
#35078=ORIENTED_EDGE('',*,*,#24888,.F.);
#35079=ORIENTED_EDGE('',*,*,#24889,.F.);
#35080=ORIENTED_EDGE('',*,*,#25457,.T.);
#35081=ORIENTED_EDGE('',*,*,#25168,.T.);
#35082=ORIENTED_EDGE('',*,*,#25167,.T.);
#35083=ORIENTED_EDGE('',*,*,#25457,.F.);
#35084=ORIENTED_EDGE('',*,*,#24890,.F.);
#35085=ORIENTED_EDGE('',*,*,#24891,.F.);
#35086=ORIENTED_EDGE('',*,*,#25458,.T.);
#35087=ORIENTED_EDGE('',*,*,#25256,.T.);
#35088=ORIENTED_EDGE('',*,*,#25255,.T.);
#35089=ORIENTED_EDGE('',*,*,#25458,.F.);
#35090=ORIENTED_EDGE('',*,*,#24892,.F.);
#35091=ORIENTED_EDGE('',*,*,#24893,.F.);
#35092=ORIENTED_EDGE('',*,*,#25459,.T.);
#35093=ORIENTED_EDGE('',*,*,#25356,.T.);
#35094=ORIENTED_EDGE('',*,*,#25355,.T.);
#35095=ORIENTED_EDGE('',*,*,#25459,.F.);
#35096=ORIENTED_EDGE('',*,*,#24894,.F.);
#35097=ORIENTED_EDGE('',*,*,#24895,.F.);
#35098=ORIENTED_EDGE('',*,*,#25460,.T.);
#35099=ORIENTED_EDGE('',*,*,#25350,.T.);
#35100=ORIENTED_EDGE('',*,*,#25349,.T.);
#35101=ORIENTED_EDGE('',*,*,#25460,.F.);
#35102=ORIENTED_EDGE('',*,*,#24896,.F.);
#35103=ORIENTED_EDGE('',*,*,#24897,.F.);
#35104=ORIENTED_EDGE('',*,*,#25461,.T.);
#35105=ORIENTED_EDGE('',*,*,#25354,.T.);
#35106=ORIENTED_EDGE('',*,*,#25353,.T.);
#35107=ORIENTED_EDGE('',*,*,#25461,.F.);
#35108=ORIENTED_EDGE('',*,*,#24898,.F.);
#35109=ORIENTED_EDGE('',*,*,#24899,.F.);
#35110=ORIENTED_EDGE('',*,*,#25462,.T.);
#35111=ORIENTED_EDGE('',*,*,#25352,.T.);
#35112=ORIENTED_EDGE('',*,*,#25351,.T.);
#35113=ORIENTED_EDGE('',*,*,#25462,.F.);
#35114=ORIENTED_EDGE('',*,*,#24900,.F.);
#35115=ORIENTED_EDGE('',*,*,#24901,.F.);
#35116=ORIENTED_EDGE('',*,*,#25463,.T.);
#35117=ORIENTED_EDGE('',*,*,#25374,.T.);
#35118=ORIENTED_EDGE('',*,*,#25373,.T.);
#35119=ORIENTED_EDGE('',*,*,#25463,.F.);
#35120=ORIENTED_EDGE('',*,*,#24902,.F.);
#35121=ORIENTED_EDGE('',*,*,#24903,.F.);
#35122=ORIENTED_EDGE('',*,*,#25464,.T.);
#35123=ORIENTED_EDGE('',*,*,#25362,.T.);
#35124=ORIENTED_EDGE('',*,*,#25361,.T.);
#35125=ORIENTED_EDGE('',*,*,#25464,.F.);
#35126=ORIENTED_EDGE('',*,*,#24904,.F.);
#35127=ORIENTED_EDGE('',*,*,#24905,.F.);
#35128=ORIENTED_EDGE('',*,*,#25465,.T.);
#35129=ORIENTED_EDGE('',*,*,#25252,.T.);
#35130=ORIENTED_EDGE('',*,*,#25251,.T.);
#35131=ORIENTED_EDGE('',*,*,#25465,.F.);
#35132=ORIENTED_EDGE('',*,*,#24906,.F.);
#35133=ORIENTED_EDGE('',*,*,#24907,.F.);
#35134=ORIENTED_EDGE('',*,*,#25466,.T.);
#35135=ORIENTED_EDGE('',*,*,#25254,.T.);
#35136=ORIENTED_EDGE('',*,*,#25253,.T.);
#35137=ORIENTED_EDGE('',*,*,#25466,.F.);
#35138=ORIENTED_EDGE('',*,*,#24908,.F.);
#35139=ORIENTED_EDGE('',*,*,#24909,.F.);
#35140=ORIENTED_EDGE('',*,*,#25467,.T.);
#35141=ORIENTED_EDGE('',*,*,#25270,.T.);
#35142=ORIENTED_EDGE('',*,*,#25269,.T.);
#35143=ORIENTED_EDGE('',*,*,#25467,.F.);
#35144=ORIENTED_EDGE('',*,*,#24910,.F.);
#35145=ORIENTED_EDGE('',*,*,#24911,.F.);
#35146=ORIENTED_EDGE('',*,*,#25468,.T.);
#35147=ORIENTED_EDGE('',*,*,#25276,.T.);
#35148=ORIENTED_EDGE('',*,*,#25275,.T.);
#35149=ORIENTED_EDGE('',*,*,#25468,.F.);
#35150=ORIENTED_EDGE('',*,*,#24912,.F.);
#35151=ORIENTED_EDGE('',*,*,#24913,.F.);
#35152=ORIENTED_EDGE('',*,*,#25469,.T.);
#35153=ORIENTED_EDGE('',*,*,#25250,.T.);
#35154=ORIENTED_EDGE('',*,*,#25249,.T.);
#35155=ORIENTED_EDGE('',*,*,#25469,.F.);
#35156=ORIENTED_EDGE('',*,*,#24914,.F.);
#35157=ORIENTED_EDGE('',*,*,#24915,.F.);
#35158=ORIENTED_EDGE('',*,*,#25470,.T.);
#35159=ORIENTED_EDGE('',*,*,#25148,.T.);
#35160=ORIENTED_EDGE('',*,*,#25147,.T.);
#35161=ORIENTED_EDGE('',*,*,#25470,.F.);
#35162=ORIENTED_EDGE('',*,*,#24916,.F.);
#35163=ORIENTED_EDGE('',*,*,#24917,.F.);
#35164=ORIENTED_EDGE('',*,*,#25471,.T.);
#35165=ORIENTED_EDGE('',*,*,#25194,.T.);
#35166=ORIENTED_EDGE('',*,*,#25193,.T.);
#35167=ORIENTED_EDGE('',*,*,#25471,.F.);
#35168=ORIENTED_EDGE('',*,*,#24918,.F.);
#35169=ORIENTED_EDGE('',*,*,#24919,.F.);
#35170=ORIENTED_EDGE('',*,*,#25472,.T.);
#35171=ORIENTED_EDGE('',*,*,#25206,.T.);
#35172=ORIENTED_EDGE('',*,*,#25205,.T.);
#35173=ORIENTED_EDGE('',*,*,#25472,.F.);
#35174=ORIENTED_EDGE('',*,*,#24920,.F.);
#35175=ORIENTED_EDGE('',*,*,#24921,.F.);
#35176=ORIENTED_EDGE('',*,*,#25473,.T.);
#35177=ORIENTED_EDGE('',*,*,#25188,.T.);
#35178=ORIENTED_EDGE('',*,*,#25187,.T.);
#35179=ORIENTED_EDGE('',*,*,#25473,.F.);
#35180=ORIENTED_EDGE('',*,*,#24922,.F.);
#35181=ORIENTED_EDGE('',*,*,#24923,.F.);
#35182=ORIENTED_EDGE('',*,*,#25474,.T.);
#35183=ORIENTED_EDGE('',*,*,#25264,.T.);
#35184=ORIENTED_EDGE('',*,*,#25263,.T.);
#35185=ORIENTED_EDGE('',*,*,#25474,.F.);
#35186=ORIENTED_EDGE('',*,*,#24924,.F.);
#35187=ORIENTED_EDGE('',*,*,#24925,.F.);
#35188=ORIENTED_EDGE('',*,*,#25475,.T.);
#35189=ORIENTED_EDGE('',*,*,#25174,.T.);
#35190=ORIENTED_EDGE('',*,*,#25173,.T.);
#35191=ORIENTED_EDGE('',*,*,#25475,.F.);
#35192=ORIENTED_EDGE('',*,*,#24926,.F.);
#35193=ORIENTED_EDGE('',*,*,#24927,.F.);
#35194=ORIENTED_EDGE('',*,*,#25476,.T.);
#35195=ORIENTED_EDGE('',*,*,#25180,.T.);
#35196=ORIENTED_EDGE('',*,*,#25179,.T.);
#35197=ORIENTED_EDGE('',*,*,#25476,.F.);
#35198=ORIENTED_EDGE('',*,*,#24928,.F.);
#35199=ORIENTED_EDGE('',*,*,#24929,.F.);
#35200=ORIENTED_EDGE('',*,*,#25477,.T.);
#35201=ORIENTED_EDGE('',*,*,#25262,.T.);
#35202=ORIENTED_EDGE('',*,*,#25261,.T.);
#35203=ORIENTED_EDGE('',*,*,#25477,.F.);
#35204=ORIENTED_EDGE('',*,*,#24930,.F.);
#35205=ORIENTED_EDGE('',*,*,#24931,.F.);
#35206=ORIENTED_EDGE('',*,*,#25478,.T.);
#35207=ORIENTED_EDGE('',*,*,#25128,.T.);
#35208=ORIENTED_EDGE('',*,*,#25127,.T.);
#35209=ORIENTED_EDGE('',*,*,#25478,.F.);
#35210=ORIENTED_EDGE('',*,*,#24932,.F.);
#35211=ORIENTED_EDGE('',*,*,#24933,.F.);
#35212=ORIENTED_EDGE('',*,*,#25479,.T.);
#35213=ORIENTED_EDGE('',*,*,#25120,.T.);
#35214=ORIENTED_EDGE('',*,*,#25119,.T.);
#35215=ORIENTED_EDGE('',*,*,#25479,.F.);
#35216=ORIENTED_EDGE('',*,*,#24934,.F.);
#35217=ORIENTED_EDGE('',*,*,#24935,.F.);
#35218=ORIENTED_EDGE('',*,*,#25480,.T.);
#35219=ORIENTED_EDGE('',*,*,#25118,.T.);
#35220=ORIENTED_EDGE('',*,*,#25117,.T.);
#35221=ORIENTED_EDGE('',*,*,#25480,.F.);
#35222=ORIENTED_EDGE('',*,*,#24936,.F.);
#35223=ORIENTED_EDGE('',*,*,#24937,.F.);
#35224=ORIENTED_EDGE('',*,*,#25481,.T.);
#35225=ORIENTED_EDGE('',*,*,#25338,.T.);
#35226=ORIENTED_EDGE('',*,*,#25337,.T.);
#35227=ORIENTED_EDGE('',*,*,#25481,.F.);
#35228=ORIENTED_EDGE('',*,*,#24938,.F.);
#35229=ORIENTED_EDGE('',*,*,#24939,.F.);
#35230=ORIENTED_EDGE('',*,*,#25482,.T.);
#35231=ORIENTED_EDGE('',*,*,#25214,.T.);
#35232=ORIENTED_EDGE('',*,*,#25213,.T.);
#35233=ORIENTED_EDGE('',*,*,#25482,.F.);
#35234=ORIENTED_EDGE('',*,*,#24940,.F.);
#35235=ORIENTED_EDGE('',*,*,#24941,.F.);
#35236=ORIENTED_EDGE('',*,*,#25483,.T.);
#35237=ORIENTED_EDGE('',*,*,#25332,.T.);
#35238=ORIENTED_EDGE('',*,*,#25331,.T.);
#35239=ORIENTED_EDGE('',*,*,#25483,.F.);
#35240=ORIENTED_EDGE('',*,*,#24942,.F.);
#35241=ORIENTED_EDGE('',*,*,#24943,.F.);
#35242=ORIENTED_EDGE('',*,*,#25484,.T.);
#35243=ORIENTED_EDGE('',*,*,#25216,.T.);
#35244=ORIENTED_EDGE('',*,*,#25215,.T.);
#35245=ORIENTED_EDGE('',*,*,#25484,.F.);
#35246=ORIENTED_EDGE('',*,*,#24944,.F.);
#35247=ORIENTED_EDGE('',*,*,#24945,.F.);
#35248=ORIENTED_EDGE('',*,*,#25485,.T.);
#35249=ORIENTED_EDGE('',*,*,#25136,.T.);
#35250=ORIENTED_EDGE('',*,*,#25135,.T.);
#35251=ORIENTED_EDGE('',*,*,#25485,.F.);
#35252=ORIENTED_EDGE('',*,*,#24946,.F.);
#35253=ORIENTED_EDGE('',*,*,#24947,.F.);
#35254=ORIENTED_EDGE('',*,*,#25486,.T.);
#35255=ORIENTED_EDGE('',*,*,#25138,.T.);
#35256=ORIENTED_EDGE('',*,*,#25137,.T.);
#35257=ORIENTED_EDGE('',*,*,#25486,.F.);
#35258=ORIENTED_EDGE('',*,*,#24948,.F.);
#35259=ORIENTED_EDGE('',*,*,#24949,.F.);
#35260=ORIENTED_EDGE('',*,*,#25487,.T.);
#35261=ORIENTED_EDGE('',*,*,#25108,.T.);
#35262=ORIENTED_EDGE('',*,*,#25107,.T.);
#35263=ORIENTED_EDGE('',*,*,#25487,.F.);
#35264=ORIENTED_EDGE('',*,*,#24950,.F.);
#35265=ORIENTED_EDGE('',*,*,#24951,.F.);
#35266=ORIENTED_EDGE('',*,*,#25488,.T.);
#35267=ORIENTED_EDGE('',*,*,#25322,.T.);
#35268=ORIENTED_EDGE('',*,*,#25321,.T.);
#35269=ORIENTED_EDGE('',*,*,#25488,.F.);
#35270=ORIENTED_EDGE('',*,*,#24952,.F.);
#35271=ORIENTED_EDGE('',*,*,#24953,.F.);
#35272=ORIENTED_EDGE('',*,*,#25489,.T.);
#35273=ORIENTED_EDGE('',*,*,#25320,.T.);
#35274=ORIENTED_EDGE('',*,*,#25319,.T.);
#35275=ORIENTED_EDGE('',*,*,#25489,.F.);
#35276=ORIENTED_EDGE('',*,*,#24954,.F.);
#35277=ORIENTED_EDGE('',*,*,#24955,.F.);
#35278=ORIENTED_EDGE('',*,*,#25490,.T.);
#35279=ORIENTED_EDGE('',*,*,#25318,.T.);
#35280=ORIENTED_EDGE('',*,*,#25317,.T.);
#35281=ORIENTED_EDGE('',*,*,#25490,.F.);
#35282=ORIENTED_EDGE('',*,*,#24956,.F.);
#35283=ORIENTED_EDGE('',*,*,#24957,.F.);
#35284=ORIENTED_EDGE('',*,*,#25491,.T.);
#35285=ORIENTED_EDGE('',*,*,#25316,.T.);
#35286=ORIENTED_EDGE('',*,*,#25315,.T.);
#35287=ORIENTED_EDGE('',*,*,#25491,.F.);
#35288=ORIENTED_EDGE('',*,*,#24958,.F.);
#35289=ORIENTED_EDGE('',*,*,#24959,.F.);
#35290=ORIENTED_EDGE('',*,*,#25492,.T.);
#35291=ORIENTED_EDGE('',*,*,#25314,.T.);
#35292=ORIENTED_EDGE('',*,*,#25313,.T.);
#35293=ORIENTED_EDGE('',*,*,#25492,.F.);
#35294=ORIENTED_EDGE('',*,*,#24960,.F.);
#35295=ORIENTED_EDGE('',*,*,#24961,.F.);
#35296=ORIENTED_EDGE('',*,*,#25493,.T.);
#35297=ORIENTED_EDGE('',*,*,#25106,.T.);
#35298=ORIENTED_EDGE('',*,*,#25105,.T.);
#35299=ORIENTED_EDGE('',*,*,#25493,.F.);
#35300=ORIENTED_EDGE('',*,*,#24962,.F.);
#35301=ORIENTED_EDGE('',*,*,#24963,.F.);
#35302=ORIENTED_EDGE('',*,*,#25494,.T.);
#35303=ORIENTED_EDGE('',*,*,#25304,.T.);
#35304=ORIENTED_EDGE('',*,*,#25303,.T.);
#35305=ORIENTED_EDGE('',*,*,#25494,.F.);
#35306=ORIENTED_EDGE('',*,*,#24964,.F.);
#35307=ORIENTED_EDGE('',*,*,#24965,.F.);
#35308=ORIENTED_EDGE('',*,*,#25495,.T.);
#35309=ORIENTED_EDGE('',*,*,#25310,.T.);
#35310=ORIENTED_EDGE('',*,*,#25309,.T.);
#35311=ORIENTED_EDGE('',*,*,#25495,.F.);
#35312=ORIENTED_EDGE('',*,*,#24966,.F.);
#35313=ORIENTED_EDGE('',*,*,#24967,.F.);
#35314=ORIENTED_EDGE('',*,*,#25496,.T.);
#35315=ORIENTED_EDGE('',*,*,#25306,.T.);
#35316=ORIENTED_EDGE('',*,*,#25305,.T.);
#35317=ORIENTED_EDGE('',*,*,#25496,.F.);
#35318=ORIENTED_EDGE('',*,*,#24968,.F.);
#35319=ORIENTED_EDGE('',*,*,#24969,.F.);
#35320=ORIENTED_EDGE('',*,*,#25497,.T.);
#35321=ORIENTED_EDGE('',*,*,#25308,.T.);
#35322=ORIENTED_EDGE('',*,*,#25307,.T.);
#35323=ORIENTED_EDGE('',*,*,#25497,.F.);
#35324=ORIENTED_EDGE('',*,*,#24970,.F.);
#35325=ORIENTED_EDGE('',*,*,#24971,.F.);
#35326=ORIENTED_EDGE('',*,*,#25498,.T.);
#35327=ORIENTED_EDGE('',*,*,#25312,.T.);
#35328=ORIENTED_EDGE('',*,*,#25311,.T.);
#35329=ORIENTED_EDGE('',*,*,#25498,.F.);
#35330=ORIENTED_EDGE('',*,*,#24972,.F.);
#35331=ORIENTED_EDGE('',*,*,#24973,.F.);
#35332=ORIENTED_EDGE('',*,*,#25499,.T.);
#35333=ORIENTED_EDGE('',*,*,#25210,.T.);
#35334=ORIENTED_EDGE('',*,*,#25209,.T.);
#35335=ORIENTED_EDGE('',*,*,#25499,.F.);
#35336=ORIENTED_EDGE('',*,*,#24974,.F.);
#35337=ORIENTED_EDGE('',*,*,#24975,.F.);
#35338=ORIENTED_EDGE('',*,*,#25500,.T.);
#35339=ORIENTED_EDGE('',*,*,#25212,.T.);
#35340=ORIENTED_EDGE('',*,*,#25211,.T.);
#35341=ORIENTED_EDGE('',*,*,#25500,.F.);
#35342=ORIENTED_EDGE('',*,*,#24976,.F.);
#35343=ORIENTED_EDGE('',*,*,#24977,.F.);
#35344=ORIENTED_EDGE('',*,*,#25501,.T.);
#35345=ORIENTED_EDGE('',*,*,#25160,.T.);
#35346=ORIENTED_EDGE('',*,*,#25159,.T.);
#35347=ORIENTED_EDGE('',*,*,#25501,.F.);
#35348=ORIENTED_EDGE('',*,*,#24978,.F.);
#35349=ORIENTED_EDGE('',*,*,#24979,.F.);
#35350=ORIENTED_EDGE('',*,*,#25502,.T.);
#35351=ORIENTED_EDGE('',*,*,#25208,.T.);
#35352=ORIENTED_EDGE('',*,*,#25207,.T.);
#35353=ORIENTED_EDGE('',*,*,#25502,.F.);
#35354=ORIENTED_EDGE('',*,*,#24980,.F.);
#35355=ORIENTED_EDGE('',*,*,#24981,.F.);
#35356=ORIENTED_EDGE('',*,*,#25503,.T.);
#35357=ORIENTED_EDGE('',*,*,#25158,.T.);
#35358=ORIENTED_EDGE('',*,*,#25157,.T.);
#35359=ORIENTED_EDGE('',*,*,#25503,.F.);
#35360=ORIENTED_EDGE('',*,*,#24982,.F.);
#35361=ORIENTED_EDGE('',*,*,#24983,.F.);
#35362=ORIENTED_EDGE('',*,*,#25504,.T.);
#35363=ORIENTED_EDGE('',*,*,#25162,.T.);
#35364=ORIENTED_EDGE('',*,*,#25161,.T.);
#35365=ORIENTED_EDGE('',*,*,#25504,.F.);
#35366=ORIENTED_EDGE('',*,*,#24984,.F.);
#35367=ORIENTED_EDGE('',*,*,#24985,.F.);
#35368=ORIENTED_EDGE('',*,*,#25505,.T.);
#35369=ORIENTED_EDGE('',*,*,#25340,.T.);
#35370=ORIENTED_EDGE('',*,*,#25339,.T.);
#35371=ORIENTED_EDGE('',*,*,#25505,.F.);
#35372=ORIENTED_EDGE('',*,*,#24986,.F.);
#35373=ORIENTED_EDGE('',*,*,#24987,.F.);
#35374=ORIENTED_EDGE('',*,*,#25506,.T.);
#35375=ORIENTED_EDGE('',*,*,#25336,.T.);
#35376=ORIENTED_EDGE('',*,*,#25335,.T.);
#35377=ORIENTED_EDGE('',*,*,#25506,.F.);
#35378=ORIENTED_EDGE('',*,*,#25507,.T.);
#35379=ORIENTED_EDGE('',*,*,#25370,.T.);
#35380=ORIENTED_EDGE('',*,*,#25508,.F.);
#35381=ORIENTED_EDGE('',*,*,#24988,.F.);
#35382=ORIENTED_EDGE('',*,*,#25509,.T.);
#35383=ORIENTED_EDGE('',*,*,#25367,.T.);
#35384=ORIENTED_EDGE('',*,*,#25507,.F.);
#35385=ORIENTED_EDGE('',*,*,#24991,.F.);
#35386=ORIENTED_EDGE('',*,*,#25508,.T.);
#35387=ORIENTED_EDGE('',*,*,#25369,.T.);
#35388=ORIENTED_EDGE('',*,*,#25510,.F.);
#35389=ORIENTED_EDGE('',*,*,#24989,.F.);
#35390=ORIENTED_EDGE('',*,*,#25510,.T.);
#35391=ORIENTED_EDGE('',*,*,#25368,.T.);
#35392=ORIENTED_EDGE('',*,*,#25509,.F.);
#35393=ORIENTED_EDGE('',*,*,#24990,.F.);
#35394=ORIENTED_EDGE('',*,*,#25511,.T.);
#35395=ORIENTED_EDGE('',*,*,#25297,.T.);
#35396=ORIENTED_EDGE('',*,*,#25512,.F.);
#35397=ORIENTED_EDGE('',*,*,#24992,.F.);
#35398=ORIENTED_EDGE('',*,*,#25513,.T.);
#35399=ORIENTED_EDGE('',*,*,#25298,.T.);
#35400=ORIENTED_EDGE('',*,*,#25511,.F.);
#35401=ORIENTED_EDGE('',*,*,#24995,.F.);
#35402=ORIENTED_EDGE('',*,*,#25512,.T.);
#35403=ORIENTED_EDGE('',*,*,#25300,.T.);
#35404=ORIENTED_EDGE('',*,*,#25514,.F.);
#35405=ORIENTED_EDGE('',*,*,#24993,.F.);
#35406=ORIENTED_EDGE('',*,*,#25514,.T.);
#35407=ORIENTED_EDGE('',*,*,#25299,.T.);
#35408=ORIENTED_EDGE('',*,*,#25513,.F.);
#35409=ORIENTED_EDGE('',*,*,#24994,.F.);
#35410=ORIENTED_EDGE('',*,*,#24996,.F.);
#35411=ORIENTED_EDGE('',*,*,#24997,.F.);
#35412=ORIENTED_EDGE('',*,*,#25515,.T.);
#35413=ORIENTED_EDGE('',*,*,#25282,.T.);
#35414=ORIENTED_EDGE('',*,*,#25281,.T.);
#35415=ORIENTED_EDGE('',*,*,#25515,.F.);
#35416=ORIENTED_EDGE('',*,*,#24998,.F.);
#35417=ORIENTED_EDGE('',*,*,#24999,.F.);
#35418=ORIENTED_EDGE('',*,*,#25516,.T.);
#35419=ORIENTED_EDGE('',*,*,#25290,.T.);
#35420=ORIENTED_EDGE('',*,*,#25289,.T.);
#35421=ORIENTED_EDGE('',*,*,#25516,.F.);
#35422=ORIENTED_EDGE('',*,*,#25000,.F.);
#35423=ORIENTED_EDGE('',*,*,#25001,.F.);
#35424=ORIENTED_EDGE('',*,*,#25517,.T.);
#35425=ORIENTED_EDGE('',*,*,#25164,.T.);
#35426=ORIENTED_EDGE('',*,*,#25163,.T.);
#35427=ORIENTED_EDGE('',*,*,#25517,.F.);
#35428=ORIENTED_EDGE('',*,*,#25002,.F.);
#35429=ORIENTED_EDGE('',*,*,#25003,.F.);
#35430=ORIENTED_EDGE('',*,*,#25518,.T.);
#35431=ORIENTED_EDGE('',*,*,#25152,.T.);
#35432=ORIENTED_EDGE('',*,*,#25151,.T.);
#35433=ORIENTED_EDGE('',*,*,#25518,.F.);
#35434=ORIENTED_EDGE('',*,*,#25004,.F.);
#35435=ORIENTED_EDGE('',*,*,#25005,.F.);
#35436=ORIENTED_EDGE('',*,*,#25519,.T.);
#35437=ORIENTED_EDGE('',*,*,#25150,.T.);
#35438=ORIENTED_EDGE('',*,*,#25149,.T.);
#35439=ORIENTED_EDGE('',*,*,#25519,.F.);
#35440=ORIENTED_EDGE('',*,*,#25006,.F.);
#35441=ORIENTED_EDGE('',*,*,#25007,.F.);
#35442=ORIENTED_EDGE('',*,*,#25520,.T.);
#35443=ORIENTED_EDGE('',*,*,#25230,.T.);
#35444=ORIENTED_EDGE('',*,*,#25229,.T.);
#35445=ORIENTED_EDGE('',*,*,#25520,.F.);
#35446=ORIENTED_EDGE('',*,*,#25008,.F.);
#35447=ORIENTED_EDGE('',*,*,#25009,.F.);
#35448=ORIENTED_EDGE('',*,*,#25521,.T.);
#35449=ORIENTED_EDGE('',*,*,#25324,.T.);
#35450=ORIENTED_EDGE('',*,*,#25323,.T.);
#35451=ORIENTED_EDGE('',*,*,#25521,.F.);
#35452=ORIENTED_EDGE('',*,*,#25010,.F.);
#35453=ORIENTED_EDGE('',*,*,#25011,.F.);
#35454=ORIENTED_EDGE('',*,*,#25522,.T.);
#35455=ORIENTED_EDGE('',*,*,#25112,.T.);
#35456=ORIENTED_EDGE('',*,*,#25111,.T.);
#35457=ORIENTED_EDGE('',*,*,#25522,.F.);
#35458=ORIENTED_EDGE('',*,*,#25012,.F.);
#35459=ORIENTED_EDGE('',*,*,#25013,.F.);
#35460=ORIENTED_EDGE('',*,*,#25523,.T.);
#35461=ORIENTED_EDGE('',*,*,#25110,.T.);
#35462=ORIENTED_EDGE('',*,*,#25109,.T.);
#35463=ORIENTED_EDGE('',*,*,#25523,.F.);
#35464=ORIENTED_EDGE('',*,*,#25014,.F.);
#35465=ORIENTED_EDGE('',*,*,#25015,.F.);
#35466=ORIENTED_EDGE('',*,*,#25524,.T.);
#35467=ORIENTED_EDGE('',*,*,#25200,.T.);
#35468=ORIENTED_EDGE('',*,*,#25199,.T.);
#35469=ORIENTED_EDGE('',*,*,#25524,.F.);
#35470=ORIENTED_EDGE('',*,*,#25016,.F.);
#35471=ORIENTED_EDGE('',*,*,#25017,.F.);
#35472=ORIENTED_EDGE('',*,*,#25525,.T.);
#35473=ORIENTED_EDGE('',*,*,#25116,.T.);
#35474=ORIENTED_EDGE('',*,*,#25115,.T.);
#35475=ORIENTED_EDGE('',*,*,#25525,.F.);
#35476=ORIENTED_EDGE('',*,*,#25018,.F.);
#35477=ORIENTED_EDGE('',*,*,#25019,.F.);
#35478=ORIENTED_EDGE('',*,*,#25526,.T.);
#35479=ORIENTED_EDGE('',*,*,#25140,.T.);
#35480=ORIENTED_EDGE('',*,*,#25139,.T.);
#35481=ORIENTED_EDGE('',*,*,#25526,.F.);
#35482=ORIENTED_EDGE('',*,*,#25020,.F.);
#35483=ORIENTED_EDGE('',*,*,#25021,.F.);
#35484=ORIENTED_EDGE('',*,*,#25527,.T.);
#35485=ORIENTED_EDGE('',*,*,#25196,.T.);
#35486=ORIENTED_EDGE('',*,*,#25195,.T.);
#35487=ORIENTED_EDGE('',*,*,#25527,.F.);
#35488=ORIENTED_EDGE('',*,*,#25022,.F.);
#35489=ORIENTED_EDGE('',*,*,#25023,.F.);
#35490=ORIENTED_EDGE('',*,*,#25528,.T.);
#35491=ORIENTED_EDGE('',*,*,#25182,.T.);
#35492=ORIENTED_EDGE('',*,*,#25181,.T.);
#35493=ORIENTED_EDGE('',*,*,#25528,.F.);
#35494=ORIENTED_EDGE('',*,*,#25024,.F.);
#35495=ORIENTED_EDGE('',*,*,#25025,.F.);
#35496=ORIENTED_EDGE('',*,*,#25529,.T.);
#35497=ORIENTED_EDGE('',*,*,#25224,.T.);
#35498=ORIENTED_EDGE('',*,*,#25223,.T.);
#35499=ORIENTED_EDGE('',*,*,#25529,.F.);
#35500=ORIENTED_EDGE('',*,*,#25026,.F.);
#35501=ORIENTED_EDGE('',*,*,#25027,.F.);
#35502=ORIENTED_EDGE('',*,*,#25530,.T.);
#35503=ORIENTED_EDGE('',*,*,#25242,.T.);
#35504=ORIENTED_EDGE('',*,*,#25241,.T.);
#35505=ORIENTED_EDGE('',*,*,#25530,.F.);
#35506=ORIENTED_EDGE('',*,*,#25028,.F.);
#35507=ORIENTED_EDGE('',*,*,#25029,.F.);
#35508=ORIENTED_EDGE('',*,*,#25531,.T.);
#35509=ORIENTED_EDGE('',*,*,#25220,.T.);
#35510=ORIENTED_EDGE('',*,*,#25219,.T.);
#35511=ORIENTED_EDGE('',*,*,#25531,.F.);
#35512=ORIENTED_EDGE('',*,*,#25030,.F.);
#35513=ORIENTED_EDGE('',*,*,#25031,.F.);
#35514=ORIENTED_EDGE('',*,*,#25532,.T.);
#35515=ORIENTED_EDGE('',*,*,#25248,.T.);
#35516=ORIENTED_EDGE('',*,*,#25247,.T.);
#35517=ORIENTED_EDGE('',*,*,#25532,.F.);
#35518=ORIENTED_EDGE('',*,*,#25032,.F.);
#35519=ORIENTED_EDGE('',*,*,#25033,.F.);
#35520=ORIENTED_EDGE('',*,*,#25533,.T.);
#35521=ORIENTED_EDGE('',*,*,#25226,.T.);
#35522=ORIENTED_EDGE('',*,*,#25225,.T.);
#35523=ORIENTED_EDGE('',*,*,#25533,.F.);
#35524=ORIENTED_EDGE('',*,*,#25034,.F.);
#35525=ORIENTED_EDGE('',*,*,#25035,.F.);
#35526=ORIENTED_EDGE('',*,*,#25534,.T.);
#35527=ORIENTED_EDGE('',*,*,#25280,.T.);
#35528=ORIENTED_EDGE('',*,*,#25279,.T.);
#35529=ORIENTED_EDGE('',*,*,#25534,.F.);
#35530=ORIENTED_EDGE('',*,*,#25036,.F.);
#35531=ORIENTED_EDGE('',*,*,#25037,.F.);
#35532=ORIENTED_EDGE('',*,*,#25535,.T.);
#35533=ORIENTED_EDGE('',*,*,#25296,.T.);
#35534=ORIENTED_EDGE('',*,*,#25295,.T.);
#35535=ORIENTED_EDGE('',*,*,#25535,.F.);
#35536=ORIENTED_EDGE('',*,*,#25038,.F.);
#35537=ORIENTED_EDGE('',*,*,#25039,.F.);
#35538=ORIENTED_EDGE('',*,*,#25536,.T.);
#35539=ORIENTED_EDGE('',*,*,#25274,.T.);
#35540=ORIENTED_EDGE('',*,*,#25273,.T.);
#35541=ORIENTED_EDGE('',*,*,#25536,.F.);
#35542=ORIENTED_EDGE('',*,*,#25040,.F.);
#35543=ORIENTED_EDGE('',*,*,#25041,.F.);
#35544=ORIENTED_EDGE('',*,*,#25537,.T.);
#35545=ORIENTED_EDGE('',*,*,#25272,.T.);
#35546=ORIENTED_EDGE('',*,*,#25271,.T.);
#35547=ORIENTED_EDGE('',*,*,#25537,.F.);
#35548=ORIENTED_EDGE('',*,*,#25042,.F.);
#35549=ORIENTED_EDGE('',*,*,#25043,.F.);
#35550=ORIENTED_EDGE('',*,*,#25538,.T.);
#35551=ORIENTED_EDGE('',*,*,#25186,.T.);
#35552=ORIENTED_EDGE('',*,*,#25185,.T.);
#35553=ORIENTED_EDGE('',*,*,#25538,.F.);
#35554=ORIENTED_EDGE('',*,*,#25044,.F.);
#35555=ORIENTED_EDGE('',*,*,#25045,.F.);
#35556=ORIENTED_EDGE('',*,*,#25539,.T.);
#35557=ORIENTED_EDGE('',*,*,#25176,.T.);
#35558=ORIENTED_EDGE('',*,*,#25175,.T.);
#35559=ORIENTED_EDGE('',*,*,#25539,.F.);
#35560=ORIENTED_EDGE('',*,*,#25046,.F.);
#35561=ORIENTED_EDGE('',*,*,#25047,.F.);
#35562=ORIENTED_EDGE('',*,*,#25540,.T.);
#35563=ORIENTED_EDGE('',*,*,#25288,.T.);
#35564=ORIENTED_EDGE('',*,*,#25287,.T.);
#35565=ORIENTED_EDGE('',*,*,#25540,.F.);
#35566=ORIENTED_EDGE('',*,*,#25048,.F.);
#35567=ORIENTED_EDGE('',*,*,#25049,.F.);
#35568=ORIENTED_EDGE('',*,*,#25541,.T.);
#35569=ORIENTED_EDGE('',*,*,#25222,.T.);
#35570=ORIENTED_EDGE('',*,*,#25221,.T.);
#35571=ORIENTED_EDGE('',*,*,#25541,.F.);
#35572=ORIENTED_EDGE('',*,*,#25050,.F.);
#35573=ORIENTED_EDGE('',*,*,#25051,.F.);
#35574=ORIENTED_EDGE('',*,*,#25542,.T.);
#35575=ORIENTED_EDGE('',*,*,#25244,.T.);
#35576=ORIENTED_EDGE('',*,*,#25243,.T.);
#35577=ORIENTED_EDGE('',*,*,#25542,.F.);
#35578=ORIENTED_EDGE('',*,*,#25052,.F.);
#35579=ORIENTED_EDGE('',*,*,#25053,.F.);
#35580=ORIENTED_EDGE('',*,*,#25543,.T.);
#35581=ORIENTED_EDGE('',*,*,#25130,.T.);
#35582=ORIENTED_EDGE('',*,*,#25129,.T.);
#35583=ORIENTED_EDGE('',*,*,#25543,.F.);
#35584=ORIENTED_EDGE('',*,*,#25054,.F.);
#35585=ORIENTED_EDGE('',*,*,#25055,.F.);
#35586=ORIENTED_EDGE('',*,*,#25544,.T.);
#35587=ORIENTED_EDGE('',*,*,#25328,.T.);
#35588=ORIENTED_EDGE('',*,*,#25327,.T.);
#35589=ORIENTED_EDGE('',*,*,#25544,.F.);
#35590=ORIENTED_EDGE('',*,*,#25056,.F.);
#35591=ORIENTED_EDGE('',*,*,#25057,.F.);
#35592=ORIENTED_EDGE('',*,*,#25545,.T.);
#35593=ORIENTED_EDGE('',*,*,#25330,.T.);
#35594=ORIENTED_EDGE('',*,*,#25329,.T.);
#35595=ORIENTED_EDGE('',*,*,#25545,.F.);
#35596=ORIENTED_EDGE('',*,*,#25058,.F.);
#35597=ORIENTED_EDGE('',*,*,#25059,.F.);
#35598=ORIENTED_EDGE('',*,*,#25546,.T.);
#35599=ORIENTED_EDGE('',*,*,#25344,.T.);
#35600=ORIENTED_EDGE('',*,*,#25343,.T.);
#35601=ORIENTED_EDGE('',*,*,#25546,.F.);
#35602=ORIENTED_EDGE('',*,*,#25060,.F.);
#35603=ORIENTED_EDGE('',*,*,#25061,.F.);
#35604=ORIENTED_EDGE('',*,*,#25547,.T.);
#35605=ORIENTED_EDGE('',*,*,#25360,.T.);
#35606=ORIENTED_EDGE('',*,*,#25359,.T.);
#35607=ORIENTED_EDGE('',*,*,#25547,.F.);
#35608=ORIENTED_EDGE('',*,*,#25062,.F.);
#35609=ORIENTED_EDGE('',*,*,#25063,.F.);
#35610=ORIENTED_EDGE('',*,*,#25548,.T.);
#35611=ORIENTED_EDGE('',*,*,#25302,.T.);
#35612=ORIENTED_EDGE('',*,*,#25301,.T.);
#35613=ORIENTED_EDGE('',*,*,#25548,.F.);
#35614=ORIENTED_EDGE('',*,*,#25064,.F.);
#35615=ORIENTED_EDGE('',*,*,#25065,.F.);
#35616=ORIENTED_EDGE('',*,*,#25549,.T.);
#35617=ORIENTED_EDGE('',*,*,#25326,.T.);
#35618=ORIENTED_EDGE('',*,*,#25325,.T.);
#35619=ORIENTED_EDGE('',*,*,#25549,.F.);
#35620=ORIENTED_EDGE('',*,*,#25066,.F.);
#35621=ORIENTED_EDGE('',*,*,#25067,.F.);
#35622=ORIENTED_EDGE('',*,*,#25550,.T.);
#35623=ORIENTED_EDGE('',*,*,#25408,.T.);
#35624=ORIENTED_EDGE('',*,*,#25407,.T.);
#35625=ORIENTED_EDGE('',*,*,#25550,.F.);
#35626=ORIENTED_EDGE('',*,*,#25068,.F.);
#35627=ORIENTED_EDGE('',*,*,#25069,.F.);
#35628=ORIENTED_EDGE('',*,*,#25551,.T.);
#35629=ORIENTED_EDGE('',*,*,#25404,.T.);
#35630=ORIENTED_EDGE('',*,*,#25403,.T.);
#35631=ORIENTED_EDGE('',*,*,#25551,.F.);
#35632=ORIENTED_EDGE('',*,*,#25070,.F.);
#35633=ORIENTED_EDGE('',*,*,#25071,.F.);
#35634=ORIENTED_EDGE('',*,*,#25552,.T.);
#35635=ORIENTED_EDGE('',*,*,#25402,.T.);
#35636=ORIENTED_EDGE('',*,*,#25401,.T.);
#35637=ORIENTED_EDGE('',*,*,#25552,.F.);
#35638=ORIENTED_EDGE('',*,*,#25072,.F.);
#35639=ORIENTED_EDGE('',*,*,#25073,.F.);
#35640=ORIENTED_EDGE('',*,*,#25553,.T.);
#35641=ORIENTED_EDGE('',*,*,#25406,.T.);
#35642=ORIENTED_EDGE('',*,*,#25405,.T.);
#35643=ORIENTED_EDGE('',*,*,#25553,.F.);
#35644=ORIENTED_EDGE('',*,*,#25074,.F.);
#35645=ORIENTED_EDGE('',*,*,#25075,.F.);
#35646=ORIENTED_EDGE('',*,*,#25554,.T.);
#35647=ORIENTED_EDGE('',*,*,#25388,.T.);
#35648=ORIENTED_EDGE('',*,*,#25387,.T.);
#35649=ORIENTED_EDGE('',*,*,#25554,.F.);
#35650=ORIENTED_EDGE('',*,*,#25076,.F.);
#35651=ORIENTED_EDGE('',*,*,#25077,.F.);
#35652=ORIENTED_EDGE('',*,*,#25555,.T.);
#35653=ORIENTED_EDGE('',*,*,#25392,.T.);
#35654=ORIENTED_EDGE('',*,*,#25391,.T.);
#35655=ORIENTED_EDGE('',*,*,#25555,.F.);
#35656=ORIENTED_EDGE('',*,*,#25078,.F.);
#35657=ORIENTED_EDGE('',*,*,#25079,.F.);
#35658=ORIENTED_EDGE('',*,*,#25556,.T.);
#35659=ORIENTED_EDGE('',*,*,#25394,.T.);
#35660=ORIENTED_EDGE('',*,*,#25393,.T.);
#35661=ORIENTED_EDGE('',*,*,#25556,.F.);
#35662=ORIENTED_EDGE('',*,*,#25080,.F.);
#35663=ORIENTED_EDGE('',*,*,#25081,.F.);
#35664=ORIENTED_EDGE('',*,*,#25557,.T.);
#35665=ORIENTED_EDGE('',*,*,#25382,.T.);
#35666=ORIENTED_EDGE('',*,*,#25381,.T.);
#35667=ORIENTED_EDGE('',*,*,#25557,.F.);
#35668=ORIENTED_EDGE('',*,*,#25082,.F.);
#35669=ORIENTED_EDGE('',*,*,#25083,.F.);
#35670=ORIENTED_EDGE('',*,*,#25558,.T.);
#35671=ORIENTED_EDGE('',*,*,#25398,.T.);
#35672=ORIENTED_EDGE('',*,*,#25397,.T.);
#35673=ORIENTED_EDGE('',*,*,#25558,.F.);
#35674=ORIENTED_EDGE('',*,*,#25084,.F.);
#35675=ORIENTED_EDGE('',*,*,#25085,.F.);
#35676=ORIENTED_EDGE('',*,*,#25559,.T.);
#35677=ORIENTED_EDGE('',*,*,#25400,.T.);
#35678=ORIENTED_EDGE('',*,*,#25399,.T.);
#35679=ORIENTED_EDGE('',*,*,#25559,.F.);
#35680=ORIENTED_EDGE('',*,*,#25086,.F.);
#35681=ORIENTED_EDGE('',*,*,#25087,.F.);
#35682=ORIENTED_EDGE('',*,*,#25560,.T.);
#35683=ORIENTED_EDGE('',*,*,#25384,.T.);
#35684=ORIENTED_EDGE('',*,*,#25383,.T.);
#35685=ORIENTED_EDGE('',*,*,#25560,.F.);
#35686=ORIENTED_EDGE('',*,*,#25088,.F.);
#35687=ORIENTED_EDGE('',*,*,#25089,.F.);
#35688=ORIENTED_EDGE('',*,*,#25561,.T.);
#35689=ORIENTED_EDGE('',*,*,#25378,.T.);
#35690=ORIENTED_EDGE('',*,*,#25377,.T.);
#35691=ORIENTED_EDGE('',*,*,#25561,.F.);
#35692=ORIENTED_EDGE('',*,*,#25090,.F.);
#35693=ORIENTED_EDGE('',*,*,#25091,.F.);
#35694=ORIENTED_EDGE('',*,*,#25562,.T.);
#35695=ORIENTED_EDGE('',*,*,#25380,.T.);
#35696=ORIENTED_EDGE('',*,*,#25379,.T.);
#35697=ORIENTED_EDGE('',*,*,#25562,.F.);
#35698=ORIENTED_EDGE('',*,*,#25092,.F.);
#35699=ORIENTED_EDGE('',*,*,#25093,.F.);
#35700=ORIENTED_EDGE('',*,*,#25563,.T.);
#35701=ORIENTED_EDGE('',*,*,#25104,.T.);
#35702=ORIENTED_EDGE('',*,*,#25103,.T.);
#35703=ORIENTED_EDGE('',*,*,#25563,.F.);
#35704=ORIENTED_EDGE('',*,*,#25094,.F.);
#35705=ORIENTED_EDGE('',*,*,#25095,.F.);
#35706=ORIENTED_EDGE('',*,*,#25564,.T.);
#35707=ORIENTED_EDGE('',*,*,#25386,.T.);
#35708=ORIENTED_EDGE('',*,*,#25385,.T.);
#35709=ORIENTED_EDGE('',*,*,#25564,.F.);
#35710=ORIENTED_EDGE('',*,*,#25096,.F.);
#35711=ORIENTED_EDGE('',*,*,#25097,.F.);
#35712=ORIENTED_EDGE('',*,*,#25565,.T.);
#35713=ORIENTED_EDGE('',*,*,#25390,.T.);
#35714=ORIENTED_EDGE('',*,*,#25389,.T.);
#35715=ORIENTED_EDGE('',*,*,#25565,.F.);
#35716=ORIENTED_EDGE('',*,*,#25098,.F.);
#35717=ORIENTED_EDGE('',*,*,#25099,.F.);
#35718=ORIENTED_EDGE('',*,*,#25566,.T.);
#35719=ORIENTED_EDGE('',*,*,#25396,.T.);
#35720=ORIENTED_EDGE('',*,*,#25395,.T.);
#35721=ORIENTED_EDGE('',*,*,#25566,.F.);
#35722=ORIENTED_EDGE('',*,*,#25100,.F.);
#35723=ORIENTED_EDGE('',*,*,#25101,.F.);
#35724=ORIENTED_EDGE('',*,*,#25567,.T.);
#35725=ORIENTED_EDGE('',*,*,#25376,.T.);
#35726=ORIENTED_EDGE('',*,*,#25375,.T.);
#35727=ORIENTED_EDGE('',*,*,#25567,.F.);
#35728=ORIENTED_EDGE('',*,*,#25568,.T.);
#35729=ORIENTED_EDGE('',*,*,#25569,.T.);
#35730=ORIENTED_EDGE('',*,*,#25570,.T.);
#35731=ORIENTED_EDGE('',*,*,#25571,.T.);
#35732=ORIENTED_EDGE('',*,*,#25572,.T.);
#35733=ORIENTED_EDGE('',*,*,#25569,.F.);
#35734=ORIENTED_EDGE('',*,*,#25573,.T.);
#35735=ORIENTED_EDGE('',*,*,#25574,.F.);
#35736=ORIENTED_EDGE('',*,*,#25575,.T.);
#35737=ORIENTED_EDGE('',*,*,#25571,.F.);
#35738=ORIENTED_EDGE('',*,*,#25576,.T.);
#35739=ORIENTED_EDGE('',*,*,#25577,.T.);
#35740=ORIENTED_EDGE('',*,*,#25578,.T.);
#35741=ORIENTED_EDGE('',*,*,#25577,.F.);
#35742=ORIENTED_EDGE('',*,*,#25579,.T.);
#35743=ORIENTED_EDGE('',*,*,#25574,.T.);
#35744=ORIENTED_EDGE('',*,*,#25573,.F.);
#35745=ORIENTED_EDGE('',*,*,#25580,.T.);
#35746=ORIENTED_EDGE('',*,*,#25581,.F.);
#35747=ORIENTED_EDGE('',*,*,#25582,.F.);
#35748=ORIENTED_EDGE('',*,*,#25568,.F.);
#35749=ORIENTED_EDGE('',*,*,#25583,.T.);
#35750=ORIENTED_EDGE('',*,*,#25584,.F.);
#35751=ORIENTED_EDGE('',*,*,#25580,.F.);
#35752=ORIENTED_EDGE('',*,*,#25585,.T.);
#35753=ORIENTED_EDGE('',*,*,#25586,.T.);
#35754=ORIENTED_EDGE('',*,*,#25587,.T.);
#35755=ORIENTED_EDGE('',*,*,#25588,.T.);
#35756=ORIENTED_EDGE('',*,*,#25570,.F.);
#35757=ORIENTED_EDGE('',*,*,#25572,.F.);
#35758=ORIENTED_EDGE('',*,*,#25579,.F.);
#35759=ORIENTED_EDGE('',*,*,#25576,.F.);
#35760=ORIENTED_EDGE('',*,*,#25589,.T.);
#35761=ORIENTED_EDGE('',*,*,#25590,.T.);
#35762=ORIENTED_EDGE('',*,*,#25591,.T.);
#35763=ORIENTED_EDGE('',*,*,#25592,.T.);
#35764=ORIENTED_EDGE('',*,*,#25593,.T.);
#35765=ORIENTED_EDGE('',*,*,#25593,.F.);
#35766=ORIENTED_EDGE('',*,*,#25594,.T.);
#35767=ORIENTED_EDGE('',*,*,#25595,.F.);
#35768=ORIENTED_EDGE('',*,*,#25596,.F.);
#35769=ORIENTED_EDGE('',*,*,#25597,.T.);
#35770=ORIENTED_EDGE('',*,*,#25598,.T.);
#35771=ORIENTED_EDGE('',*,*,#25592,.F.);
#35772=ORIENTED_EDGE('',*,*,#25599,.T.);
#35773=ORIENTED_EDGE('',*,*,#25600,.F.);
#35774=ORIENTED_EDGE('',*,*,#25594,.F.);
#35775=ORIENTED_EDGE('',*,*,#25595,.T.);
#35776=ORIENTED_EDGE('',*,*,#25600,.T.);
#35777=ORIENTED_EDGE('',*,*,#25601,.T.);
#35778=ORIENTED_EDGE('',*,*,#25602,.T.);
#35779=ORIENTED_EDGE('',*,*,#25603,.T.);
#35780=ORIENTED_EDGE('',*,*,#25604,.T.);
#35781=ORIENTED_EDGE('',*,*,#25605,.T.);
#35782=ORIENTED_EDGE('',*,*,#25606,.T.);
#35783=ORIENTED_EDGE('',*,*,#25607,.T.);
#35784=ORIENTED_EDGE('',*,*,#25608,.T.);
#35785=ORIENTED_EDGE('',*,*,#25608,.F.);
#35786=ORIENTED_EDGE('',*,*,#25609,.T.);
#35787=ORIENTED_EDGE('',*,*,#25610,.F.);
#35788=ORIENTED_EDGE('',*,*,#25611,.F.);
#35789=ORIENTED_EDGE('',*,*,#25612,.T.);
#35790=ORIENTED_EDGE('',*,*,#25613,.T.);
#35791=ORIENTED_EDGE('',*,*,#25607,.F.);
#35792=ORIENTED_EDGE('',*,*,#25614,.T.);
#35793=ORIENTED_EDGE('',*,*,#25615,.F.);
#35794=ORIENTED_EDGE('',*,*,#25609,.F.);
#35795=ORIENTED_EDGE('',*,*,#25610,.T.);
#35796=ORIENTED_EDGE('',*,*,#25615,.T.);
#35797=ORIENTED_EDGE('',*,*,#25616,.T.);
#35798=ORIENTED_EDGE('',*,*,#25617,.T.);
#35799=ORIENTED_EDGE('',*,*,#25618,.T.);
#35800=ORIENTED_EDGE('',*,*,#25589,.F.);
#35801=ORIENTED_EDGE('',*,*,#25598,.F.);
#35802=ORIENTED_EDGE('',*,*,#25619,.T.);
#35803=ORIENTED_EDGE('',*,*,#25611,.T.);
#35804=ORIENTED_EDGE('',*,*,#25618,.F.);
#35805=ORIENTED_EDGE('',*,*,#25620,.T.);
#35806=ORIENTED_EDGE('',*,*,#25603,.F.);
#35807=ORIENTED_EDGE('',*,*,#25621,.T.);
#35808=ORIENTED_EDGE('',*,*,#25604,.F.);
#35809=ORIENTED_EDGE('',*,*,#25613,.F.);
#35810=ORIENTED_EDGE('',*,*,#25622,.T.);
#35811=ORIENTED_EDGE('',*,*,#25596,.T.);
#35812=ORIENTED_EDGE('',*,*,#25623,.F.);
#35813=ORIENTED_EDGE('',*,*,#25624,.F.);
#35814=ORIENTED_EDGE('',*,*,#25585,.F.);
#35815=ORIENTED_EDGE('',*,*,#25625,.T.);
#35816=ORIENTED_EDGE('',*,*,#25626,.F.);
#35817=ORIENTED_EDGE('',*,*,#25605,.F.);
#35818=ORIENTED_EDGE('',*,*,#25621,.F.);
#35819=ORIENTED_EDGE('',*,*,#25602,.F.);
#35820=ORIENTED_EDGE('',*,*,#25597,.F.);
#35821=ORIENTED_EDGE('',*,*,#25622,.F.);
#35822=ORIENTED_EDGE('',*,*,#25612,.F.);
#35823=ORIENTED_EDGE('',*,*,#25619,.F.);
#35824=ORIENTED_EDGE('',*,*,#25581,.T.);
#35825=ORIENTED_EDGE('',*,*,#25584,.T.);
#35826=ORIENTED_EDGE('',*,*,#25627,.T.);
#35827=ORIENTED_EDGE('',*,*,#25628,.T.);
#35828=ORIENTED_EDGE('',*,*,#25588,.F.);
#35829=ORIENTED_EDGE('',*,*,#25629,.T.);
#35830=ORIENTED_EDGE('',*,*,#25630,.F.);
#35831=ORIENTED_EDGE('',*,*,#25625,.F.);
#35832=ORIENTED_EDGE('',*,*,#25626,.T.);
#35833=ORIENTED_EDGE('',*,*,#25630,.T.);
#35834=ORIENTED_EDGE('',*,*,#25631,.T.);
#35835=ORIENTED_EDGE('',*,*,#25616,.F.);
#35836=ORIENTED_EDGE('',*,*,#25614,.F.);
#35837=ORIENTED_EDGE('',*,*,#25606,.F.);
#35838=ORIENTED_EDGE('',*,*,#25586,.F.);
#35839=ORIENTED_EDGE('',*,*,#25624,.T.);
#35840=ORIENTED_EDGE('',*,*,#25632,.F.);
#35841=ORIENTED_EDGE('',*,*,#25633,.F.);
#35842=ORIENTED_EDGE('',*,*,#25634,.T.);
#35843=ORIENTED_EDGE('',*,*,#25632,.T.);
#35844=ORIENTED_EDGE('',*,*,#25623,.T.);
#35845=ORIENTED_EDGE('',*,*,#25601,.F.);
#35846=ORIENTED_EDGE('',*,*,#25599,.F.);
#35847=ORIENTED_EDGE('',*,*,#25591,.F.);
#35848=ORIENTED_EDGE('',*,*,#25578,.F.);
#35849=ORIENTED_EDGE('',*,*,#25582,.T.);
#35850=ORIENTED_EDGE('',*,*,#25628,.F.);
#35851=ORIENTED_EDGE('',*,*,#25635,.F.);
#35852=ORIENTED_EDGE('',*,*,#25575,.F.);
#35853=ORIENTED_EDGE('',*,*,#25635,.T.);
#35854=ORIENTED_EDGE('',*,*,#25627,.F.);
#35855=ORIENTED_EDGE('',*,*,#25583,.F.);
#35856=ORIENTED_EDGE('',*,*,#25590,.F.);
#35857=ORIENTED_EDGE('',*,*,#25620,.F.);
#35858=ORIENTED_EDGE('',*,*,#25617,.F.);
#35859=ORIENTED_EDGE('',*,*,#25631,.F.);
#35860=ORIENTED_EDGE('',*,*,#25629,.F.);
#35861=ORIENTED_EDGE('',*,*,#25587,.F.);
#35862=ORIENTED_EDGE('',*,*,#25633,.T.);
#35863=ORIENTED_EDGE('',*,*,#25634,.F.);
#35864=ORIENTED_EDGE('',*,*,#25636,.T.);
#35865=ORIENTED_EDGE('',*,*,#25637,.T.);
#35866=ORIENTED_EDGE('',*,*,#25638,.T.);
#35867=ORIENTED_EDGE('',*,*,#25639,.T.);
#35868=ORIENTED_EDGE('',*,*,#25636,.F.);
#35869=ORIENTED_EDGE('',*,*,#25640,.F.);
#35870=ORIENTED_EDGE('',*,*,#25641,.F.);
#35871=ORIENTED_EDGE('',*,*,#25642,.F.);
#35872=ORIENTED_EDGE('',*,*,#25643,.T.);
#35873=ORIENTED_EDGE('',*,*,#25644,.T.);
#35874=ORIENTED_EDGE('',*,*,#25645,.T.);
#35875=ORIENTED_EDGE('',*,*,#25646,.F.);
#35876=ORIENTED_EDGE('',*,*,#25639,.F.);
#35877=ORIENTED_EDGE('',*,*,#25647,.T.);
#35878=ORIENTED_EDGE('',*,*,#25648,.F.);
#35879=ORIENTED_EDGE('',*,*,#25649,.F.);
#35880=ORIENTED_EDGE('',*,*,#25650,.F.);
#35881=ORIENTED_EDGE('',*,*,#25651,.F.);
#35882=ORIENTED_EDGE('',*,*,#25652,.F.);
#35883=ORIENTED_EDGE('',*,*,#25653,.T.);
#35884=ORIENTED_EDGE('',*,*,#25654,.F.);
#35885=ORIENTED_EDGE('',*,*,#25655,.T.);
#35886=ORIENTED_EDGE('',*,*,#25656,.F.);
#35887=ORIENTED_EDGE('',*,*,#25657,.T.);
#35888=ORIENTED_EDGE('',*,*,#25658,.F.);
#35889=ORIENTED_EDGE('',*,*,#25659,.T.);
#35890=ORIENTED_EDGE('',*,*,#25660,.F.);
#35891=ORIENTED_EDGE('',*,*,#25640,.T.);
#35892=ORIENTED_EDGE('',*,*,#25661,.T.);
#35893=ORIENTED_EDGE('',*,*,#25662,.T.);
#35894=ORIENTED_EDGE('',*,*,#25641,.T.);
#35895=ORIENTED_EDGE('',*,*,#25660,.T.);
#35896=ORIENTED_EDGE('',*,*,#25661,.F.);
#35897=ORIENTED_EDGE('',*,*,#25659,.F.);
#35898=ORIENTED_EDGE('',*,*,#25663,.F.);
#35899=ORIENTED_EDGE('',*,*,#25664,.F.);
#35900=ORIENTED_EDGE('',*,*,#25665,.T.);
#35901=ORIENTED_EDGE('',*,*,#25666,.T.);
#35902=ORIENTED_EDGE('',*,*,#25667,.T.);
#35903=ORIENTED_EDGE('',*,*,#25668,.F.);
#35904=ORIENTED_EDGE('',*,*,#25669,.T.);
#35905=ORIENTED_EDGE('',*,*,#25670,.T.);
#35906=ORIENTED_EDGE('',*,*,#25663,.T.);
#35907=ORIENTED_EDGE('',*,*,#25658,.T.);
#35908=ORIENTED_EDGE('',*,*,#25669,.F.);
#35909=ORIENTED_EDGE('',*,*,#25657,.F.);
#35910=ORIENTED_EDGE('',*,*,#25671,.F.);
#35911=ORIENTED_EDGE('',*,*,#25672,.F.);
#35912=ORIENTED_EDGE('',*,*,#25673,.T.);
#35913=ORIENTED_EDGE('',*,*,#25674,.T.);
#35914=ORIENTED_EDGE('',*,*,#25675,.T.);
#35915=ORIENTED_EDGE('',*,*,#25676,.F.);
#35916=ORIENTED_EDGE('',*,*,#25677,.T.);
#35917=ORIENTED_EDGE('',*,*,#25678,.T.);
#35918=ORIENTED_EDGE('',*,*,#25671,.T.);
#35919=ORIENTED_EDGE('',*,*,#25656,.T.);
#35920=ORIENTED_EDGE('',*,*,#25677,.F.);
#35921=ORIENTED_EDGE('',*,*,#25655,.F.);
#35922=ORIENTED_EDGE('',*,*,#25679,.F.);
#35923=ORIENTED_EDGE('',*,*,#25680,.F.);
#35924=ORIENTED_EDGE('',*,*,#25681,.T.);
#35925=ORIENTED_EDGE('',*,*,#25682,.T.);
#35926=ORIENTED_EDGE('',*,*,#25683,.T.);
#35927=ORIENTED_EDGE('',*,*,#25684,.F.);
#35928=ORIENTED_EDGE('',*,*,#25685,.T.);
#35929=ORIENTED_EDGE('',*,*,#25686,.T.);
#35930=ORIENTED_EDGE('',*,*,#25679,.T.);
#35931=ORIENTED_EDGE('',*,*,#25654,.T.);
#35932=ORIENTED_EDGE('',*,*,#25685,.F.);
#35933=ORIENTED_EDGE('',*,*,#25653,.F.);
#35934=ORIENTED_EDGE('',*,*,#25687,.F.);
#35935=ORIENTED_EDGE('',*,*,#25688,.F.);
#35936=ORIENTED_EDGE('',*,*,#25689,.T.);
#35937=ORIENTED_EDGE('',*,*,#25690,.T.);
#35938=ORIENTED_EDGE('',*,*,#25691,.T.);
#35939=ORIENTED_EDGE('',*,*,#25692,.F.);
#35940=ORIENTED_EDGE('',*,*,#25693,.T.);
#35941=ORIENTED_EDGE('',*,*,#25694,.T.);
#35942=ORIENTED_EDGE('',*,*,#25695,.T.);
#35943=ORIENTED_EDGE('',*,*,#25696,.T.);
#35944=ORIENTED_EDGE('',*,*,#25697,.T.);
#35945=ORIENTED_EDGE('',*,*,#25698,.T.);
#35946=ORIENTED_EDGE('',*,*,#25695,.F.);
#35947=ORIENTED_EDGE('',*,*,#25699,.T.);
#35948=ORIENTED_EDGE('',*,*,#25700,.T.);
#35949=ORIENTED_EDGE('',*,*,#25701,.T.);
#35950=ORIENTED_EDGE('',*,*,#25697,.F.);
#35951=ORIENTED_EDGE('',*,*,#25702,.T.);
#35952=ORIENTED_EDGE('',*,*,#25703,.T.);
#35953=ORIENTED_EDGE('',*,*,#25704,.T.);
#35954=ORIENTED_EDGE('',*,*,#25700,.F.);
#35955=ORIENTED_EDGE('',*,*,#25705,.T.);
#35956=ORIENTED_EDGE('',*,*,#25706,.T.);
#35957=ORIENTED_EDGE('',*,*,#25707,.T.);
#35958=ORIENTED_EDGE('',*,*,#25703,.F.);
#35959=ORIENTED_EDGE('',*,*,#25648,.T.);
#35960=ORIENTED_EDGE('',*,*,#25705,.F.);
#35961=ORIENTED_EDGE('',*,*,#25708,.T.);
#35962=ORIENTED_EDGE('',*,*,#25709,.F.);
#35963=ORIENTED_EDGE('',*,*,#25649,.T.);
#35964=ORIENTED_EDGE('',*,*,#25702,.F.);
#35965=ORIENTED_EDGE('',*,*,#25710,.T.);
#35966=ORIENTED_EDGE('',*,*,#25711,.F.);
#35967=ORIENTED_EDGE('',*,*,#25708,.F.);
#35968=ORIENTED_EDGE('',*,*,#25699,.F.);
#35969=ORIENTED_EDGE('',*,*,#25712,.T.);
#35970=ORIENTED_EDGE('',*,*,#25713,.F.);
#35971=ORIENTED_EDGE('',*,*,#25710,.F.);
#35972=ORIENTED_EDGE('',*,*,#25694,.F.);
#35973=ORIENTED_EDGE('',*,*,#25714,.T.);
#35974=ORIENTED_EDGE('',*,*,#25715,.F.);
#35975=ORIENTED_EDGE('',*,*,#25716,.T.);
#35976=ORIENTED_EDGE('',*,*,#25717,.F.);
#35977=ORIENTED_EDGE('',*,*,#25712,.F.);
#35978=ORIENTED_EDGE('',*,*,#25693,.F.);
#35979=ORIENTED_EDGE('',*,*,#25718,.F.);
#35980=ORIENTED_EDGE('',*,*,#25719,.T.);
#35981=ORIENTED_EDGE('',*,*,#25720,.T.);
#35982=ORIENTED_EDGE('',*,*,#25721,.T.);
#35983=ORIENTED_EDGE('',*,*,#25722,.F.);
#35984=ORIENTED_EDGE('',*,*,#25723,.F.);
#35985=ORIENTED_EDGE('',*,*,#25714,.F.);
#35986=ORIENTED_EDGE('',*,*,#25687,.T.);
#35987=ORIENTED_EDGE('',*,*,#25652,.T.);
#35988=ORIENTED_EDGE('',*,*,#25724,.T.);
#35989=ORIENTED_EDGE('',*,*,#25725,.T.);
#35990=ORIENTED_EDGE('',*,*,#25726,.T.);
#35991=ORIENTED_EDGE('',*,*,#25727,.T.);
#35992=ORIENTED_EDGE('',*,*,#25724,.F.);
#35993=ORIENTED_EDGE('',*,*,#25728,.T.);
#35994=ORIENTED_EDGE('',*,*,#25729,.T.);
#35995=ORIENTED_EDGE('',*,*,#25730,.T.);
#35996=ORIENTED_EDGE('',*,*,#25726,.F.);
#35997=ORIENTED_EDGE('',*,*,#25731,.T.);
#35998=ORIENTED_EDGE('',*,*,#25732,.T.);
#35999=ORIENTED_EDGE('',*,*,#25733,.T.);
#36000=ORIENTED_EDGE('',*,*,#25729,.F.);
#36001=ORIENTED_EDGE('',*,*,#25734,.T.);
#36002=ORIENTED_EDGE('',*,*,#25723,.T.);
#36003=ORIENTED_EDGE('',*,*,#25735,.T.);
#36004=ORIENTED_EDGE('',*,*,#25732,.F.);
#36005=ORIENTED_EDGE('',*,*,#25715,.T.);
#36006=ORIENTED_EDGE('',*,*,#25725,.F.);
#36007=ORIENTED_EDGE('',*,*,#25727,.F.);
#36008=ORIENTED_EDGE('',*,*,#25730,.F.);
#36009=ORIENTED_EDGE('',*,*,#25733,.F.);
#36010=ORIENTED_EDGE('',*,*,#25735,.F.);
#36011=ORIENTED_EDGE('',*,*,#25722,.T.);
#36012=ORIENTED_EDGE('',*,*,#25736,.T.);
#36013=ORIENTED_EDGE('',*,*,#25737,.T.);
#36014=ORIENTED_EDGE('',*,*,#25738,.F.);
#36015=ORIENTED_EDGE('',*,*,#25739,.T.);
#36016=ORIENTED_EDGE('',*,*,#25740,.F.);
#36017=ORIENTED_EDGE('',*,*,#25741,.T.);
#36018=ORIENTED_EDGE('',*,*,#25742,.T.);
#36019=ORIENTED_EDGE('',*,*,#25688,.T.);
#36020=ORIENTED_EDGE('',*,*,#25743,.F.);
#36021=ORIENTED_EDGE('',*,*,#25744,.F.);
#36022=ORIENTED_EDGE('',*,*,#25745,.T.);
#36023=ORIENTED_EDGE('',*,*,#25746,.T.);
#36024=ORIENTED_EDGE('',*,*,#25747,.T.);
#36025=ORIENTED_EDGE('',*,*,#25745,.F.);
#36026=ORIENTED_EDGE('',*,*,#25747,.F.);
#36027=ORIENTED_EDGE('',*,*,#25746,.F.);
#36028=ORIENTED_EDGE('',*,*,#25748,.T.);
#36029=ORIENTED_EDGE('',*,*,#25749,.F.);
#36030=ORIENTED_EDGE('',*,*,#25748,.F.);
#36031=ORIENTED_EDGE('',*,*,#25750,.F.);
#36032=ORIENTED_EDGE('',*,*,#25751,.F.);
#36033=ORIENTED_EDGE('',*,*,#25752,.T.);
#36034=ORIENTED_EDGE('',*,*,#25753,.T.);
#36035=ORIENTED_EDGE('',*,*,#25754,.T.);
#36036=ORIENTED_EDGE('',*,*,#25752,.F.);
#36037=ORIENTED_EDGE('',*,*,#25754,.F.);
#36038=ORIENTED_EDGE('',*,*,#25753,.F.);
#36039=ORIENTED_EDGE('',*,*,#25755,.T.);
#36040=ORIENTED_EDGE('',*,*,#25756,.F.);
#36041=ORIENTED_EDGE('',*,*,#25755,.F.);
#36042=ORIENTED_EDGE('',*,*,#25757,.F.);
#36043=ORIENTED_EDGE('',*,*,#25758,.F.);
#36044=ORIENTED_EDGE('',*,*,#25759,.T.);
#36045=ORIENTED_EDGE('',*,*,#25760,.T.);
#36046=ORIENTED_EDGE('',*,*,#25761,.T.);
#36047=ORIENTED_EDGE('',*,*,#25759,.F.);
#36048=ORIENTED_EDGE('',*,*,#25761,.F.);
#36049=ORIENTED_EDGE('',*,*,#25760,.F.);
#36050=ORIENTED_EDGE('',*,*,#25762,.T.);
#36051=ORIENTED_EDGE('',*,*,#25763,.F.);
#36052=ORIENTED_EDGE('',*,*,#25762,.F.);
#36053=ORIENTED_EDGE('',*,*,#25764,.F.);
#36054=ORIENTED_EDGE('',*,*,#25765,.F.);
#36055=ORIENTED_EDGE('',*,*,#25766,.T.);
#36056=ORIENTED_EDGE('',*,*,#25767,.T.);
#36057=ORIENTED_EDGE('',*,*,#25768,.T.);
#36058=ORIENTED_EDGE('',*,*,#25766,.F.);
#36059=ORIENTED_EDGE('',*,*,#25768,.F.);
#36060=ORIENTED_EDGE('',*,*,#25767,.F.);
#36061=ORIENTED_EDGE('',*,*,#25769,.T.);
#36062=ORIENTED_EDGE('',*,*,#25770,.F.);
#36063=ORIENTED_EDGE('',*,*,#25769,.F.);
#36064=ORIENTED_EDGE('',*,*,#25771,.T.);
#36065=ORIENTED_EDGE('',*,*,#25772,.T.);
#36066=ORIENTED_EDGE('',*,*,#25773,.T.);
#36067=ORIENTED_EDGE('',*,*,#25774,.T.);
#36068=ORIENTED_EDGE('',*,*,#25772,.F.);
#36069=ORIENTED_EDGE('',*,*,#25775,.F.);
#36070=ORIENTED_EDGE('',*,*,#25776,.F.);
#36071=ORIENTED_EDGE('',*,*,#25777,.F.);
#36072=ORIENTED_EDGE('',*,*,#25771,.F.);
#36073=ORIENTED_EDGE('',*,*,#25778,.T.);
#36074=ORIENTED_EDGE('',*,*,#25779,.T.);
#36075=ORIENTED_EDGE('',*,*,#25780,.T.);
#36076=ORIENTED_EDGE('',*,*,#25781,.F.);
#36077=ORIENTED_EDGE('',*,*,#25782,.T.);
#36078=ORIENTED_EDGE('',*,*,#25783,.T.);
#36079=ORIENTED_EDGE('',*,*,#25784,.T.);
#36080=ORIENTED_EDGE('',*,*,#25785,.T.);
#36081=ORIENTED_EDGE('',*,*,#25786,.F.);
#36082=ORIENTED_EDGE('',*,*,#25787,.T.);
#36083=ORIENTED_EDGE('',*,*,#25788,.F.);
#36084=ORIENTED_EDGE('',*,*,#25789,.T.);
#36085=ORIENTED_EDGE('',*,*,#25790,.T.);
#36086=ORIENTED_EDGE('',*,*,#25791,.T.);
#36087=ORIENTED_EDGE('',*,*,#25775,.T.);
#36088=ORIENTED_EDGE('',*,*,#25792,.T.);
#36089=ORIENTED_EDGE('',*,*,#25793,.T.);
#36090=ORIENTED_EDGE('',*,*,#25781,.T.);
#36091=ORIENTED_EDGE('',*,*,#25794,.T.);
#36092=ORIENTED_EDGE('',*,*,#25795,.T.);
#36093=ORIENTED_EDGE('',*,*,#25796,.T.);
#36094=ORIENTED_EDGE('',*,*,#25794,.F.);
#36095=ORIENTED_EDGE('',*,*,#25780,.F.);
#36096=ORIENTED_EDGE('',*,*,#25797,.F.);
#36097=ORIENTED_EDGE('',*,*,#25798,.F.);
#36098=ORIENTED_EDGE('',*,*,#25799,.T.);
#36099=ORIENTED_EDGE('',*,*,#25800,.T.);
#36100=ORIENTED_EDGE('',*,*,#25801,.T.);
#36101=ORIENTED_EDGE('',*,*,#25802,.T.);
#36102=ORIENTED_EDGE('',*,*,#25800,.F.);
#36103=ORIENTED_EDGE('',*,*,#25803,.F.);
#36104=ORIENTED_EDGE('',*,*,#25804,.F.);
#36105=ORIENTED_EDGE('',*,*,#25805,.F.);
#36106=ORIENTED_EDGE('',*,*,#25799,.F.);
#36107=ORIENTED_EDGE('',*,*,#25806,.T.);
#36108=ORIENTED_EDGE('',*,*,#25807,.T.);
#36109=ORIENTED_EDGE('',*,*,#25808,.T.);
#36110=ORIENTED_EDGE('',*,*,#25809,.T.);
#36111=ORIENTED_EDGE('',*,*,#25810,.F.);
#36112=ORIENTED_EDGE('',*,*,#25811,.T.);
#36113=ORIENTED_EDGE('',*,*,#25812,.F.);
#36114=ORIENTED_EDGE('',*,*,#25813,.T.);
#36115=ORIENTED_EDGE('',*,*,#25814,.T.);
#36116=ORIENTED_EDGE('',*,*,#25815,.T.);
#36117=ORIENTED_EDGE('',*,*,#25816,.T.);
#36118=ORIENTED_EDGE('',*,*,#25817,.F.);
#36119=ORIENTED_EDGE('',*,*,#25818,.T.);
#36120=ORIENTED_EDGE('',*,*,#25819,.T.);
#36121=ORIENTED_EDGE('',*,*,#25803,.T.);
#36122=ORIENTED_EDGE('',*,*,#25820,.T.);
#36123=ORIENTED_EDGE('',*,*,#25821,.T.);
#36124=ORIENTED_EDGE('',*,*,#25817,.T.);
#36125=ORIENTED_EDGE('',*,*,#25822,.T.);
#36126=ORIENTED_EDGE('',*,*,#25823,.T.);
#36127=ORIENTED_EDGE('',*,*,#25824,.T.);
#36128=ORIENTED_EDGE('',*,*,#25822,.F.);
#36129=ORIENTED_EDGE('',*,*,#25816,.F.);
#36130=ORIENTED_EDGE('',*,*,#25825,.F.);
#36131=ORIENTED_EDGE('',*,*,#25826,.F.);
#36132=ORIENTED_EDGE('',*,*,#25812,.T.);
#36133=ORIENTED_EDGE('',*,*,#25827,.T.);
#36134=ORIENTED_EDGE('',*,*,#25738,.T.);
#36135=ORIENTED_EDGE('',*,*,#25828,.T.);
#36136=ORIENTED_EDGE('',*,*,#25827,.F.);
#36137=ORIENTED_EDGE('',*,*,#25811,.F.);
#36138=ORIENTED_EDGE('',*,*,#25829,.F.);
#36139=ORIENTED_EDGE('',*,*,#25739,.F.);
#36140=ORIENTED_EDGE('',*,*,#25786,.T.);
#36141=ORIENTED_EDGE('',*,*,#25830,.T.);
#36142=ORIENTED_EDGE('',*,*,#25831,.T.);
#36143=ORIENTED_EDGE('',*,*,#25832,.T.);
#36144=ORIENTED_EDGE('',*,*,#25832,.F.);
#36145=ORIENTED_EDGE('',*,*,#25833,.F.);
#36146=ORIENTED_EDGE('',*,*,#25834,.F.);
#36147=ORIENTED_EDGE('',*,*,#25787,.F.);
#36148=ORIENTED_EDGE('',*,*,#25788,.T.);
#36149=ORIENTED_EDGE('',*,*,#25834,.T.);
#36150=ORIENTED_EDGE('',*,*,#25835,.T.);
#36151=ORIENTED_EDGE('',*,*,#25836,.T.);
#36152=ORIENTED_EDGE('',*,*,#25810,.T.);
#36153=ORIENTED_EDGE('',*,*,#25837,.T.);
#36154=ORIENTED_EDGE('',*,*,#25740,.T.);
#36155=ORIENTED_EDGE('',*,*,#25829,.T.);
#36156=ORIENTED_EDGE('',*,*,#25837,.F.);
#36157=ORIENTED_EDGE('',*,*,#25809,.F.);
#36158=ORIENTED_EDGE('',*,*,#25838,.T.);
#36159=ORIENTED_EDGE('',*,*,#25741,.F.);
#36160=ORIENTED_EDGE('',*,*,#25721,.F.);
#36161=ORIENTED_EDGE('',*,*,#25839,.T.);
#36162=ORIENTED_EDGE('',*,*,#25840,.T.);
#36163=ORIENTED_EDGE('',*,*,#25736,.F.);
#36164=ORIENTED_EDGE('',*,*,#25841,.F.);
#36165=ORIENTED_EDGE('',*,*,#25842,.F.);
#36166=ORIENTED_EDGE('',*,*,#25843,.F.);
#36167=ORIENTED_EDGE('',*,*,#25844,.T.);
#36168=ORIENTED_EDGE('',*,*,#25839,.F.);
#36169=ORIENTED_EDGE('',*,*,#25845,.F.);
#36170=ORIENTED_EDGE('',*,*,#25840,.F.);
#36171=ORIENTED_EDGE('',*,*,#25844,.F.);
#36172=ORIENTED_EDGE('',*,*,#25846,.F.);
#36173=ORIENTED_EDGE('',*,*,#25847,.F.);
#36174=ORIENTED_EDGE('',*,*,#25848,.F.);
#36175=ORIENTED_EDGE('',*,*,#25849,.F.);
#36176=ORIENTED_EDGE('',*,*,#25784,.F.);
#36177=ORIENTED_EDGE('',*,*,#25850,.F.);
#36178=ORIENTED_EDGE('',*,*,#25842,.T.);
#36179=ORIENTED_EDGE('',*,*,#25851,.F.);
#36180=ORIENTED_EDGE('',*,*,#25719,.F.);
#36181=ORIENTED_EDGE('',*,*,#25852,.F.);
#36182=ORIENTED_EDGE('',*,*,#25851,.T.);
#36183=ORIENTED_EDGE('',*,*,#25841,.T.);
#36184=ORIENTED_EDGE('',*,*,#25853,.F.);
#36185=ORIENTED_EDGE('',*,*,#25854,.F.);
#36186=ORIENTED_EDGE('',*,*,#25855,.F.);
#36187=ORIENTED_EDGE('',*,*,#25856,.T.);
#36188=ORIENTED_EDGE('',*,*,#25857,.F.);
#36189=ORIENTED_EDGE('',*,*,#25856,.F.);
#36190=ORIENTED_EDGE('',*,*,#25858,.F.);
#36191=ORIENTED_EDGE('',*,*,#25859,.T.);
#36192=ORIENTED_EDGE('',*,*,#25860,.F.);
#36193=ORIENTED_EDGE('',*,*,#25859,.F.);
#36194=ORIENTED_EDGE('',*,*,#25861,.F.);
#36195=ORIENTED_EDGE('',*,*,#25814,.F.);
#36196=ORIENTED_EDGE('',*,*,#25828,.F.);
#36197=ORIENTED_EDGE('',*,*,#25737,.F.);
#36198=ORIENTED_EDGE('',*,*,#25848,.T.);
#36199=ORIENTED_EDGE('',*,*,#25862,.F.);
#36200=ORIENTED_EDGE('',*,*,#25853,.T.);
#36201=ORIENTED_EDGE('',*,*,#25857,.T.);
#36202=ORIENTED_EDGE('',*,*,#25860,.T.);
#36203=ORIENTED_EDGE('',*,*,#25813,.F.);
#36204=ORIENTED_EDGE('',*,*,#25830,.F.);
#36205=ORIENTED_EDGE('',*,*,#25785,.F.);
#36206=ORIENTED_EDGE('',*,*,#25849,.T.);
#36207=ORIENTED_EDGE('',*,*,#25863,.F.);
#36208=ORIENTED_EDGE('',*,*,#25845,.T.);
#36209=ORIENTED_EDGE('',*,*,#25720,.F.);
#36210=ORIENTED_EDGE('',*,*,#25847,.T.);
#36211=ORIENTED_EDGE('',*,*,#25864,.T.);
#36212=ORIENTED_EDGE('',*,*,#25854,.T.);
#36213=ORIENTED_EDGE('',*,*,#25862,.T.);
#36214=ORIENTED_EDGE('',*,*,#25707,.F.);
#36215=ORIENTED_EDGE('',*,*,#25865,.T.);
#36216=ORIENTED_EDGE('',*,*,#25866,.T.);
#36217=ORIENTED_EDGE('',*,*,#25867,.T.);
#36218=ORIENTED_EDGE('',*,*,#25835,.F.);
#36219=ORIENTED_EDGE('',*,*,#25833,.T.);
#36220=ORIENTED_EDGE('',*,*,#25831,.F.);
#36221=ORIENTED_EDGE('',*,*,#25863,.T.);
#36222=ORIENTED_EDGE('',*,*,#25852,.T.);
#36223=ORIENTED_EDGE('',*,*,#25718,.T.);
#36224=ORIENTED_EDGE('',*,*,#25696,.F.);
#36225=ORIENTED_EDGE('',*,*,#25698,.F.);
#36226=ORIENTED_EDGE('',*,*,#25701,.F.);
#36227=ORIENTED_EDGE('',*,*,#25704,.F.);
#36228=ORIENTED_EDGE('',*,*,#25675,.F.);
#36229=ORIENTED_EDGE('',*,*,#25868,.T.);
#36230=ORIENTED_EDGE('',*,*,#25665,.F.);
#36231=ORIENTED_EDGE('',*,*,#25869,.F.);
#36232=ORIENTED_EDGE('',*,*,#25667,.F.);
#36233=ORIENTED_EDGE('',*,*,#25870,.T.);
#36234=ORIENTED_EDGE('',*,*,#25643,.F.);
#36235=ORIENTED_EDGE('',*,*,#25871,.F.);
#36236=ORIENTED_EDGE('',*,*,#25645,.F.);
#36237=ORIENTED_EDGE('',*,*,#25872,.T.);
#36238=ORIENTED_EDGE('',*,*,#25873,.F.);
#36239=ORIENTED_EDGE('',*,*,#25874,.F.);
#36240=ORIENTED_EDGE('',*,*,#25866,.F.);
#36241=ORIENTED_EDGE('',*,*,#25875,.F.);
#36242=ORIENTED_EDGE('',*,*,#25876,.T.);
#36243=ORIENTED_EDGE('',*,*,#25877,.T.);
#36244=ORIENTED_EDGE('',*,*,#25689,.F.);
#36245=ORIENTED_EDGE('',*,*,#25742,.F.);
#36246=ORIENTED_EDGE('',*,*,#25878,.T.);
#36247=ORIENTED_EDGE('',*,*,#25879,.T.);
#36248=ORIENTED_EDGE('',*,*,#25681,.F.);
#36249=ORIENTED_EDGE('',*,*,#25880,.F.);
#36250=ORIENTED_EDGE('',*,*,#25691,.F.);
#36251=ORIENTED_EDGE('',*,*,#25881,.T.);
#36252=ORIENTED_EDGE('',*,*,#25683,.F.);
#36253=ORIENTED_EDGE('',*,*,#25882,.T.);
#36254=ORIENTED_EDGE('',*,*,#25673,.F.);
#36255=ORIENTED_EDGE('',*,*,#25883,.F.);
#36256=ORIENTED_EDGE('',*,*,#25877,.F.);
#36257=ORIENTED_EDGE('',*,*,#25884,.F.);
#36258=ORIENTED_EDGE('',*,*,#25885,.F.);
#36259=ORIENTED_EDGE('',*,*,#25790,.F.);
#36260=ORIENTED_EDGE('',*,*,#25886,.F.);
#36261=ORIENTED_EDGE('',*,*,#25838,.F.);
#36262=ORIENTED_EDGE('',*,*,#25808,.F.);
#36263=ORIENTED_EDGE('',*,*,#25887,.F.);
#36264=ORIENTED_EDGE('',*,*,#25888,.T.);
#36265=ORIENTED_EDGE('',*,*,#25878,.F.);
#36266=ORIENTED_EDGE('',*,*,#25879,.F.);
#36267=ORIENTED_EDGE('',*,*,#25888,.F.);
#36268=ORIENTED_EDGE('',*,*,#25889,.F.);
#36269=ORIENTED_EDGE('',*,*,#25884,.T.);
#36270=ORIENTED_EDGE('',*,*,#25876,.F.);
#36271=ORIENTED_EDGE('',*,*,#25890,.F.);
#36272=ORIENTED_EDGE('',*,*,#25872,.F.);
#36273=ORIENTED_EDGE('',*,*,#25891,.F.);
#36274=ORIENTED_EDGE('',*,*,#25870,.F.);
#36275=ORIENTED_EDGE('',*,*,#25892,.F.);
#36276=ORIENTED_EDGE('',*,*,#25868,.F.);
#36277=ORIENTED_EDGE('',*,*,#25893,.F.);
#36278=ORIENTED_EDGE('',*,*,#25882,.F.);
#36279=ORIENTED_EDGE('',*,*,#25894,.F.);
#36280=ORIENTED_EDGE('',*,*,#25881,.F.);
#36281=ORIENTED_EDGE('',*,*,#25895,.F.);
#36282=ORIENTED_EDGE('',*,*,#25891,.T.);
#36283=ORIENTED_EDGE('',*,*,#25644,.F.);
#36284=ORIENTED_EDGE('',*,*,#25890,.T.);
#36285=ORIENTED_EDGE('',*,*,#25896,.F.);
#36286=ORIENTED_EDGE('',*,*,#25836,.F.);
#36287=ORIENTED_EDGE('',*,*,#25867,.F.);
#36288=ORIENTED_EDGE('',*,*,#25886,.T.);
#36289=ORIENTED_EDGE('',*,*,#25789,.F.);
#36290=ORIENTED_EDGE('',*,*,#25892,.T.);
#36291=ORIENTED_EDGE('',*,*,#25666,.F.);
#36292=ORIENTED_EDGE('',*,*,#25893,.T.);
#36293=ORIENTED_EDGE('',*,*,#25674,.F.);
#36294=ORIENTED_EDGE('',*,*,#25895,.T.);
#36295=ORIENTED_EDGE('',*,*,#25690,.F.);
#36296=ORIENTED_EDGE('',*,*,#25638,.F.);
#36297=ORIENTED_EDGE('',*,*,#25897,.F.);
#36298=ORIENTED_EDGE('',*,*,#25873,.T.);
#36299=ORIENTED_EDGE('',*,*,#25896,.T.);
#36300=ORIENTED_EDGE('',*,*,#25875,.T.);
#36301=ORIENTED_EDGE('',*,*,#25865,.F.);
#36302=ORIENTED_EDGE('',*,*,#25706,.F.);
#36303=ORIENTED_EDGE('',*,*,#25647,.F.);
#36304=ORIENTED_EDGE('',*,*,#25662,.F.);
#36305=ORIENTED_EDGE('',*,*,#25668,.T.);
#36306=ORIENTED_EDGE('',*,*,#25871,.T.);
#36307=ORIENTED_EDGE('',*,*,#25642,.T.);
#36308=ORIENTED_EDGE('',*,*,#25686,.F.);
#36309=ORIENTED_EDGE('',*,*,#25692,.T.);
#36310=ORIENTED_EDGE('',*,*,#25880,.T.);
#36311=ORIENTED_EDGE('',*,*,#25680,.T.);
#36312=ORIENTED_EDGE('',*,*,#25670,.F.);
#36313=ORIENTED_EDGE('',*,*,#25676,.T.);
#36314=ORIENTED_EDGE('',*,*,#25869,.T.);
#36315=ORIENTED_EDGE('',*,*,#25664,.T.);
#36316=ORIENTED_EDGE('',*,*,#25678,.F.);
#36317=ORIENTED_EDGE('',*,*,#25684,.T.);
#36318=ORIENTED_EDGE('',*,*,#25883,.T.);
#36319=ORIENTED_EDGE('',*,*,#25672,.T.);
#36320=ORIENTED_EDGE('',*,*,#25637,.F.);
#36321=ORIENTED_EDGE('',*,*,#25646,.T.);
#36322=ORIENTED_EDGE('',*,*,#25874,.T.);
#36323=ORIENTED_EDGE('',*,*,#25897,.T.);
#36324=ORIENTED_EDGE('',*,*,#25802,.F.);
#36325=ORIENTED_EDGE('',*,*,#25898,.F.);
#36326=ORIENTED_EDGE('',*,*,#25899,.T.);
#36327=ORIENTED_EDGE('',*,*,#25806,.F.);
#36328=ORIENTED_EDGE('',*,*,#25887,.T.);
#36329=ORIENTED_EDGE('',*,*,#25807,.F.);
#36330=ORIENTED_EDGE('',*,*,#25899,.F.);
#36331=ORIENTED_EDGE('',*,*,#25900,.F.);
#36332=ORIENTED_EDGE('',*,*,#25776,.T.);
#36333=ORIENTED_EDGE('',*,*,#25791,.F.);
#36334=ORIENTED_EDGE('',*,*,#25885,.T.);
#36335=ORIENTED_EDGE('',*,*,#25889,.T.);
#36336=ORIENTED_EDGE('',*,*,#25774,.F.);
#36337=ORIENTED_EDGE('',*,*,#25901,.F.);
#36338=ORIENTED_EDGE('',*,*,#25902,.T.);
#36339=ORIENTED_EDGE('',*,*,#25778,.F.);
#36340=ORIENTED_EDGE('',*,*,#25903,.F.);
#36341=ORIENTED_EDGE('',*,*,#25797,.T.);
#36342=ORIENTED_EDGE('',*,*,#25779,.F.);
#36343=ORIENTED_EDGE('',*,*,#25902,.F.);
#36344=ORIENTED_EDGE('',*,*,#25821,.F.);
#36345=ORIENTED_EDGE('',*,*,#25904,.T.);
#36346=ORIENTED_EDGE('',*,*,#25905,.F.);
#36347=ORIENTED_EDGE('',*,*,#25904,.F.);
#36348=ORIENTED_EDGE('',*,*,#25793,.F.);
#36349=ORIENTED_EDGE('',*,*,#25906,.T.);
#36350=ORIENTED_EDGE('',*,*,#25907,.F.);
#36351=ORIENTED_EDGE('',*,*,#25906,.F.);
#36352=ORIENTED_EDGE('',*,*,#25792,.F.);
#36353=ORIENTED_EDGE('',*,*,#25908,.T.);
#36354=ORIENTED_EDGE('',*,*,#25909,.F.);
#36355=ORIENTED_EDGE('',*,*,#25908,.F.);
#36356=ORIENTED_EDGE('',*,*,#25820,.F.);
#36357=ORIENTED_EDGE('',*,*,#25910,.T.);
#36358=ORIENTED_EDGE('',*,*,#25911,.F.);
#36359=ORIENTED_EDGE('',*,*,#25910,.F.);
#36360=ORIENTED_EDGE('',*,*,#25912,.F.);
#36361=ORIENTED_EDGE('',*,*,#25804,.T.);
#36362=ORIENTED_EDGE('',*,*,#25819,.F.);
#36363=ORIENTED_EDGE('',*,*,#25913,.F.);
#36364=ORIENTED_EDGE('',*,*,#25824,.F.);
#36365=ORIENTED_EDGE('',*,*,#25914,.F.);
#36366=ORIENTED_EDGE('',*,*,#25913,.T.);
#36367=ORIENTED_EDGE('',*,*,#25818,.F.);
#36368=ORIENTED_EDGE('',*,*,#25850,.T.);
#36369=ORIENTED_EDGE('',*,*,#25783,.F.);
#36370=ORIENTED_EDGE('',*,*,#25915,.F.);
#36371=ORIENTED_EDGE('',*,*,#25916,.F.);
#36372=ORIENTED_EDGE('',*,*,#25825,.T.);
#36373=ORIENTED_EDGE('',*,*,#25815,.F.);
#36374=ORIENTED_EDGE('',*,*,#25861,.T.);
#36375=ORIENTED_EDGE('',*,*,#25858,.T.);
#36376=ORIENTED_EDGE('',*,*,#25855,.T.);
#36377=ORIENTED_EDGE('',*,*,#25864,.F.);
#36378=ORIENTED_EDGE('',*,*,#25846,.T.);
#36379=ORIENTED_EDGE('',*,*,#25843,.T.);
#36380=ORIENTED_EDGE('',*,*,#25796,.F.);
#36381=ORIENTED_EDGE('',*,*,#25917,.F.);
#36382=ORIENTED_EDGE('',*,*,#25915,.T.);
#36383=ORIENTED_EDGE('',*,*,#25782,.F.);
#36384=ORIENTED_EDGE('',*,*,#25894,.T.);
#36385=ORIENTED_EDGE('',*,*,#25682,.F.);
#36386=ORIENTED_EDGE('',*,*,#25907,.T.);
#36387=ORIENTED_EDGE('',*,*,#25756,.T.);
#36388=ORIENTED_EDGE('',*,*,#25909,.T.);
#36389=ORIENTED_EDGE('',*,*,#25763,.T.);
#36390=ORIENTED_EDGE('',*,*,#25911,.T.);
#36391=ORIENTED_EDGE('',*,*,#25770,.T.);
#36392=ORIENTED_EDGE('',*,*,#25905,.T.);
#36393=ORIENTED_EDGE('',*,*,#25749,.T.);
#36394=ORIENTED_EDGE('',*,*,#25918,.F.);
#36395=ORIENTED_EDGE('',*,*,#25919,.T.);
#36396=ORIENTED_EDGE('',*,*,#25920,.F.);
#36397=ORIENTED_EDGE('',*,*,#25921,.F.);
#36398=ORIENTED_EDGE('',*,*,#25922,.F.);
#36399=ORIENTED_EDGE('',*,*,#25921,.T.);
#36400=ORIENTED_EDGE('',*,*,#25923,.F.);
#36401=ORIENTED_EDGE('',*,*,#25924,.F.);
#36402=ORIENTED_EDGE('',*,*,#25925,.F.);
#36403=ORIENTED_EDGE('',*,*,#25924,.T.);
#36404=ORIENTED_EDGE('',*,*,#25926,.F.);
#36405=ORIENTED_EDGE('',*,*,#25927,.F.);
#36406=ORIENTED_EDGE('',*,*,#25928,.F.);
#36407=ORIENTED_EDGE('',*,*,#25927,.T.);
#36408=ORIENTED_EDGE('',*,*,#25929,.F.);
#36409=ORIENTED_EDGE('',*,*,#25919,.F.);
#36410=ORIENTED_EDGE('',*,*,#25805,.T.);
#36411=ORIENTED_EDGE('',*,*,#25912,.T.);
#36412=ORIENTED_EDGE('',*,*,#25914,.T.);
#36413=ORIENTED_EDGE('',*,*,#25823,.F.);
#36414=ORIENTED_EDGE('',*,*,#25826,.T.);
#36415=ORIENTED_EDGE('',*,*,#25916,.T.);
#36416=ORIENTED_EDGE('',*,*,#25917,.T.);
#36417=ORIENTED_EDGE('',*,*,#25795,.F.);
#36418=ORIENTED_EDGE('',*,*,#25798,.T.);
#36419=ORIENTED_EDGE('',*,*,#25903,.T.);
#36420=ORIENTED_EDGE('',*,*,#25901,.T.);
#36421=ORIENTED_EDGE('',*,*,#25773,.F.);
#36422=ORIENTED_EDGE('',*,*,#25777,.T.);
#36423=ORIENTED_EDGE('',*,*,#25900,.T.);
#36424=ORIENTED_EDGE('',*,*,#25898,.T.);
#36425=ORIENTED_EDGE('',*,*,#25801,.F.);
#36426=ORIENTED_EDGE('',*,*,#25929,.T.);
#36427=ORIENTED_EDGE('',*,*,#25926,.T.);
#36428=ORIENTED_EDGE('',*,*,#25923,.T.);
#36429=ORIENTED_EDGE('',*,*,#25920,.T.);
#36430=ORIENTED_EDGE('',*,*,#25728,.F.);
#36431=ORIENTED_EDGE('',*,*,#25651,.T.);
#36432=ORIENTED_EDGE('',*,*,#25930,.F.);
#36433=ORIENTED_EDGE('',*,*,#25931,.F.);
#36434=ORIENTED_EDGE('',*,*,#25731,.F.);
#36435=ORIENTED_EDGE('',*,*,#25931,.T.);
#36436=ORIENTED_EDGE('',*,*,#25932,.F.);
#36437=ORIENTED_EDGE('',*,*,#25933,.F.);
#36438=ORIENTED_EDGE('',*,*,#25734,.F.);
#36439=ORIENTED_EDGE('',*,*,#25933,.T.);
#36440=ORIENTED_EDGE('',*,*,#25934,.F.);
#36441=ORIENTED_EDGE('',*,*,#25716,.F.);
#36442=ORIENTED_EDGE('',*,*,#25709,.T.);
#36443=ORIENTED_EDGE('',*,*,#25711,.T.);
#36444=ORIENTED_EDGE('',*,*,#25713,.T.);
#36445=ORIENTED_EDGE('',*,*,#25717,.T.);
#36446=ORIENTED_EDGE('',*,*,#25934,.T.);
#36447=ORIENTED_EDGE('',*,*,#25932,.T.);
#36448=ORIENTED_EDGE('',*,*,#25930,.T.);
#36449=ORIENTED_EDGE('',*,*,#25650,.T.);
#36450=ORIENTED_EDGE('',*,*,#25743,.T.);
#36451=ORIENTED_EDGE('',*,*,#25744,.T.);
#36452=ORIENTED_EDGE('',*,*,#25750,.T.);
#36453=ORIENTED_EDGE('',*,*,#25751,.T.);
#36454=ORIENTED_EDGE('',*,*,#25757,.T.);
#36455=ORIENTED_EDGE('',*,*,#25758,.T.);
#36456=ORIENTED_EDGE('',*,*,#25764,.T.);
#36457=ORIENTED_EDGE('',*,*,#25765,.T.);
#36458=ORIENTED_EDGE('',*,*,#25918,.T.);
#36459=ORIENTED_EDGE('',*,*,#25922,.T.);
#36460=ORIENTED_EDGE('',*,*,#25925,.T.);
#36461=ORIENTED_EDGE('',*,*,#25928,.T.);
#36462=ORIENTED_EDGE('',*,*,#25935,.F.);
#36463=ORIENTED_EDGE('',*,*,#25936,.F.);
#36464=ORIENTED_EDGE('',*,*,#25937,.F.);
#36465=ORIENTED_EDGE('',*,*,#25938,.F.);
#36466=ORIENTED_EDGE('',*,*,#25939,.F.);
#36467=ORIENTED_EDGE('',*,*,#25940,.F.);
#36468=ORIENTED_EDGE('',*,*,#25941,.F.);
#36469=ORIENTED_EDGE('',*,*,#25942,.F.);
#36470=ORIENTED_EDGE('',*,*,#25943,.T.);
#36471=ORIENTED_EDGE('',*,*,#25944,.F.);
#36472=ORIENTED_EDGE('',*,*,#25945,.F.);
#36473=ORIENTED_EDGE('',*,*,#25946,.F.);
#36474=ORIENTED_EDGE('',*,*,#25935,.T.);
#36475=ORIENTED_EDGE('',*,*,#25947,.F.);
#36476=ORIENTED_EDGE('',*,*,#25948,.T.);
#36477=ORIENTED_EDGE('',*,*,#25949,.F.);
#36478=ORIENTED_EDGE('',*,*,#25940,.T.);
#36479=ORIENTED_EDGE('',*,*,#25950,.T.);
#36480=ORIENTED_EDGE('',*,*,#25951,.F.);
#36481=ORIENTED_EDGE('',*,*,#25952,.T.);
#36482=ORIENTED_EDGE('',*,*,#25942,.T.);
#36483=ORIENTED_EDGE('',*,*,#25953,.F.);
#36484=ORIENTED_EDGE('',*,*,#25954,.T.);
#36485=ORIENTED_EDGE('',*,*,#25947,.T.);
#36486=ORIENTED_EDGE('',*,*,#25941,.T.);
#36487=ORIENTED_EDGE('',*,*,#25955,.T.);
#36488=ORIENTED_EDGE('',*,*,#25956,.F.);
#36489=ORIENTED_EDGE('',*,*,#25953,.T.);
#36490=ORIENTED_EDGE('',*,*,#25936,.T.);
#36491=ORIENTED_EDGE('',*,*,#25949,.T.);
#36492=ORIENTED_EDGE('',*,*,#25957,.F.);
#36493=ORIENTED_EDGE('',*,*,#25955,.F.);
#36494=ORIENTED_EDGE('',*,*,#25946,.T.);
#36495=ORIENTED_EDGE('',*,*,#25958,.F.);
#36496=ORIENTED_EDGE('',*,*,#25959,.F.);
#36497=ORIENTED_EDGE('',*,*,#25960,.F.);
#36498=ORIENTED_EDGE('',*,*,#25957,.T.);
#36499=ORIENTED_EDGE('',*,*,#25948,.F.);
#36500=ORIENTED_EDGE('',*,*,#25954,.F.);
#36501=ORIENTED_EDGE('',*,*,#25956,.T.);
#36502=ORIENTED_EDGE('',*,*,#25945,.T.);
#36503=ORIENTED_EDGE('',*,*,#25961,.F.);
#36504=ORIENTED_EDGE('',*,*,#25962,.T.);
#36505=ORIENTED_EDGE('',*,*,#25958,.T.);
#36506=ORIENTED_EDGE('',*,*,#25959,.T.);
#36507=ORIENTED_EDGE('',*,*,#25962,.F.);
#36508=ORIENTED_EDGE('',*,*,#25963,.F.);
#36509=ORIENTED_EDGE('',*,*,#25964,.F.);
#36510=ORIENTED_EDGE('',*,*,#25937,.T.);
#36511=ORIENTED_EDGE('',*,*,#25960,.T.);
#36512=ORIENTED_EDGE('',*,*,#25964,.T.);
#36513=ORIENTED_EDGE('',*,*,#25965,.F.);
#36514=ORIENTED_EDGE('',*,*,#25938,.T.);
#36515=ORIENTED_EDGE('',*,*,#25965,.T.);
#36516=ORIENTED_EDGE('',*,*,#25963,.T.);
#36517=ORIENTED_EDGE('',*,*,#25961,.T.);
#36518=ORIENTED_EDGE('',*,*,#25944,.T.);
#36519=ORIENTED_EDGE('',*,*,#25966,.T.);
#36520=ORIENTED_EDGE('',*,*,#25967,.T.);
#36521=ORIENTED_EDGE('',*,*,#25968,.T.);
#36522=ORIENTED_EDGE('',*,*,#25952,.F.);
#36523=ORIENTED_EDGE('',*,*,#25969,.T.);
#36524=ORIENTED_EDGE('',*,*,#25966,.F.);
#36525=ORIENTED_EDGE('',*,*,#25943,.F.);
#36526=ORIENTED_EDGE('',*,*,#25951,.T.);
#36527=ORIENTED_EDGE('',*,*,#25970,.T.);
#36528=ORIENTED_EDGE('',*,*,#25967,.F.);
#36529=ORIENTED_EDGE('',*,*,#25969,.F.);
#36530=ORIENTED_EDGE('',*,*,#25950,.F.);
#36531=ORIENTED_EDGE('',*,*,#25939,.T.);
#36532=ORIENTED_EDGE('',*,*,#25968,.F.);
#36533=ORIENTED_EDGE('',*,*,#25970,.F.);
#36534=ORIENTED_EDGE('',*,*,#25971,.F.);
#36535=ORIENTED_EDGE('',*,*,#25972,.F.);
#36536=ORIENTED_EDGE('',*,*,#25973,.F.);
#36537=ORIENTED_EDGE('',*,*,#25974,.F.);
#36538=ORIENTED_EDGE('',*,*,#25975,.F.);
#36539=ORIENTED_EDGE('',*,*,#25976,.F.);
#36540=ORIENTED_EDGE('',*,*,#25977,.F.);
#36541=ORIENTED_EDGE('',*,*,#25978,.F.);
#36542=ORIENTED_EDGE('',*,*,#25979,.F.);
#36543=ORIENTED_EDGE('',*,*,#25980,.F.);
#36544=ORIENTED_EDGE('',*,*,#25981,.F.);
#36545=ORIENTED_EDGE('',*,*,#25982,.F.);
#36546=ORIENTED_EDGE('',*,*,#25983,.F.);
#36547=ORIENTED_EDGE('',*,*,#25984,.F.);
#36548=ORIENTED_EDGE('',*,*,#25985,.F.);
#36549=ORIENTED_EDGE('',*,*,#25986,.F.);
#36550=ORIENTED_EDGE('',*,*,#25987,.F.);
#36551=ORIENTED_EDGE('',*,*,#25988,.F.);
#36552=ORIENTED_EDGE('',*,*,#25989,.F.);
#36553=ORIENTED_EDGE('',*,*,#25990,.F.);
#36554=ORIENTED_EDGE('',*,*,#25991,.F.);
#36555=ORIENTED_EDGE('',*,*,#25992,.F.);
#36556=ORIENTED_EDGE('',*,*,#25993,.F.);
#36557=ORIENTED_EDGE('',*,*,#25994,.F.);
#36558=ORIENTED_EDGE('',*,*,#25995,.F.);
#36559=ORIENTED_EDGE('',*,*,#25996,.F.);
#36560=ORIENTED_EDGE('',*,*,#25997,.F.);
#36561=ORIENTED_EDGE('',*,*,#25998,.F.);
#36562=ORIENTED_EDGE('',*,*,#25999,.F.);
#36563=ORIENTED_EDGE('',*,*,#26000,.F.);
#36564=ORIENTED_EDGE('',*,*,#26001,.F.);
#36565=ORIENTED_EDGE('',*,*,#26002,.F.);
#36566=ORIENTED_EDGE('',*,*,#26003,.F.);
#36567=ORIENTED_EDGE('',*,*,#26004,.F.);
#36568=ORIENTED_EDGE('',*,*,#26005,.F.);
#36569=ORIENTED_EDGE('',*,*,#26006,.F.);
#36570=ORIENTED_EDGE('',*,*,#26007,.F.);
#36571=ORIENTED_EDGE('',*,*,#26008,.F.);
#36572=ORIENTED_EDGE('',*,*,#26009,.F.);
#36573=ORIENTED_EDGE('',*,*,#26010,.F.);
#36574=ORIENTED_EDGE('',*,*,#26011,.F.);
#36575=ORIENTED_EDGE('',*,*,#26012,.F.);
#36576=ORIENTED_EDGE('',*,*,#26013,.F.);
#36577=ORIENTED_EDGE('',*,*,#26014,.F.);
#36578=ORIENTED_EDGE('',*,*,#26015,.F.);
#36579=ORIENTED_EDGE('',*,*,#26016,.F.);
#36580=ORIENTED_EDGE('',*,*,#26017,.F.);
#36581=ORIENTED_EDGE('',*,*,#26018,.F.);
#36582=ORIENTED_EDGE('',*,*,#26019,.F.);
#36583=ORIENTED_EDGE('',*,*,#26020,.F.);
#36584=ORIENTED_EDGE('',*,*,#26021,.F.);
#36585=ORIENTED_EDGE('',*,*,#26022,.F.);
#36586=ORIENTED_EDGE('',*,*,#25973,.T.);
#36587=ORIENTED_EDGE('',*,*,#26023,.T.);
#36588=ORIENTED_EDGE('',*,*,#26024,.T.);
#36589=ORIENTED_EDGE('',*,*,#26025,.F.);
#36590=ORIENTED_EDGE('',*,*,#25971,.T.);
#36591=ORIENTED_EDGE('',*,*,#26026,.T.);
#36592=ORIENTED_EDGE('',*,*,#26027,.T.);
#36593=ORIENTED_EDGE('',*,*,#26028,.T.);
#36594=ORIENTED_EDGE('',*,*,#25981,.T.);
#36595=ORIENTED_EDGE('',*,*,#26029,.T.);
#36596=ORIENTED_EDGE('',*,*,#26030,.T.);
#36597=ORIENTED_EDGE('',*,*,#26031,.F.);
#36598=ORIENTED_EDGE('',*,*,#25979,.T.);
#36599=ORIENTED_EDGE('',*,*,#26032,.F.);
#36600=ORIENTED_EDGE('',*,*,#26033,.T.);
#36601=ORIENTED_EDGE('',*,*,#26034,.F.);
#36602=ORIENTED_EDGE('',*,*,#25989,.T.);
#36603=ORIENTED_EDGE('',*,*,#26035,.T.);
#36604=ORIENTED_EDGE('',*,*,#26036,.T.);
#36605=ORIENTED_EDGE('',*,*,#26037,.F.);
#36606=ORIENTED_EDGE('',*,*,#25987,.T.);
#36607=ORIENTED_EDGE('',*,*,#26038,.F.);
#36608=ORIENTED_EDGE('',*,*,#26039,.T.);
#36609=ORIENTED_EDGE('',*,*,#26040,.T.);
#36610=ORIENTED_EDGE('',*,*,#25988,.T.);
#36611=ORIENTED_EDGE('',*,*,#26040,.F.);
#36612=ORIENTED_EDGE('',*,*,#26041,.F.);
#36613=ORIENTED_EDGE('',*,*,#26042,.F.);
#36614=ORIENTED_EDGE('',*,*,#26000,.T.);
#36615=ORIENTED_EDGE('',*,*,#26043,.F.);
#36616=ORIENTED_EDGE('',*,*,#26044,.F.);
#36617=ORIENTED_EDGE('',*,*,#26035,.F.);
#36618=ORIENTED_EDGE('',*,*,#25997,.T.);
#36619=ORIENTED_EDGE('',*,*,#26045,.F.);
#36620=ORIENTED_EDGE('',*,*,#26046,.T.);
#36621=ORIENTED_EDGE('',*,*,#26047,.F.);
#36622=ORIENTED_EDGE('',*,*,#26003,.T.);
#36623=ORIENTED_EDGE('',*,*,#26048,.T.);
#36624=ORIENTED_EDGE('',*,*,#26049,.T.);
#36625=ORIENTED_EDGE('',*,*,#26050,.F.);
#36626=ORIENTED_EDGE('',*,*,#25980,.T.);
#36627=ORIENTED_EDGE('',*,*,#26034,.T.);
#36628=ORIENTED_EDGE('',*,*,#26051,.F.);
#36629=ORIENTED_EDGE('',*,*,#26052,.F.);
#36630=ORIENTED_EDGE('',*,*,#26006,.T.);
#36631=ORIENTED_EDGE('',*,*,#26053,.F.);
#36632=ORIENTED_EDGE('',*,*,#26054,.F.);
#36633=ORIENTED_EDGE('',*,*,#26029,.F.);
#36634=ORIENTED_EDGE('',*,*,#26001,.T.);
#36635=ORIENTED_EDGE('',*,*,#26055,.F.);
#36636=ORIENTED_EDGE('',*,*,#26056,.T.);
#36637=ORIENTED_EDGE('',*,*,#26057,.F.);
#36638=ORIENTED_EDGE('',*,*,#26011,.T.);
#36639=ORIENTED_EDGE('',*,*,#26058,.T.);
#36640=ORIENTED_EDGE('',*,*,#26059,.T.);
#36641=ORIENTED_EDGE('',*,*,#26060,.F.);
#36642=ORIENTED_EDGE('',*,*,#25972,.T.);
#36643=ORIENTED_EDGE('',*,*,#26028,.F.);
#36644=ORIENTED_EDGE('',*,*,#26061,.F.);
#36645=ORIENTED_EDGE('',*,*,#26062,.F.);
#36646=ORIENTED_EDGE('',*,*,#26014,.T.);
#36647=ORIENTED_EDGE('',*,*,#26063,.F.);
#36648=ORIENTED_EDGE('',*,*,#26064,.F.);
#36649=ORIENTED_EDGE('',*,*,#26023,.F.);
#36650=ORIENTED_EDGE('',*,*,#26009,.T.);
#36651=ORIENTED_EDGE('',*,*,#26065,.F.);
#36652=ORIENTED_EDGE('',*,*,#26066,.T.);
#36653=ORIENTED_EDGE('',*,*,#26067,.T.);
#36654=ORIENTED_EDGE('',*,*,#26021,.T.);
#36655=ORIENTED_EDGE('',*,*,#26068,.F.);
#36656=ORIENTED_EDGE('',*,*,#26069,.F.);
#36657=ORIENTED_EDGE('',*,*,#26070,.T.);
#36658=ORIENTED_EDGE('',*,*,#25974,.T.);
#36659=ORIENTED_EDGE('',*,*,#26025,.T.);
#36660=ORIENTED_EDGE('',*,*,#26071,.T.);
#36661=ORIENTED_EDGE('',*,*,#26072,.T.);
#36662=ORIENTED_EDGE('',*,*,#26012,.T.);
#36663=ORIENTED_EDGE('',*,*,#26060,.T.);
#36664=ORIENTED_EDGE('',*,*,#26073,.T.);
#36665=ORIENTED_EDGE('',*,*,#26074,.T.);
#36666=ORIENTED_EDGE('',*,*,#25982,.T.);
#36667=ORIENTED_EDGE('',*,*,#26031,.T.);
#36668=ORIENTED_EDGE('',*,*,#26075,.T.);
#36669=ORIENTED_EDGE('',*,*,#26076,.T.);
#36670=ORIENTED_EDGE('',*,*,#26004,.T.);
#36671=ORIENTED_EDGE('',*,*,#26050,.T.);
#36672=ORIENTED_EDGE('',*,*,#26077,.T.);
#36673=ORIENTED_EDGE('',*,*,#26078,.T.);
#36674=ORIENTED_EDGE('',*,*,#25990,.T.);
#36675=ORIENTED_EDGE('',*,*,#26037,.T.);
#36676=ORIENTED_EDGE('',*,*,#26079,.T.);
#36677=ORIENTED_EDGE('',*,*,#26080,.T.);
#36678=ORIENTED_EDGE('',*,*,#25998,.T.);
#36679=ORIENTED_EDGE('',*,*,#26047,.T.);
#36680=ORIENTED_EDGE('',*,*,#26081,.T.);
#36681=ORIENTED_EDGE('',*,*,#26082,.T.);
#36682=ORIENTED_EDGE('',*,*,#26083,.T.);
#36683=ORIENTED_EDGE('',*,*,#26084,.T.);
#36684=ORIENTED_EDGE('',*,*,#26085,.T.);
#36685=ORIENTED_EDGE('',*,*,#26086,.T.);
#36686=ORIENTED_EDGE('',*,*,#26087,.T.);
#36687=ORIENTED_EDGE('',*,*,#26088,.T.);
#36688=ORIENTED_EDGE('',*,*,#26089,.T.);
#36689=ORIENTED_EDGE('',*,*,#26090,.T.);
#36690=ORIENTED_EDGE('',*,*,#26091,.T.);
#36691=ORIENTED_EDGE('',*,*,#26068,.T.);
#36692=ORIENTED_EDGE('',*,*,#26020,.T.);
#36693=ORIENTED_EDGE('',*,*,#26092,.T.);
#36694=ORIENTED_EDGE('',*,*,#26093,.T.);
#36695=ORIENTED_EDGE('',*,*,#26094,.T.);
#36696=ORIENTED_EDGE('',*,*,#26019,.T.);
#36697=ORIENTED_EDGE('',*,*,#26095,.T.);
#36698=ORIENTED_EDGE('',*,*,#26096,.T.);
#36699=ORIENTED_EDGE('',*,*,#26092,.F.);
#36700=ORIENTED_EDGE('',*,*,#25992,.T.);
#36701=ORIENTED_EDGE('',*,*,#26097,.F.);
#36702=ORIENTED_EDGE('',*,*,#26098,.F.);
#36703=ORIENTED_EDGE('',*,*,#26048,.F.);
#36704=ORIENTED_EDGE('',*,*,#26002,.T.);
#36705=ORIENTED_EDGE('',*,*,#26057,.T.);
#36706=ORIENTED_EDGE('',*,*,#26099,.F.);
#36707=ORIENTED_EDGE('',*,*,#26100,.F.);
#36708=ORIENTED_EDGE('',*,*,#25976,.T.);
#36709=ORIENTED_EDGE('',*,*,#26101,.F.);
#36710=ORIENTED_EDGE('',*,*,#26102,.F.);
#36711=ORIENTED_EDGE('',*,*,#26095,.F.);
#36712=ORIENTED_EDGE('',*,*,#26018,.T.);
#36713=ORIENTED_EDGE('',*,*,#26103,.F.);
#36714=ORIENTED_EDGE('',*,*,#26104,.F.);
#36715=ORIENTED_EDGE('',*,*,#26105,.F.);
#36716=ORIENTED_EDGE('',*,*,#25984,.T.);
#36717=ORIENTED_EDGE('',*,*,#26106,.F.);
#36718=ORIENTED_EDGE('',*,*,#26107,.F.);
#36719=ORIENTED_EDGE('',*,*,#26058,.F.);
#36720=ORIENTED_EDGE('',*,*,#26010,.T.);
#36721=ORIENTED_EDGE('',*,*,#26067,.F.);
#36722=ORIENTED_EDGE('',*,*,#26108,.F.);
#36723=ORIENTED_EDGE('',*,*,#26109,.F.);
#36724=ORIENTED_EDGE('',*,*,#25978,.T.);
#36725=ORIENTED_EDGE('',*,*,#26110,.F.);
#36726=ORIENTED_EDGE('',*,*,#26111,.T.);
#36727=ORIENTED_EDGE('',*,*,#26112,.T.);
#36728=ORIENTED_EDGE('',*,*,#26022,.T.);
#36729=ORIENTED_EDGE('',*,*,#26070,.F.);
#36730=ORIENTED_EDGE('',*,*,#26113,.F.);
#36731=ORIENTED_EDGE('',*,*,#26114,.F.);
#36732=ORIENTED_EDGE('',*,*,#26115,.F.);
#36733=ORIENTED_EDGE('',*,*,#26116,.F.);
#36734=ORIENTED_EDGE('',*,*,#26117,.F.);
#36735=ORIENTED_EDGE('',*,*,#26118,.F.);
#36736=ORIENTED_EDGE('',*,*,#26119,.F.);
#36737=ORIENTED_EDGE('',*,*,#26120,.F.);
#36738=ORIENTED_EDGE('',*,*,#26121,.F.);
#36739=ORIENTED_EDGE('',*,*,#26122,.T.);
#36740=ORIENTED_EDGE('',*,*,#26123,.F.);
#36741=ORIENTED_EDGE('',*,*,#26045,.T.);
#36742=ORIENTED_EDGE('',*,*,#25996,.T.);
#36743=ORIENTED_EDGE('',*,*,#26124,.T.);
#36744=ORIENTED_EDGE('',*,*,#26125,.T.);
#36745=ORIENTED_EDGE('',*,*,#26038,.T.);
#36746=ORIENTED_EDGE('',*,*,#25994,.T.);
#36747=ORIENTED_EDGE('',*,*,#26126,.T.);
#36748=ORIENTED_EDGE('',*,*,#26127,.T.);
#36749=ORIENTED_EDGE('',*,*,#26055,.T.);
#36750=ORIENTED_EDGE('',*,*,#26008,.T.);
#36751=ORIENTED_EDGE('',*,*,#26128,.T.);
#36752=ORIENTED_EDGE('',*,*,#26129,.T.);
#36753=ORIENTED_EDGE('',*,*,#26032,.T.);
#36754=ORIENTED_EDGE('',*,*,#25986,.T.);
#36755=ORIENTED_EDGE('',*,*,#26130,.T.);
#36756=ORIENTED_EDGE('',*,*,#26131,.T.);
#36757=ORIENTED_EDGE('',*,*,#26065,.T.);
#36758=ORIENTED_EDGE('',*,*,#26016,.T.);
#36759=ORIENTED_EDGE('',*,*,#26132,.T.);
#36760=ORIENTED_EDGE('',*,*,#26133,.T.);
#36761=ORIENTED_EDGE('',*,*,#26026,.F.);
#36762=ORIENTED_EDGE('',*,*,#26113,.T.);
#36763=ORIENTED_EDGE('',*,*,#26069,.T.);
#36764=ORIENTED_EDGE('',*,*,#26091,.F.);
#36765=ORIENTED_EDGE('',*,*,#26134,.F.);
#36766=ORIENTED_EDGE('',*,*,#26114,.T.);
#36767=ORIENTED_EDGE('',*,*,#26134,.T.);
#36768=ORIENTED_EDGE('',*,*,#26090,.F.);
#36769=ORIENTED_EDGE('',*,*,#26135,.F.);
#36770=ORIENTED_EDGE('',*,*,#26115,.T.);
#36771=ORIENTED_EDGE('',*,*,#26135,.T.);
#36772=ORIENTED_EDGE('',*,*,#26089,.F.);
#36773=ORIENTED_EDGE('',*,*,#26136,.F.);
#36774=ORIENTED_EDGE('',*,*,#26116,.T.);
#36775=ORIENTED_EDGE('',*,*,#26136,.T.);
#36776=ORIENTED_EDGE('',*,*,#26088,.F.);
#36777=ORIENTED_EDGE('',*,*,#26137,.F.);
#36778=ORIENTED_EDGE('',*,*,#26117,.T.);
#36779=ORIENTED_EDGE('',*,*,#26137,.T.);
#36780=ORIENTED_EDGE('',*,*,#26087,.F.);
#36781=ORIENTED_EDGE('',*,*,#26138,.F.);
#36782=ORIENTED_EDGE('',*,*,#26118,.T.);
#36783=ORIENTED_EDGE('',*,*,#26138,.T.);
#36784=ORIENTED_EDGE('',*,*,#26086,.F.);
#36785=ORIENTED_EDGE('',*,*,#26139,.F.);
#36786=ORIENTED_EDGE('',*,*,#26119,.T.);
#36787=ORIENTED_EDGE('',*,*,#26139,.T.);
#36788=ORIENTED_EDGE('',*,*,#26085,.F.);
#36789=ORIENTED_EDGE('',*,*,#26140,.F.);
#36790=ORIENTED_EDGE('',*,*,#26120,.T.);
#36791=ORIENTED_EDGE('',*,*,#26140,.T.);
#36792=ORIENTED_EDGE('',*,*,#26084,.F.);
#36793=ORIENTED_EDGE('',*,*,#26141,.F.);
#36794=ORIENTED_EDGE('',*,*,#26121,.T.);
#36795=ORIENTED_EDGE('',*,*,#26141,.T.);
#36796=ORIENTED_EDGE('',*,*,#26083,.F.);
#36797=ORIENTED_EDGE('',*,*,#26142,.F.);
#36798=ORIENTED_EDGE('',*,*,#26122,.F.);
#36799=ORIENTED_EDGE('',*,*,#26142,.T.);
#36800=ORIENTED_EDGE('',*,*,#26082,.F.);
#36801=ORIENTED_EDGE('',*,*,#26143,.F.);
#36802=ORIENTED_EDGE('',*,*,#26123,.T.);
#36803=ORIENTED_EDGE('',*,*,#26143,.T.);
#36804=ORIENTED_EDGE('',*,*,#26081,.F.);
#36805=ORIENTED_EDGE('',*,*,#26046,.F.);
#36806=ORIENTED_EDGE('',*,*,#26017,.T.);
#36807=ORIENTED_EDGE('',*,*,#26112,.F.);
#36808=ORIENTED_EDGE('',*,*,#26144,.T.);
#36809=ORIENTED_EDGE('',*,*,#26103,.T.);
#36810=ORIENTED_EDGE('',*,*,#25977,.T.);
#36811=ORIENTED_EDGE('',*,*,#26105,.T.);
#36812=ORIENTED_EDGE('',*,*,#26145,.F.);
#36813=ORIENTED_EDGE('',*,*,#26110,.T.);
#36814=ORIENTED_EDGE('',*,*,#26102,.T.);
#36815=ORIENTED_EDGE('',*,*,#26146,.F.);
#36816=ORIENTED_EDGE('',*,*,#26093,.F.);
#36817=ORIENTED_EDGE('',*,*,#26096,.F.);
#36818=ORIENTED_EDGE('',*,*,#25975,.T.);
#36819=ORIENTED_EDGE('',*,*,#26094,.F.);
#36820=ORIENTED_EDGE('',*,*,#26146,.T.);
#36821=ORIENTED_EDGE('',*,*,#26101,.T.);
#36822=ORIENTED_EDGE('',*,*,#26104,.T.);
#36823=ORIENTED_EDGE('',*,*,#26144,.F.);
#36824=ORIENTED_EDGE('',*,*,#26111,.F.);
#36825=ORIENTED_EDGE('',*,*,#26145,.T.);
#36826=ORIENTED_EDGE('',*,*,#25995,.T.);
#36827=ORIENTED_EDGE('',*,*,#26042,.T.);
#36828=ORIENTED_EDGE('',*,*,#26147,.F.);
#36829=ORIENTED_EDGE('',*,*,#26124,.F.);
#36830=ORIENTED_EDGE('',*,*,#26044,.T.);
#36831=ORIENTED_EDGE('',*,*,#26148,.T.);
#36832=ORIENTED_EDGE('',*,*,#26079,.F.);
#36833=ORIENTED_EDGE('',*,*,#26036,.F.);
#36834=ORIENTED_EDGE('',*,*,#25999,.T.);
#36835=ORIENTED_EDGE('',*,*,#26080,.F.);
#36836=ORIENTED_EDGE('',*,*,#26148,.F.);
#36837=ORIENTED_EDGE('',*,*,#26043,.T.);
#36838=ORIENTED_EDGE('',*,*,#26041,.T.);
#36839=ORIENTED_EDGE('',*,*,#26039,.F.);
#36840=ORIENTED_EDGE('',*,*,#26125,.F.);
#36841=ORIENTED_EDGE('',*,*,#26147,.T.);
#36842=ORIENTED_EDGE('',*,*,#26098,.T.);
#36843=ORIENTED_EDGE('',*,*,#26149,.T.);
#36844=ORIENTED_EDGE('',*,*,#26077,.F.);
#36845=ORIENTED_EDGE('',*,*,#26049,.F.);
#36846=ORIENTED_EDGE('',*,*,#25991,.T.);
#36847=ORIENTED_EDGE('',*,*,#26078,.F.);
#36848=ORIENTED_EDGE('',*,*,#26149,.F.);
#36849=ORIENTED_EDGE('',*,*,#26097,.T.);
#36850=ORIENTED_EDGE('',*,*,#25993,.T.);
#36851=ORIENTED_EDGE('',*,*,#26100,.T.);
#36852=ORIENTED_EDGE('',*,*,#26150,.F.);
#36853=ORIENTED_EDGE('',*,*,#26126,.F.);
#36854=ORIENTED_EDGE('',*,*,#26099,.T.);
#36855=ORIENTED_EDGE('',*,*,#26056,.F.);
#36856=ORIENTED_EDGE('',*,*,#26127,.F.);
#36857=ORIENTED_EDGE('',*,*,#26150,.T.);
#36858=ORIENTED_EDGE('',*,*,#26007,.T.);
#36859=ORIENTED_EDGE('',*,*,#26052,.T.);
#36860=ORIENTED_EDGE('',*,*,#26151,.F.);
#36861=ORIENTED_EDGE('',*,*,#26128,.F.);
#36862=ORIENTED_EDGE('',*,*,#26054,.T.);
#36863=ORIENTED_EDGE('',*,*,#26152,.T.);
#36864=ORIENTED_EDGE('',*,*,#26075,.F.);
#36865=ORIENTED_EDGE('',*,*,#26030,.F.);
#36866=ORIENTED_EDGE('',*,*,#26005,.T.);
#36867=ORIENTED_EDGE('',*,*,#26076,.F.);
#36868=ORIENTED_EDGE('',*,*,#26152,.F.);
#36869=ORIENTED_EDGE('',*,*,#26053,.T.);
#36870=ORIENTED_EDGE('',*,*,#26051,.T.);
#36871=ORIENTED_EDGE('',*,*,#26033,.F.);
#36872=ORIENTED_EDGE('',*,*,#26129,.F.);
#36873=ORIENTED_EDGE('',*,*,#26151,.T.);
#36874=ORIENTED_EDGE('',*,*,#26015,.T.);
#36875=ORIENTED_EDGE('',*,*,#26062,.T.);
#36876=ORIENTED_EDGE('',*,*,#26153,.F.);
#36877=ORIENTED_EDGE('',*,*,#26132,.F.);
#36878=ORIENTED_EDGE('',*,*,#26064,.T.);
#36879=ORIENTED_EDGE('',*,*,#26154,.F.);
#36880=ORIENTED_EDGE('',*,*,#26071,.F.);
#36881=ORIENTED_EDGE('',*,*,#26024,.F.);
#36882=ORIENTED_EDGE('',*,*,#26013,.T.);
#36883=ORIENTED_EDGE('',*,*,#26072,.F.);
#36884=ORIENTED_EDGE('',*,*,#26154,.T.);
#36885=ORIENTED_EDGE('',*,*,#26063,.T.);
#36886=ORIENTED_EDGE('',*,*,#26061,.T.);
#36887=ORIENTED_EDGE('',*,*,#26027,.F.);
#36888=ORIENTED_EDGE('',*,*,#26133,.F.);
#36889=ORIENTED_EDGE('',*,*,#26153,.T.);
#36890=ORIENTED_EDGE('',*,*,#25985,.T.);
#36891=ORIENTED_EDGE('',*,*,#26109,.T.);
#36892=ORIENTED_EDGE('',*,*,#26155,.F.);
#36893=ORIENTED_EDGE('',*,*,#26130,.F.);
#36894=ORIENTED_EDGE('',*,*,#25983,.T.);
#36895=ORIENTED_EDGE('',*,*,#26074,.F.);
#36896=ORIENTED_EDGE('',*,*,#26156,.F.);
#36897=ORIENTED_EDGE('',*,*,#26106,.T.);
#36898=ORIENTED_EDGE('',*,*,#26108,.T.);
#36899=ORIENTED_EDGE('',*,*,#26066,.F.);
#36900=ORIENTED_EDGE('',*,*,#26131,.F.);
#36901=ORIENTED_EDGE('',*,*,#26155,.T.);
#36902=ORIENTED_EDGE('',*,*,#26107,.T.);
#36903=ORIENTED_EDGE('',*,*,#26156,.T.);
#36904=ORIENTED_EDGE('',*,*,#26073,.F.);
#36905=ORIENTED_EDGE('',*,*,#26059,.F.);
#36906=ORIENTED_EDGE('',*,*,#26157,.T.);
#36907=ORIENTED_EDGE('',*,*,#26158,.T.);
#36908=ORIENTED_EDGE('',*,*,#26159,.T.);
#36909=ORIENTED_EDGE('',*,*,#26160,.T.);
#36910=ORIENTED_EDGE('',*,*,#26159,.F.);
#36911=ORIENTED_EDGE('',*,*,#26161,.T.);
#36912=ORIENTED_EDGE('',*,*,#26162,.T.);
#36913=ORIENTED_EDGE('',*,*,#26163,.T.);
#36914=ORIENTED_EDGE('',*,*,#26158,.F.);
#36915=ORIENTED_EDGE('',*,*,#26164,.T.);
#36916=ORIENTED_EDGE('',*,*,#26165,.T.);
#36917=ORIENTED_EDGE('',*,*,#26166,.T.);
#36918=ORIENTED_EDGE('',*,*,#26167,.F.);
#36919=ORIENTED_EDGE('',*,*,#26168,.F.);
#36920=ORIENTED_EDGE('',*,*,#26169,.F.);
#36921=ORIENTED_EDGE('',*,*,#26170,.T.);
#36922=ORIENTED_EDGE('',*,*,#26171,.F.);
#36923=ORIENTED_EDGE('',*,*,#26172,.T.);
#36924=ORIENTED_EDGE('',*,*,#26173,.T.);
#36925=ORIENTED_EDGE('',*,*,#26174,.T.);
#36926=ORIENTED_EDGE('',*,*,#26175,.F.);
#36927=ORIENTED_EDGE('',*,*,#26176,.T.);
#36928=ORIENTED_EDGE('',*,*,#26177,.T.);
#36929=ORIENTED_EDGE('',*,*,#26178,.T.);
#36930=ORIENTED_EDGE('',*,*,#26179,.F.);
#36931=ORIENTED_EDGE('',*,*,#26180,.T.);
#36932=ORIENTED_EDGE('',*,*,#26181,.T.);
#36933=ORIENTED_EDGE('',*,*,#26182,.T.);
#36934=ORIENTED_EDGE('',*,*,#26183,.F.);
#36935=ORIENTED_EDGE('',*,*,#26184,.T.);
#36936=ORIENTED_EDGE('',*,*,#26185,.T.);
#36937=ORIENTED_EDGE('',*,*,#26186,.T.);
#36938=ORIENTED_EDGE('',*,*,#26187,.F.);
#36939=ORIENTED_EDGE('',*,*,#26188,.T.);
#36940=ORIENTED_EDGE('',*,*,#26189,.T.);
#36941=ORIENTED_EDGE('',*,*,#26190,.T.);
#36942=ORIENTED_EDGE('',*,*,#26191,.T.);
#36943=ORIENTED_EDGE('',*,*,#26187,.T.);
#36944=ORIENTED_EDGE('',*,*,#26192,.T.);
#36945=ORIENTED_EDGE('',*,*,#26193,.T.);
#36946=ORIENTED_EDGE('',*,*,#26192,.F.);
#36947=ORIENTED_EDGE('',*,*,#26194,.T.);
#36948=ORIENTED_EDGE('',*,*,#26195,.T.);
#36949=ORIENTED_EDGE('',*,*,#26196,.T.);
#36950=ORIENTED_EDGE('',*,*,#26197,.T.);
#36951=ORIENTED_EDGE('',*,*,#26183,.T.);
#36952=ORIENTED_EDGE('',*,*,#26198,.T.);
#36953=ORIENTED_EDGE('',*,*,#26199,.T.);
#36954=ORIENTED_EDGE('',*,*,#26198,.F.);
#36955=ORIENTED_EDGE('',*,*,#26200,.T.);
#36956=ORIENTED_EDGE('',*,*,#26201,.T.);
#36957=ORIENTED_EDGE('',*,*,#26202,.T.);
#36958=ORIENTED_EDGE('',*,*,#26203,.T.);
#36959=ORIENTED_EDGE('',*,*,#26179,.T.);
#36960=ORIENTED_EDGE('',*,*,#26204,.T.);
#36961=ORIENTED_EDGE('',*,*,#26205,.T.);
#36962=ORIENTED_EDGE('',*,*,#26204,.F.);
#36963=ORIENTED_EDGE('',*,*,#26206,.T.);
#36964=ORIENTED_EDGE('',*,*,#26207,.T.);
#36965=ORIENTED_EDGE('',*,*,#26208,.T.);
#36966=ORIENTED_EDGE('',*,*,#26209,.T.);
#36967=ORIENTED_EDGE('',*,*,#26175,.T.);
#36968=ORIENTED_EDGE('',*,*,#26210,.T.);
#36969=ORIENTED_EDGE('',*,*,#26211,.T.);
#36970=ORIENTED_EDGE('',*,*,#26210,.F.);
#36971=ORIENTED_EDGE('',*,*,#26212,.T.);
#36972=ORIENTED_EDGE('',*,*,#26213,.T.);
#36973=ORIENTED_EDGE('',*,*,#26214,.T.);
#36974=ORIENTED_EDGE('',*,*,#26215,.T.);
#36975=ORIENTED_EDGE('',*,*,#26216,.T.);
#36976=ORIENTED_EDGE('',*,*,#26217,.T.);
#36977=ORIENTED_EDGE('',*,*,#26218,.T.);
#36978=ORIENTED_EDGE('',*,*,#26219,.T.);
#36979=ORIENTED_EDGE('',*,*,#26220,.T.);
#36980=ORIENTED_EDGE('',*,*,#26217,.F.);
#36981=ORIENTED_EDGE('',*,*,#26221,.T.);
#36982=ORIENTED_EDGE('',*,*,#26222,.T.);
#36983=ORIENTED_EDGE('',*,*,#26223,.T.);
#36984=ORIENTED_EDGE('',*,*,#26219,.F.);
#36985=ORIENTED_EDGE('',*,*,#26224,.T.);
#36986=ORIENTED_EDGE('',*,*,#26225,.T.);
#36987=ORIENTED_EDGE('',*,*,#26226,.T.);
#36988=ORIENTED_EDGE('',*,*,#26222,.F.);
#36989=ORIENTED_EDGE('',*,*,#26227,.T.);
#36990=ORIENTED_EDGE('',*,*,#26228,.T.);
#36991=ORIENTED_EDGE('',*,*,#26171,.T.);
#36992=ORIENTED_EDGE('',*,*,#26225,.F.);
#36993=ORIENTED_EDGE('',*,*,#26229,.T.);
#36994=ORIENTED_EDGE('',*,*,#26229,.F.);
#36995=ORIENTED_EDGE('',*,*,#26227,.F.);
#36996=ORIENTED_EDGE('',*,*,#26224,.F.);
#36997=ORIENTED_EDGE('',*,*,#26221,.F.);
#36998=ORIENTED_EDGE('',*,*,#26216,.F.);
#36999=ORIENTED_EDGE('',*,*,#26230,.F.);
#37000=ORIENTED_EDGE('',*,*,#26231,.F.);
#37001=ORIENTED_EDGE('',*,*,#26232,.F.);
#37002=ORIENTED_EDGE('',*,*,#26233,.F.);
#37003=ORIENTED_EDGE('',*,*,#26234,.F.);
#37004=ORIENTED_EDGE('',*,*,#26215,.F.);
#37005=ORIENTED_EDGE('',*,*,#26235,.T.);
#37006=ORIENTED_EDGE('',*,*,#26236,.T.);
#37007=ORIENTED_EDGE('',*,*,#26230,.T.);
#37008=ORIENTED_EDGE('',*,*,#26218,.F.);
#37009=ORIENTED_EDGE('',*,*,#26237,.F.);
#37010=ORIENTED_EDGE('',*,*,#26238,.F.);
#37011=ORIENTED_EDGE('',*,*,#26239,.T.);
#37012=ORIENTED_EDGE('',*,*,#26240,.F.);
#37013=ORIENTED_EDGE('',*,*,#26241,.T.);
#37014=ORIENTED_EDGE('',*,*,#26242,.T.);
#37015=ORIENTED_EDGE('',*,*,#26243,.T.);
#37016=ORIENTED_EDGE('',*,*,#26244,.F.);
#37017=ORIENTED_EDGE('',*,*,#26245,.T.);
#37018=ORIENTED_EDGE('',*,*,#26246,.T.);
#37019=ORIENTED_EDGE('',*,*,#26247,.T.);
#37020=ORIENTED_EDGE('',*,*,#26248,.T.);
#37021=ORIENTED_EDGE('',*,*,#26244,.T.);
#37022=ORIENTED_EDGE('',*,*,#26249,.T.);
#37023=ORIENTED_EDGE('',*,*,#26250,.T.);
#37024=ORIENTED_EDGE('',*,*,#26250,.F.);
#37025=ORIENTED_EDGE('',*,*,#26251,.F.);
#37026=ORIENTED_EDGE('',*,*,#26252,.F.);
#37027=ORIENTED_EDGE('',*,*,#26253,.F.);
#37028=ORIENTED_EDGE('',*,*,#26249,.F.);
#37029=ORIENTED_EDGE('',*,*,#26254,.T.);
#37030=ORIENTED_EDGE('',*,*,#26255,.T.);
#37031=ORIENTED_EDGE('',*,*,#26251,.T.);
#37032=ORIENTED_EDGE('',*,*,#26256,.T.);
#37033=ORIENTED_EDGE('',*,*,#26257,.T.);
#37034=ORIENTED_EDGE('',*,*,#26258,.T.);
#37035=ORIENTED_EDGE('',*,*,#26167,.T.);
#37036=ORIENTED_EDGE('',*,*,#26259,.T.);
#37037=ORIENTED_EDGE('',*,*,#26260,.T.);
#37038=ORIENTED_EDGE('',*,*,#26258,.F.);
#37039=ORIENTED_EDGE('',*,*,#26261,.T.);
#37040=ORIENTED_EDGE('',*,*,#26262,.T.);
#37041=ORIENTED_EDGE('',*,*,#26263,.T.);
#37042=ORIENTED_EDGE('',*,*,#26259,.F.);
#37043=ORIENTED_EDGE('',*,*,#26264,.T.);
#37044=ORIENTED_EDGE('',*,*,#26265,.T.);
#37045=ORIENTED_EDGE('',*,*,#26266,.T.);
#37046=ORIENTED_EDGE('',*,*,#26262,.F.);
#37047=ORIENTED_EDGE('',*,*,#26267,.T.);
#37048=ORIENTED_EDGE('',*,*,#26268,.T.);
#37049=ORIENTED_EDGE('',*,*,#26240,.T.);
#37050=ORIENTED_EDGE('',*,*,#26265,.F.);
#37051=ORIENTED_EDGE('',*,*,#26269,.T.);
#37052=ORIENTED_EDGE('',*,*,#26268,.F.);
#37053=ORIENTED_EDGE('',*,*,#26270,.T.);
#37054=ORIENTED_EDGE('',*,*,#26271,.T.);
#37055=ORIENTED_EDGE('',*,*,#26272,.T.);
#37056=ORIENTED_EDGE('',*,*,#26269,.F.);
#37057=ORIENTED_EDGE('',*,*,#26267,.F.);
#37058=ORIENTED_EDGE('',*,*,#26264,.F.);
#37059=ORIENTED_EDGE('',*,*,#26261,.F.);
#37060=ORIENTED_EDGE('',*,*,#26257,.F.);
#37061=ORIENTED_EDGE('',*,*,#26273,.F.);
#37062=ORIENTED_EDGE('',*,*,#26274,.F.);
#37063=ORIENTED_EDGE('',*,*,#26275,.F.);
#37064=ORIENTED_EDGE('',*,*,#26276,.F.);
#37065=ORIENTED_EDGE('',*,*,#26270,.F.);
#37066=ORIENTED_EDGE('',*,*,#26254,.F.);
#37067=ORIENTED_EDGE('',*,*,#26243,.F.);
#37068=ORIENTED_EDGE('',*,*,#26277,.T.);
#37069=ORIENTED_EDGE('',*,*,#26278,.F.);
#37070=ORIENTED_EDGE('',*,*,#26256,.F.);
#37071=ORIENTED_EDGE('',*,*,#26279,.T.);
#37072=ORIENTED_EDGE('',*,*,#26280,.T.);
#37073=ORIENTED_EDGE('',*,*,#26273,.T.);
#37074=ORIENTED_EDGE('',*,*,#26279,.F.);
#37075=ORIENTED_EDGE('',*,*,#26166,.F.);
#37076=ORIENTED_EDGE('',*,*,#26281,.T.);
#37077=ORIENTED_EDGE('',*,*,#26282,.F.);
#37078=ORIENTED_EDGE('',*,*,#26157,.F.);
#37079=ORIENTED_EDGE('',*,*,#26283,.T.);
#37080=ORIENTED_EDGE('',*,*,#26284,.T.);
#37081=ORIENTED_EDGE('',*,*,#26285,.T.);
#37082=ORIENTED_EDGE('',*,*,#26160,.F.);
#37083=ORIENTED_EDGE('',*,*,#26163,.F.);
#37084=ORIENTED_EDGE('',*,*,#26286,.F.);
#37085=ORIENTED_EDGE('',*,*,#26283,.F.);
#37086=ORIENTED_EDGE('',*,*,#26161,.F.);
#37087=ORIENTED_EDGE('',*,*,#26190,.F.);
#37088=ORIENTED_EDGE('',*,*,#26287,.T.);
#37089=ORIENTED_EDGE('',*,*,#26288,.F.);
#37090=ORIENTED_EDGE('',*,*,#26191,.F.);
#37091=ORIENTED_EDGE('',*,*,#26289,.T.);
#37092=ORIENTED_EDGE('',*,*,#26290,.T.);
#37093=ORIENTED_EDGE('',*,*,#26291,.T.);
#37094=ORIENTED_EDGE('',*,*,#26193,.F.);
#37095=ORIENTED_EDGE('',*,*,#26196,.F.);
#37096=ORIENTED_EDGE('',*,*,#26292,.F.);
#37097=ORIENTED_EDGE('',*,*,#26289,.F.);
#37098=ORIENTED_EDGE('',*,*,#26194,.F.);
#37099=ORIENTED_EDGE('',*,*,#26186,.F.);
#37100=ORIENTED_EDGE('',*,*,#26293,.T.);
#37101=ORIENTED_EDGE('',*,*,#26294,.F.);
#37102=ORIENTED_EDGE('',*,*,#26197,.F.);
#37103=ORIENTED_EDGE('',*,*,#26295,.T.);
#37104=ORIENTED_EDGE('',*,*,#26296,.T.);
#37105=ORIENTED_EDGE('',*,*,#26297,.T.);
#37106=ORIENTED_EDGE('',*,*,#26199,.F.);
#37107=ORIENTED_EDGE('',*,*,#26202,.F.);
#37108=ORIENTED_EDGE('',*,*,#26298,.F.);
#37109=ORIENTED_EDGE('',*,*,#26295,.F.);
#37110=ORIENTED_EDGE('',*,*,#26200,.F.);
#37111=ORIENTED_EDGE('',*,*,#26182,.F.);
#37112=ORIENTED_EDGE('',*,*,#26299,.T.);
#37113=ORIENTED_EDGE('',*,*,#26300,.F.);
#37114=ORIENTED_EDGE('',*,*,#26203,.F.);
#37115=ORIENTED_EDGE('',*,*,#26301,.T.);
#37116=ORIENTED_EDGE('',*,*,#26302,.T.);
#37117=ORIENTED_EDGE('',*,*,#26303,.T.);
#37118=ORIENTED_EDGE('',*,*,#26205,.F.);
#37119=ORIENTED_EDGE('',*,*,#26208,.F.);
#37120=ORIENTED_EDGE('',*,*,#26304,.F.);
#37121=ORIENTED_EDGE('',*,*,#26301,.F.);
#37122=ORIENTED_EDGE('',*,*,#26206,.F.);
#37123=ORIENTED_EDGE('',*,*,#26178,.F.);
#37124=ORIENTED_EDGE('',*,*,#26305,.T.);
#37125=ORIENTED_EDGE('',*,*,#26306,.F.);
#37126=ORIENTED_EDGE('',*,*,#26209,.F.);
#37127=ORIENTED_EDGE('',*,*,#26307,.T.);
#37128=ORIENTED_EDGE('',*,*,#26308,.T.);
#37129=ORIENTED_EDGE('',*,*,#26309,.T.);
#37130=ORIENTED_EDGE('',*,*,#26211,.F.);
#37131=ORIENTED_EDGE('',*,*,#26214,.F.);
#37132=ORIENTED_EDGE('',*,*,#26310,.F.);
#37133=ORIENTED_EDGE('',*,*,#26307,.F.);
#37134=ORIENTED_EDGE('',*,*,#26212,.F.);
#37135=ORIENTED_EDGE('',*,*,#26174,.F.);
#37136=ORIENTED_EDGE('',*,*,#26311,.T.);
#37137=ORIENTED_EDGE('',*,*,#26312,.F.);
#37138=ORIENTED_EDGE('',*,*,#26228,.F.);
#37139=ORIENTED_EDGE('',*,*,#26234,.T.);
#37140=ORIENTED_EDGE('',*,*,#26313,.T.);
#37141=ORIENTED_EDGE('',*,*,#26314,.T.);
#37142=ORIENTED_EDGE('',*,*,#26314,.F.);
#37143=ORIENTED_EDGE('',*,*,#26315,.F.);
#37144=ORIENTED_EDGE('',*,*,#26316,.F.);
#37145=ORIENTED_EDGE('',*,*,#26172,.F.);
#37146=ORIENTED_EDGE('',*,*,#26235,.F.);
#37147=ORIENTED_EDGE('',*,*,#26247,.F.);
#37148=ORIENTED_EDGE('',*,*,#26317,.T.);
#37149=ORIENTED_EDGE('',*,*,#26318,.F.);
#37150=ORIENTED_EDGE('',*,*,#26248,.F.);
#37151=ORIENTED_EDGE('',*,*,#26253,.T.);
#37152=ORIENTED_EDGE('',*,*,#26319,.T.);
#37153=ORIENTED_EDGE('',*,*,#26320,.T.);
#37154=ORIENTED_EDGE('',*,*,#26320,.F.);
#37155=ORIENTED_EDGE('',*,*,#26321,.F.);
#37156=ORIENTED_EDGE('',*,*,#26322,.F.);
#37157=ORIENTED_EDGE('',*,*,#26245,.F.);
#37158=ORIENTED_EDGE('',*,*,#26323,.F.);
#37159=ORIENTED_EDGE('',*,*,#26299,.F.);
#37160=ORIENTED_EDGE('',*,*,#26181,.F.);
#37161=ORIENTED_EDGE('',*,*,#26324,.T.);
#37162=ORIENTED_EDGE('',*,*,#26303,.F.);
#37163=ORIENTED_EDGE('',*,*,#26325,.F.);
#37164=ORIENTED_EDGE('',*,*,#26324,.F.);
#37165=ORIENTED_EDGE('',*,*,#26180,.F.);
#37166=ORIENTED_EDGE('',*,*,#26326,.F.);
#37167=ORIENTED_EDGE('',*,*,#26281,.F.);
#37168=ORIENTED_EDGE('',*,*,#26165,.F.);
#37169=ORIENTED_EDGE('',*,*,#26327,.T.);
#37170=ORIENTED_EDGE('',*,*,#26285,.F.);
#37171=ORIENTED_EDGE('',*,*,#26328,.F.);
#37172=ORIENTED_EDGE('',*,*,#26327,.F.);
#37173=ORIENTED_EDGE('',*,*,#26164,.F.);
#37174=ORIENTED_EDGE('',*,*,#26329,.F.);
#37175=ORIENTED_EDGE('',*,*,#26305,.F.);
#37176=ORIENTED_EDGE('',*,*,#26177,.F.);
#37177=ORIENTED_EDGE('',*,*,#26330,.T.);
#37178=ORIENTED_EDGE('',*,*,#26309,.F.);
#37179=ORIENTED_EDGE('',*,*,#26331,.F.);
#37180=ORIENTED_EDGE('',*,*,#26330,.F.);
#37181=ORIENTED_EDGE('',*,*,#26176,.F.);
#37182=ORIENTED_EDGE('',*,*,#26332,.F.);
#37183=ORIENTED_EDGE('',*,*,#26293,.F.);
#37184=ORIENTED_EDGE('',*,*,#26185,.F.);
#37185=ORIENTED_EDGE('',*,*,#26333,.T.);
#37186=ORIENTED_EDGE('',*,*,#26297,.F.);
#37187=ORIENTED_EDGE('',*,*,#26334,.F.);
#37188=ORIENTED_EDGE('',*,*,#26333,.F.);
#37189=ORIENTED_EDGE('',*,*,#26184,.F.);
#37190=ORIENTED_EDGE('',*,*,#26335,.F.);
#37191=ORIENTED_EDGE('',*,*,#26287,.F.);
#37192=ORIENTED_EDGE('',*,*,#26189,.F.);
#37193=ORIENTED_EDGE('',*,*,#26336,.T.);
#37194=ORIENTED_EDGE('',*,*,#26291,.F.);
#37195=ORIENTED_EDGE('',*,*,#26337,.F.);
#37196=ORIENTED_EDGE('',*,*,#26336,.F.);
#37197=ORIENTED_EDGE('',*,*,#26188,.F.);
#37198=ORIENTED_EDGE('',*,*,#26338,.F.);
#37199=ORIENTED_EDGE('',*,*,#26311,.F.);
#37200=ORIENTED_EDGE('',*,*,#26173,.F.);
#37201=ORIENTED_EDGE('',*,*,#26316,.T.);
#37202=ORIENTED_EDGE('',*,*,#26280,.F.);
#37203=ORIENTED_EDGE('',*,*,#26282,.T.);
#37204=ORIENTED_EDGE('',*,*,#26326,.T.);
#37205=ORIENTED_EDGE('',*,*,#26328,.T.);
#37206=ORIENTED_EDGE('',*,*,#26284,.F.);
#37207=ORIENTED_EDGE('',*,*,#26286,.T.);
#37208=ORIENTED_EDGE('',*,*,#26162,.F.);
#37209=ORIENTED_EDGE('',*,*,#26288,.T.);
#37210=ORIENTED_EDGE('',*,*,#26335,.T.);
#37211=ORIENTED_EDGE('',*,*,#26337,.T.);
#37212=ORIENTED_EDGE('',*,*,#26290,.F.);
#37213=ORIENTED_EDGE('',*,*,#26292,.T.);
#37214=ORIENTED_EDGE('',*,*,#26195,.F.);
#37215=ORIENTED_EDGE('',*,*,#26294,.T.);
#37216=ORIENTED_EDGE('',*,*,#26332,.T.);
#37217=ORIENTED_EDGE('',*,*,#26334,.T.);
#37218=ORIENTED_EDGE('',*,*,#26296,.F.);
#37219=ORIENTED_EDGE('',*,*,#26298,.T.);
#37220=ORIENTED_EDGE('',*,*,#26201,.F.);
#37221=ORIENTED_EDGE('',*,*,#26300,.T.);
#37222=ORIENTED_EDGE('',*,*,#26323,.T.);
#37223=ORIENTED_EDGE('',*,*,#26325,.T.);
#37224=ORIENTED_EDGE('',*,*,#26302,.F.);
#37225=ORIENTED_EDGE('',*,*,#26304,.T.);
#37226=ORIENTED_EDGE('',*,*,#26207,.F.);
#37227=ORIENTED_EDGE('',*,*,#26306,.T.);
#37228=ORIENTED_EDGE('',*,*,#26329,.T.);
#37229=ORIENTED_EDGE('',*,*,#26331,.T.);
#37230=ORIENTED_EDGE('',*,*,#26308,.F.);
#37231=ORIENTED_EDGE('',*,*,#26310,.T.);
#37232=ORIENTED_EDGE('',*,*,#26213,.F.);
#37233=ORIENTED_EDGE('',*,*,#26312,.T.);
#37234=ORIENTED_EDGE('',*,*,#26338,.T.);
#37235=ORIENTED_EDGE('',*,*,#26315,.T.);
#37236=ORIENTED_EDGE('',*,*,#26313,.F.);
#37237=ORIENTED_EDGE('',*,*,#26233,.T.);
#37238=ORIENTED_EDGE('',*,*,#26339,.F.);
#37239=ORIENTED_EDGE('',*,*,#26340,.T.);
#37240=ORIENTED_EDGE('',*,*,#26341,.T.);
#37241=ORIENTED_EDGE('',*,*,#26342,.F.);
#37242=ORIENTED_EDGE('',*,*,#26343,.T.);
#37243=ORIENTED_EDGE('',*,*,#26344,.T.);
#37244=ORIENTED_EDGE('',*,*,#26345,.T.);
#37245=ORIENTED_EDGE('',*,*,#26274,.T.);
#37246=ORIENTED_EDGE('',*,*,#26346,.F.);
#37247=ORIENTED_EDGE('',*,*,#26317,.F.);
#37248=ORIENTED_EDGE('',*,*,#26246,.F.);
#37249=ORIENTED_EDGE('',*,*,#26322,.T.);
#37250=ORIENTED_EDGE('',*,*,#26347,.F.);
#37251=ORIENTED_EDGE('',*,*,#26277,.F.);
#37252=ORIENTED_EDGE('',*,*,#26242,.F.);
#37253=ORIENTED_EDGE('',*,*,#26348,.T.);
#37254=ORIENTED_EDGE('',*,*,#26272,.F.);
#37255=ORIENTED_EDGE('',*,*,#26349,.F.);
#37256=ORIENTED_EDGE('',*,*,#26348,.F.);
#37257=ORIENTED_EDGE('',*,*,#26241,.F.);
#37258=ORIENTED_EDGE('',*,*,#26255,.F.);
#37259=ORIENTED_EDGE('',*,*,#26278,.T.);
#37260=ORIENTED_EDGE('',*,*,#26347,.T.);
#37261=ORIENTED_EDGE('',*,*,#26349,.T.);
#37262=ORIENTED_EDGE('',*,*,#26271,.F.);
#37263=ORIENTED_EDGE('',*,*,#26276,.T.);
#37264=ORIENTED_EDGE('',*,*,#26350,.F.);
#37265=ORIENTED_EDGE('',*,*,#26351,.T.);
#37266=ORIENTED_EDGE('',*,*,#26352,.T.);
#37267=ORIENTED_EDGE('',*,*,#26353,.F.);
#37268=ORIENTED_EDGE('',*,*,#26354,.F.);
#37269=ORIENTED_EDGE('',*,*,#26355,.T.);
#37270=ORIENTED_EDGE('',*,*,#26356,.T.);
#37271=ORIENTED_EDGE('',*,*,#26231,.T.);
#37272=ORIENTED_EDGE('',*,*,#26236,.F.);
#37273=ORIENTED_EDGE('',*,*,#26318,.T.);
#37274=ORIENTED_EDGE('',*,*,#26346,.T.);
#37275=ORIENTED_EDGE('',*,*,#26321,.T.);
#37276=ORIENTED_EDGE('',*,*,#26319,.F.);
#37277=ORIENTED_EDGE('',*,*,#26252,.T.);
#37278=ORIENTED_EDGE('',*,*,#26266,.F.);
#37279=ORIENTED_EDGE('',*,*,#26239,.F.);
#37280=ORIENTED_EDGE('',*,*,#26357,.T.);
#37281=ORIENTED_EDGE('',*,*,#26358,.T.);
#37282=ORIENTED_EDGE('',*,*,#26359,.T.);
#37283=ORIENTED_EDGE('',*,*,#26360,.T.);
#37284=ORIENTED_EDGE('',*,*,#26357,.F.);
#37285=ORIENTED_EDGE('',*,*,#26238,.T.);
#37286=ORIENTED_EDGE('',*,*,#26361,.F.);
#37287=ORIENTED_EDGE('',*,*,#26362,.T.);
#37288=ORIENTED_EDGE('',*,*,#26260,.F.);
#37289=ORIENTED_EDGE('',*,*,#26363,.F.);
#37290=ORIENTED_EDGE('',*,*,#26364,.T.);
#37291=ORIENTED_EDGE('',*,*,#26365,.T.);
#37292=ORIENTED_EDGE('',*,*,#26366,.T.);
#37293=ORIENTED_EDGE('',*,*,#26168,.T.);
#37294=ORIENTED_EDGE('',*,*,#26366,.F.);
#37295=ORIENTED_EDGE('',*,*,#26367,.T.);
#37296=ORIENTED_EDGE('',*,*,#26368,.F.);
#37297=ORIENTED_EDGE('',*,*,#26169,.T.);
#37298=ORIENTED_EDGE('',*,*,#26358,.F.);
#37299=ORIENTED_EDGE('',*,*,#26362,.F.);
#37300=ORIENTED_EDGE('',*,*,#26369,.F.);
#37301=ORIENTED_EDGE('',*,*,#26370,.F.);
#37302=ORIENTED_EDGE('',*,*,#26371,.F.);
#37303=ORIENTED_EDGE('',*,*,#26367,.F.);
#37304=ORIENTED_EDGE('',*,*,#26365,.F.);
#37305=ORIENTED_EDGE('',*,*,#26372,.F.);
#37306=ORIENTED_EDGE('',*,*,#26373,.T.);
#37307=ORIENTED_EDGE('',*,*,#26374,.T.);
#37308=ORIENTED_EDGE('',*,*,#26375,.T.);
#37309=ORIENTED_EDGE('',*,*,#26376,.T.);
#37310=ORIENTED_EDGE('',*,*,#26377,.T.);
#37311=ORIENTED_EDGE('',*,*,#26378,.T.);
#37312=ORIENTED_EDGE('',*,*,#26379,.T.);
#37313=ORIENTED_EDGE('',*,*,#26380,.T.);
#37314=ORIENTED_EDGE('',*,*,#26381,.T.);
#37315=ORIENTED_EDGE('',*,*,#26382,.T.);
#37316=ORIENTED_EDGE('',*,*,#26383,.T.);
#37317=ORIENTED_EDGE('',*,*,#26384,.T.);
#37318=ORIENTED_EDGE('',*,*,#26385,.T.);
#37319=ORIENTED_EDGE('',*,*,#26386,.T.);
#37320=ORIENTED_EDGE('',*,*,#26387,.T.);
#37321=ORIENTED_EDGE('',*,*,#26388,.T.);
#37322=ORIENTED_EDGE('',*,*,#26389,.T.);
#37323=ORIENTED_EDGE('',*,*,#26390,.T.);
#37324=ORIENTED_EDGE('',*,*,#26391,.T.);
#37325=ORIENTED_EDGE('',*,*,#26392,.T.);
#37326=ORIENTED_EDGE('',*,*,#26393,.T.);
#37327=ORIENTED_EDGE('',*,*,#26394,.T.);
#37328=ORIENTED_EDGE('',*,*,#26395,.T.);
#37329=ORIENTED_EDGE('',*,*,#26396,.T.);
#37330=ORIENTED_EDGE('',*,*,#26397,.T.);
#37331=ORIENTED_EDGE('',*,*,#26398,.T.);
#37332=ORIENTED_EDGE('',*,*,#26399,.T.);
#37333=ORIENTED_EDGE('',*,*,#26400,.T.);
#37334=ORIENTED_EDGE('',*,*,#26401,.T.);
#37335=ORIENTED_EDGE('',*,*,#26402,.T.);
#37336=ORIENTED_EDGE('',*,*,#26403,.T.);
#37337=ORIENTED_EDGE('',*,*,#26404,.T.);
#37338=ORIENTED_EDGE('',*,*,#26405,.T.);
#37339=ORIENTED_EDGE('',*,*,#26406,.T.);
#37340=ORIENTED_EDGE('',*,*,#26407,.T.);
#37341=ORIENTED_EDGE('',*,*,#26408,.T.);
#37342=ORIENTED_EDGE('',*,*,#26409,.T.);
#37343=ORIENTED_EDGE('',*,*,#26410,.T.);
#37344=ORIENTED_EDGE('',*,*,#26411,.T.);
#37345=ORIENTED_EDGE('',*,*,#26412,.T.);
#37346=ORIENTED_EDGE('',*,*,#26413,.T.);
#37347=ORIENTED_EDGE('',*,*,#26414,.T.);
#37348=ORIENTED_EDGE('',*,*,#26415,.T.);
#37349=ORIENTED_EDGE('',*,*,#26416,.T.);
#37350=ORIENTED_EDGE('',*,*,#26417,.T.);
#37351=ORIENTED_EDGE('',*,*,#26418,.T.);
#37352=ORIENTED_EDGE('',*,*,#26419,.T.);
#37353=ORIENTED_EDGE('',*,*,#26420,.T.);
#37354=ORIENTED_EDGE('',*,*,#26421,.T.);
#37355=ORIENTED_EDGE('',*,*,#26422,.T.);
#37356=ORIENTED_EDGE('',*,*,#26423,.T.);
#37357=ORIENTED_EDGE('',*,*,#26424,.T.);
#37358=ORIENTED_EDGE('',*,*,#26425,.T.);
#37359=ORIENTED_EDGE('',*,*,#26426,.T.);
#37360=ORIENTED_EDGE('',*,*,#26427,.T.);
#37361=ORIENTED_EDGE('',*,*,#26428,.T.);
#37362=ORIENTED_EDGE('',*,*,#26429,.T.);
#37363=ORIENTED_EDGE('',*,*,#26430,.T.);
#37364=ORIENTED_EDGE('',*,*,#26431,.T.);
#37365=ORIENTED_EDGE('',*,*,#26432,.T.);
#37366=ORIENTED_EDGE('',*,*,#26433,.T.);
#37367=ORIENTED_EDGE('',*,*,#26434,.T.);
#37368=ORIENTED_EDGE('',*,*,#26435,.T.);
#37369=ORIENTED_EDGE('',*,*,#26436,.T.);
#37370=ORIENTED_EDGE('',*,*,#26437,.T.);
#37371=ORIENTED_EDGE('',*,*,#26438,.T.);
#37372=ORIENTED_EDGE('',*,*,#26439,.T.);
#37373=ORIENTED_EDGE('',*,*,#26440,.T.);
#37374=ORIENTED_EDGE('',*,*,#26441,.T.);
#37375=ORIENTED_EDGE('',*,*,#26442,.T.);
#37376=ORIENTED_EDGE('',*,*,#26443,.T.);
#37377=ORIENTED_EDGE('',*,*,#26444,.T.);
#37378=ORIENTED_EDGE('',*,*,#26445,.T.);
#37379=ORIENTED_EDGE('',*,*,#26446,.T.);
#37380=ORIENTED_EDGE('',*,*,#26447,.T.);
#37381=ORIENTED_EDGE('',*,*,#26448,.T.);
#37382=ORIENTED_EDGE('',*,*,#26449,.T.);
#37383=ORIENTED_EDGE('',*,*,#26450,.T.);
#37384=ORIENTED_EDGE('',*,*,#26451,.T.);
#37385=ORIENTED_EDGE('',*,*,#26452,.T.);
#37386=ORIENTED_EDGE('',*,*,#26453,.T.);
#37387=ORIENTED_EDGE('',*,*,#26454,.T.);
#37388=ORIENTED_EDGE('',*,*,#26455,.T.);
#37389=ORIENTED_EDGE('',*,*,#26456,.T.);
#37390=ORIENTED_EDGE('',*,*,#26457,.T.);
#37391=ORIENTED_EDGE('',*,*,#26458,.T.);
#37392=ORIENTED_EDGE('',*,*,#26459,.T.);
#37393=ORIENTED_EDGE('',*,*,#26460,.T.);
#37394=ORIENTED_EDGE('',*,*,#26461,.T.);
#37395=ORIENTED_EDGE('',*,*,#26462,.T.);
#37396=ORIENTED_EDGE('',*,*,#26463,.T.);
#37397=ORIENTED_EDGE('',*,*,#26464,.T.);
#37398=ORIENTED_EDGE('',*,*,#26465,.T.);
#37399=ORIENTED_EDGE('',*,*,#26466,.T.);
#37400=ORIENTED_EDGE('',*,*,#26467,.T.);
#37401=ORIENTED_EDGE('',*,*,#26468,.T.);
#37402=ORIENTED_EDGE('',*,*,#26469,.T.);
#37403=ORIENTED_EDGE('',*,*,#26470,.T.);
#37404=ORIENTED_EDGE('',*,*,#26471,.T.);
#37405=ORIENTED_EDGE('',*,*,#26472,.T.);
#37406=ORIENTED_EDGE('',*,*,#26473,.T.);
#37407=ORIENTED_EDGE('',*,*,#26474,.T.);
#37408=ORIENTED_EDGE('',*,*,#26475,.T.);
#37409=ORIENTED_EDGE('',*,*,#26476,.T.);
#37410=ORIENTED_EDGE('',*,*,#26477,.T.);
#37411=ORIENTED_EDGE('',*,*,#26478,.T.);
#37412=ORIENTED_EDGE('',*,*,#26479,.T.);
#37413=ORIENTED_EDGE('',*,*,#26480,.T.);
#37414=ORIENTED_EDGE('',*,*,#26481,.T.);
#37415=ORIENTED_EDGE('',*,*,#26482,.T.);
#37416=ORIENTED_EDGE('',*,*,#26483,.T.);
#37417=ORIENTED_EDGE('',*,*,#26484,.T.);
#37418=ORIENTED_EDGE('',*,*,#26485,.T.);
#37419=ORIENTED_EDGE('',*,*,#26486,.T.);
#37420=ORIENTED_EDGE('',*,*,#26487,.T.);
#37421=ORIENTED_EDGE('',*,*,#26488,.T.);
#37422=ORIENTED_EDGE('',*,*,#26489,.T.);
#37423=ORIENTED_EDGE('',*,*,#26490,.T.);
#37424=ORIENTED_EDGE('',*,*,#26491,.T.);
#37425=ORIENTED_EDGE('',*,*,#26492,.T.);
#37426=ORIENTED_EDGE('',*,*,#26493,.T.);
#37427=ORIENTED_EDGE('',*,*,#26494,.T.);
#37428=ORIENTED_EDGE('',*,*,#26495,.T.);
#37429=ORIENTED_EDGE('',*,*,#26496,.T.);
#37430=ORIENTED_EDGE('',*,*,#26497,.T.);
#37431=ORIENTED_EDGE('',*,*,#26498,.T.);
#37432=ORIENTED_EDGE('',*,*,#26499,.T.);
#37433=ORIENTED_EDGE('',*,*,#26500,.T.);
#37434=ORIENTED_EDGE('',*,*,#26501,.T.);
#37435=ORIENTED_EDGE('',*,*,#26502,.T.);
#37436=ORIENTED_EDGE('',*,*,#26503,.T.);
#37437=ORIENTED_EDGE('',*,*,#26504,.T.);
#37438=ORIENTED_EDGE('',*,*,#26505,.T.);
#37439=ORIENTED_EDGE('',*,*,#26506,.T.);
#37440=ORIENTED_EDGE('',*,*,#26507,.T.);
#37441=ORIENTED_EDGE('',*,*,#26508,.T.);
#37442=ORIENTED_EDGE('',*,*,#26509,.T.);
#37443=ORIENTED_EDGE('',*,*,#26510,.T.);
#37444=ORIENTED_EDGE('',*,*,#26511,.T.);
#37445=ORIENTED_EDGE('',*,*,#26512,.T.);
#37446=ORIENTED_EDGE('',*,*,#26513,.T.);
#37447=ORIENTED_EDGE('',*,*,#26514,.T.);
#37448=ORIENTED_EDGE('',*,*,#26515,.T.);
#37449=ORIENTED_EDGE('',*,*,#26516,.T.);
#37450=ORIENTED_EDGE('',*,*,#26517,.T.);
#37451=ORIENTED_EDGE('',*,*,#26518,.T.);
#37452=ORIENTED_EDGE('',*,*,#26519,.T.);
#37453=ORIENTED_EDGE('',*,*,#26520,.T.);
#37454=ORIENTED_EDGE('',*,*,#26521,.T.);
#37455=ORIENTED_EDGE('',*,*,#26522,.T.);
#37456=ORIENTED_EDGE('',*,*,#26523,.T.);
#37457=ORIENTED_EDGE('',*,*,#26524,.T.);
#37458=ORIENTED_EDGE('',*,*,#26525,.T.);
#37459=ORIENTED_EDGE('',*,*,#26526,.T.);
#37460=ORIENTED_EDGE('',*,*,#26527,.T.);
#37461=ORIENTED_EDGE('',*,*,#26528,.T.);
#37462=ORIENTED_EDGE('',*,*,#26529,.T.);
#37463=ORIENTED_EDGE('',*,*,#26530,.T.);
#37464=ORIENTED_EDGE('',*,*,#26531,.T.);
#37465=ORIENTED_EDGE('',*,*,#26532,.T.);
#37466=ORIENTED_EDGE('',*,*,#26533,.T.);
#37467=ORIENTED_EDGE('',*,*,#26534,.T.);
#37468=ORIENTED_EDGE('',*,*,#26535,.T.);
#37469=ORIENTED_EDGE('',*,*,#26536,.T.);
#37470=ORIENTED_EDGE('',*,*,#26537,.T.);
#37471=ORIENTED_EDGE('',*,*,#26538,.T.);
#37472=ORIENTED_EDGE('',*,*,#26539,.T.);
#37473=ORIENTED_EDGE('',*,*,#26540,.T.);
#37474=ORIENTED_EDGE('',*,*,#26541,.T.);
#37475=ORIENTED_EDGE('',*,*,#26542,.T.);
#37476=ORIENTED_EDGE('',*,*,#26543,.T.);
#37477=ORIENTED_EDGE('',*,*,#26544,.T.);
#37478=ORIENTED_EDGE('',*,*,#26545,.T.);
#37479=ORIENTED_EDGE('',*,*,#26546,.T.);
#37480=ORIENTED_EDGE('',*,*,#26547,.T.);
#37481=ORIENTED_EDGE('',*,*,#26548,.T.);
#37482=ORIENTED_EDGE('',*,*,#26549,.T.);
#37483=ORIENTED_EDGE('',*,*,#26550,.T.);
#37484=ORIENTED_EDGE('',*,*,#26551,.T.);
#37485=ORIENTED_EDGE('',*,*,#26552,.T.);
#37486=ORIENTED_EDGE('',*,*,#26553,.T.);
#37487=ORIENTED_EDGE('',*,*,#26554,.T.);
#37488=ORIENTED_EDGE('',*,*,#26555,.T.);
#37489=ORIENTED_EDGE('',*,*,#26556,.T.);
#37490=ORIENTED_EDGE('',*,*,#26557,.T.);
#37491=ORIENTED_EDGE('',*,*,#26558,.T.);
#37492=ORIENTED_EDGE('',*,*,#26559,.T.);
#37493=ORIENTED_EDGE('',*,*,#26560,.T.);
#37494=ORIENTED_EDGE('',*,*,#26359,.F.);
#37495=ORIENTED_EDGE('',*,*,#26372,.T.);
#37496=ORIENTED_EDGE('',*,*,#26364,.F.);
#37497=ORIENTED_EDGE('',*,*,#26561,.T.);
#37498=ORIENTED_EDGE('',*,*,#26220,.F.);
#37499=ORIENTED_EDGE('',*,*,#26562,.F.);
#37500=ORIENTED_EDGE('',*,*,#26563,.T.);
#37501=ORIENTED_EDGE('',*,*,#26369,.T.);
#37502=ORIENTED_EDGE('',*,*,#26361,.T.);
#37503=ORIENTED_EDGE('',*,*,#26237,.T.);
#37504=ORIENTED_EDGE('',*,*,#26226,.F.);
#37505=ORIENTED_EDGE('',*,*,#26170,.F.);
#37506=ORIENTED_EDGE('',*,*,#26368,.T.);
#37507=ORIENTED_EDGE('',*,*,#26371,.T.);
#37508=ORIENTED_EDGE('',*,*,#26564,.T.);
#37509=ORIENTED_EDGE('',*,*,#26565,.T.);
#37510=ORIENTED_EDGE('',*,*,#26563,.F.);
#37511=ORIENTED_EDGE('',*,*,#26566,.T.);
#37512=ORIENTED_EDGE('',*,*,#26564,.F.);
#37513=ORIENTED_EDGE('',*,*,#26370,.T.);
#37514=ORIENTED_EDGE('',*,*,#26263,.F.);
#37515=ORIENTED_EDGE('',*,*,#26360,.F.);
#37516=ORIENTED_EDGE('',*,*,#26561,.F.);
#37517=ORIENTED_EDGE('',*,*,#26363,.T.);
#37518=ORIENTED_EDGE('',*,*,#26373,.F.);
#37519=ORIENTED_EDGE('',*,*,#26567,.T.);
#37520=ORIENTED_EDGE('',*,*,#26568,.T.);
#37521=ORIENTED_EDGE('',*,*,#26569,.F.);
#37522=ORIENTED_EDGE('',*,*,#26403,.F.);
#37523=ORIENTED_EDGE('',*,*,#26570,.T.);
#37524=ORIENTED_EDGE('',*,*,#26571,.T.);
#37525=ORIENTED_EDGE('',*,*,#26567,.F.);
#37526=ORIENTED_EDGE('',*,*,#26402,.F.);
#37527=ORIENTED_EDGE('',*,*,#26572,.T.);
#37528=ORIENTED_EDGE('',*,*,#26573,.T.);
#37529=ORIENTED_EDGE('',*,*,#26570,.F.);
#37530=ORIENTED_EDGE('',*,*,#26401,.F.);
#37531=ORIENTED_EDGE('',*,*,#26574,.T.);
#37532=ORIENTED_EDGE('',*,*,#26575,.T.);
#37533=ORIENTED_EDGE('',*,*,#26572,.F.);
#37534=ORIENTED_EDGE('',*,*,#26400,.F.);
#37535=ORIENTED_EDGE('',*,*,#26576,.T.);
#37536=ORIENTED_EDGE('',*,*,#26577,.T.);
#37537=ORIENTED_EDGE('',*,*,#26574,.F.);
#37538=ORIENTED_EDGE('',*,*,#26399,.F.);
#37539=ORIENTED_EDGE('',*,*,#26578,.T.);
#37540=ORIENTED_EDGE('',*,*,#26579,.T.);
#37541=ORIENTED_EDGE('',*,*,#26576,.F.);
#37542=ORIENTED_EDGE('',*,*,#26398,.F.);
#37543=ORIENTED_EDGE('',*,*,#26580,.T.);
#37544=ORIENTED_EDGE('',*,*,#26581,.T.);
#37545=ORIENTED_EDGE('',*,*,#26578,.F.);
#37546=ORIENTED_EDGE('',*,*,#26397,.F.);
#37547=ORIENTED_EDGE('',*,*,#26582,.T.);
#37548=ORIENTED_EDGE('',*,*,#26583,.T.);
#37549=ORIENTED_EDGE('',*,*,#26580,.F.);
#37550=ORIENTED_EDGE('',*,*,#26396,.F.);
#37551=ORIENTED_EDGE('',*,*,#26584,.T.);
#37552=ORIENTED_EDGE('',*,*,#26585,.T.);
#37553=ORIENTED_EDGE('',*,*,#26582,.F.);
#37554=ORIENTED_EDGE('',*,*,#26395,.F.);
#37555=ORIENTED_EDGE('',*,*,#26586,.T.);
#37556=ORIENTED_EDGE('',*,*,#26587,.T.);
#37557=ORIENTED_EDGE('',*,*,#26584,.F.);
#37558=ORIENTED_EDGE('',*,*,#26394,.F.);
#37559=ORIENTED_EDGE('',*,*,#26588,.T.);
#37560=ORIENTED_EDGE('',*,*,#26589,.T.);
#37561=ORIENTED_EDGE('',*,*,#26586,.F.);
#37562=ORIENTED_EDGE('',*,*,#26393,.F.);
#37563=ORIENTED_EDGE('',*,*,#26590,.T.);
#37564=ORIENTED_EDGE('',*,*,#26591,.T.);
#37565=ORIENTED_EDGE('',*,*,#26588,.F.);
#37566=ORIENTED_EDGE('',*,*,#26392,.F.);
#37567=ORIENTED_EDGE('',*,*,#26592,.T.);
#37568=ORIENTED_EDGE('',*,*,#26593,.T.);
#37569=ORIENTED_EDGE('',*,*,#26590,.F.);
#37570=ORIENTED_EDGE('',*,*,#26391,.F.);
#37571=ORIENTED_EDGE('',*,*,#26594,.T.);
#37572=ORIENTED_EDGE('',*,*,#26595,.T.);
#37573=ORIENTED_EDGE('',*,*,#26592,.F.);
#37574=ORIENTED_EDGE('',*,*,#26390,.F.);
#37575=ORIENTED_EDGE('',*,*,#26596,.T.);
#37576=ORIENTED_EDGE('',*,*,#26597,.T.);
#37577=ORIENTED_EDGE('',*,*,#26594,.F.);
#37578=ORIENTED_EDGE('',*,*,#26389,.F.);
#37579=ORIENTED_EDGE('',*,*,#26598,.T.);
#37580=ORIENTED_EDGE('',*,*,#26599,.T.);
#37581=ORIENTED_EDGE('',*,*,#26596,.F.);
#37582=ORIENTED_EDGE('',*,*,#26388,.F.);
#37583=ORIENTED_EDGE('',*,*,#26600,.T.);
#37584=ORIENTED_EDGE('',*,*,#26601,.T.);
#37585=ORIENTED_EDGE('',*,*,#26598,.F.);
#37586=ORIENTED_EDGE('',*,*,#26387,.F.);
#37587=ORIENTED_EDGE('',*,*,#26602,.T.);
#37588=ORIENTED_EDGE('',*,*,#26603,.T.);
#37589=ORIENTED_EDGE('',*,*,#26600,.F.);
#37590=ORIENTED_EDGE('',*,*,#26386,.F.);
#37591=ORIENTED_EDGE('',*,*,#26604,.T.);
#37592=ORIENTED_EDGE('',*,*,#26605,.T.);
#37593=ORIENTED_EDGE('',*,*,#26602,.F.);
#37594=ORIENTED_EDGE('',*,*,#26385,.F.);
#37595=ORIENTED_EDGE('',*,*,#26606,.T.);
#37596=ORIENTED_EDGE('',*,*,#26607,.T.);
#37597=ORIENTED_EDGE('',*,*,#26604,.F.);
#37598=ORIENTED_EDGE('',*,*,#26384,.F.);
#37599=ORIENTED_EDGE('',*,*,#26608,.T.);
#37600=ORIENTED_EDGE('',*,*,#26609,.T.);
#37601=ORIENTED_EDGE('',*,*,#26606,.F.);
#37602=ORIENTED_EDGE('',*,*,#26383,.F.);
#37603=ORIENTED_EDGE('',*,*,#26610,.T.);
#37604=ORIENTED_EDGE('',*,*,#26611,.T.);
#37605=ORIENTED_EDGE('',*,*,#26608,.F.);
#37606=ORIENTED_EDGE('',*,*,#26382,.F.);
#37607=ORIENTED_EDGE('',*,*,#26612,.T.);
#37608=ORIENTED_EDGE('',*,*,#26613,.T.);
#37609=ORIENTED_EDGE('',*,*,#26610,.F.);
#37610=ORIENTED_EDGE('',*,*,#26381,.F.);
#37611=ORIENTED_EDGE('',*,*,#26614,.T.);
#37612=ORIENTED_EDGE('',*,*,#26615,.T.);
#37613=ORIENTED_EDGE('',*,*,#26612,.F.);
#37614=ORIENTED_EDGE('',*,*,#26380,.F.);
#37615=ORIENTED_EDGE('',*,*,#26616,.T.);
#37616=ORIENTED_EDGE('',*,*,#26617,.T.);
#37617=ORIENTED_EDGE('',*,*,#26614,.F.);
#37618=ORIENTED_EDGE('',*,*,#26379,.F.);
#37619=ORIENTED_EDGE('',*,*,#26618,.T.);
#37620=ORIENTED_EDGE('',*,*,#26619,.T.);
#37621=ORIENTED_EDGE('',*,*,#26616,.F.);
#37622=ORIENTED_EDGE('',*,*,#26378,.F.);
#37623=ORIENTED_EDGE('',*,*,#26620,.T.);
#37624=ORIENTED_EDGE('',*,*,#26621,.T.);
#37625=ORIENTED_EDGE('',*,*,#26618,.F.);
#37626=ORIENTED_EDGE('',*,*,#26377,.F.);
#37627=ORIENTED_EDGE('',*,*,#26622,.T.);
#37628=ORIENTED_EDGE('',*,*,#26623,.T.);
#37629=ORIENTED_EDGE('',*,*,#26620,.F.);
#37630=ORIENTED_EDGE('',*,*,#26376,.F.);
#37631=ORIENTED_EDGE('',*,*,#26624,.T.);
#37632=ORIENTED_EDGE('',*,*,#26625,.T.);
#37633=ORIENTED_EDGE('',*,*,#26622,.F.);
#37634=ORIENTED_EDGE('',*,*,#26375,.F.);
#37635=ORIENTED_EDGE('',*,*,#26626,.T.);
#37636=ORIENTED_EDGE('',*,*,#26627,.T.);
#37637=ORIENTED_EDGE('',*,*,#26624,.F.);
#37638=ORIENTED_EDGE('',*,*,#26374,.F.);
#37639=ORIENTED_EDGE('',*,*,#26569,.T.);
#37640=ORIENTED_EDGE('',*,*,#26628,.T.);
#37641=ORIENTED_EDGE('',*,*,#26626,.F.);
#37642=ORIENTED_EDGE('',*,*,#26628,.F.);
#37643=ORIENTED_EDGE('',*,*,#26568,.F.);
#37644=ORIENTED_EDGE('',*,*,#26571,.F.);
#37645=ORIENTED_EDGE('',*,*,#26573,.F.);
#37646=ORIENTED_EDGE('',*,*,#26575,.F.);
#37647=ORIENTED_EDGE('',*,*,#26577,.F.);
#37648=ORIENTED_EDGE('',*,*,#26579,.F.);
#37649=ORIENTED_EDGE('',*,*,#26581,.F.);
#37650=ORIENTED_EDGE('',*,*,#26583,.F.);
#37651=ORIENTED_EDGE('',*,*,#26585,.F.);
#37652=ORIENTED_EDGE('',*,*,#26587,.F.);
#37653=ORIENTED_EDGE('',*,*,#26589,.F.);
#37654=ORIENTED_EDGE('',*,*,#26591,.F.);
#37655=ORIENTED_EDGE('',*,*,#26593,.F.);
#37656=ORIENTED_EDGE('',*,*,#26595,.F.);
#37657=ORIENTED_EDGE('',*,*,#26597,.F.);
#37658=ORIENTED_EDGE('',*,*,#26599,.F.);
#37659=ORIENTED_EDGE('',*,*,#26601,.F.);
#37660=ORIENTED_EDGE('',*,*,#26603,.F.);
#37661=ORIENTED_EDGE('',*,*,#26605,.F.);
#37662=ORIENTED_EDGE('',*,*,#26607,.F.);
#37663=ORIENTED_EDGE('',*,*,#26609,.F.);
#37664=ORIENTED_EDGE('',*,*,#26611,.F.);
#37665=ORIENTED_EDGE('',*,*,#26613,.F.);
#37666=ORIENTED_EDGE('',*,*,#26615,.F.);
#37667=ORIENTED_EDGE('',*,*,#26617,.F.);
#37668=ORIENTED_EDGE('',*,*,#26619,.F.);
#37669=ORIENTED_EDGE('',*,*,#26621,.F.);
#37670=ORIENTED_EDGE('',*,*,#26623,.F.);
#37671=ORIENTED_EDGE('',*,*,#26625,.F.);
#37672=ORIENTED_EDGE('',*,*,#26627,.F.);
#37673=ORIENTED_EDGE('',*,*,#26404,.F.);
#37674=ORIENTED_EDGE('',*,*,#26629,.T.);
#37675=ORIENTED_EDGE('',*,*,#26630,.T.);
#37676=ORIENTED_EDGE('',*,*,#26631,.F.);
#37677=ORIENTED_EDGE('',*,*,#26440,.F.);
#37678=ORIENTED_EDGE('',*,*,#26632,.T.);
#37679=ORIENTED_EDGE('',*,*,#26633,.T.);
#37680=ORIENTED_EDGE('',*,*,#26629,.F.);
#37681=ORIENTED_EDGE('',*,*,#26439,.F.);
#37682=ORIENTED_EDGE('',*,*,#26634,.T.);
#37683=ORIENTED_EDGE('',*,*,#26635,.T.);
#37684=ORIENTED_EDGE('',*,*,#26632,.F.);
#37685=ORIENTED_EDGE('',*,*,#26438,.F.);
#37686=ORIENTED_EDGE('',*,*,#26636,.T.);
#37687=ORIENTED_EDGE('',*,*,#26637,.T.);
#37688=ORIENTED_EDGE('',*,*,#26634,.F.);
#37689=ORIENTED_EDGE('',*,*,#26437,.F.);
#37690=ORIENTED_EDGE('',*,*,#26638,.T.);
#37691=ORIENTED_EDGE('',*,*,#26639,.T.);
#37692=ORIENTED_EDGE('',*,*,#26636,.F.);
#37693=ORIENTED_EDGE('',*,*,#26436,.F.);
#37694=ORIENTED_EDGE('',*,*,#26640,.T.);
#37695=ORIENTED_EDGE('',*,*,#26641,.T.);
#37696=ORIENTED_EDGE('',*,*,#26638,.F.);
#37697=ORIENTED_EDGE('',*,*,#26435,.F.);
#37698=ORIENTED_EDGE('',*,*,#26642,.T.);
#37699=ORIENTED_EDGE('',*,*,#26643,.T.);
#37700=ORIENTED_EDGE('',*,*,#26640,.F.);
#37701=ORIENTED_EDGE('',*,*,#26434,.F.);
#37702=ORIENTED_EDGE('',*,*,#26644,.T.);
#37703=ORIENTED_EDGE('',*,*,#26645,.T.);
#37704=ORIENTED_EDGE('',*,*,#26642,.F.);
#37705=ORIENTED_EDGE('',*,*,#26433,.F.);
#37706=ORIENTED_EDGE('',*,*,#26646,.T.);
#37707=ORIENTED_EDGE('',*,*,#26647,.T.);
#37708=ORIENTED_EDGE('',*,*,#26644,.F.);
#37709=ORIENTED_EDGE('',*,*,#26432,.F.);
#37710=ORIENTED_EDGE('',*,*,#26648,.T.);
#37711=ORIENTED_EDGE('',*,*,#26649,.T.);
#37712=ORIENTED_EDGE('',*,*,#26646,.F.);
#37713=ORIENTED_EDGE('',*,*,#26431,.F.);
#37714=ORIENTED_EDGE('',*,*,#26650,.T.);
#37715=ORIENTED_EDGE('',*,*,#26651,.T.);
#37716=ORIENTED_EDGE('',*,*,#26648,.F.);
#37717=ORIENTED_EDGE('',*,*,#26430,.F.);
#37718=ORIENTED_EDGE('',*,*,#26652,.T.);
#37719=ORIENTED_EDGE('',*,*,#26653,.T.);
#37720=ORIENTED_EDGE('',*,*,#26650,.F.);
#37721=ORIENTED_EDGE('',*,*,#26429,.F.);
#37722=ORIENTED_EDGE('',*,*,#26654,.T.);
#37723=ORIENTED_EDGE('',*,*,#26655,.T.);
#37724=ORIENTED_EDGE('',*,*,#26652,.F.);
#37725=ORIENTED_EDGE('',*,*,#26428,.F.);
#37726=ORIENTED_EDGE('',*,*,#26656,.T.);
#37727=ORIENTED_EDGE('',*,*,#26657,.T.);
#37728=ORIENTED_EDGE('',*,*,#26654,.F.);
#37729=ORIENTED_EDGE('',*,*,#26427,.F.);
#37730=ORIENTED_EDGE('',*,*,#26658,.T.);
#37731=ORIENTED_EDGE('',*,*,#26659,.T.);
#37732=ORIENTED_EDGE('',*,*,#26656,.F.);
#37733=ORIENTED_EDGE('',*,*,#26426,.F.);
#37734=ORIENTED_EDGE('',*,*,#26660,.T.);
#37735=ORIENTED_EDGE('',*,*,#26661,.T.);
#37736=ORIENTED_EDGE('',*,*,#26658,.F.);
#37737=ORIENTED_EDGE('',*,*,#26425,.F.);
#37738=ORIENTED_EDGE('',*,*,#26662,.T.);
#37739=ORIENTED_EDGE('',*,*,#26663,.T.);
#37740=ORIENTED_EDGE('',*,*,#26660,.F.);
#37741=ORIENTED_EDGE('',*,*,#26424,.F.);
#37742=ORIENTED_EDGE('',*,*,#26664,.T.);
#37743=ORIENTED_EDGE('',*,*,#26665,.T.);
#37744=ORIENTED_EDGE('',*,*,#26662,.F.);
#37745=ORIENTED_EDGE('',*,*,#26423,.F.);
#37746=ORIENTED_EDGE('',*,*,#26666,.T.);
#37747=ORIENTED_EDGE('',*,*,#26667,.T.);
#37748=ORIENTED_EDGE('',*,*,#26664,.F.);
#37749=ORIENTED_EDGE('',*,*,#26422,.F.);
#37750=ORIENTED_EDGE('',*,*,#26668,.T.);
#37751=ORIENTED_EDGE('',*,*,#26669,.T.);
#37752=ORIENTED_EDGE('',*,*,#26666,.F.);
#37753=ORIENTED_EDGE('',*,*,#26421,.F.);
#37754=ORIENTED_EDGE('',*,*,#26670,.T.);
#37755=ORIENTED_EDGE('',*,*,#26671,.T.);
#37756=ORIENTED_EDGE('',*,*,#26668,.F.);
#37757=ORIENTED_EDGE('',*,*,#26420,.F.);
#37758=ORIENTED_EDGE('',*,*,#26672,.T.);
#37759=ORIENTED_EDGE('',*,*,#26673,.T.);
#37760=ORIENTED_EDGE('',*,*,#26670,.F.);
#37761=ORIENTED_EDGE('',*,*,#26419,.F.);
#37762=ORIENTED_EDGE('',*,*,#26674,.T.);
#37763=ORIENTED_EDGE('',*,*,#26675,.T.);
#37764=ORIENTED_EDGE('',*,*,#26672,.F.);
#37765=ORIENTED_EDGE('',*,*,#26418,.F.);
#37766=ORIENTED_EDGE('',*,*,#26676,.T.);
#37767=ORIENTED_EDGE('',*,*,#26677,.T.);
#37768=ORIENTED_EDGE('',*,*,#26674,.F.);
#37769=ORIENTED_EDGE('',*,*,#26417,.F.);
#37770=ORIENTED_EDGE('',*,*,#26678,.T.);
#37771=ORIENTED_EDGE('',*,*,#26679,.T.);
#37772=ORIENTED_EDGE('',*,*,#26676,.F.);
#37773=ORIENTED_EDGE('',*,*,#26416,.F.);
#37774=ORIENTED_EDGE('',*,*,#26680,.T.);
#37775=ORIENTED_EDGE('',*,*,#26681,.T.);
#37776=ORIENTED_EDGE('',*,*,#26678,.F.);
#37777=ORIENTED_EDGE('',*,*,#26415,.F.);
#37778=ORIENTED_EDGE('',*,*,#26682,.T.);
#37779=ORIENTED_EDGE('',*,*,#26683,.T.);
#37780=ORIENTED_EDGE('',*,*,#26680,.F.);
#37781=ORIENTED_EDGE('',*,*,#26414,.F.);
#37782=ORIENTED_EDGE('',*,*,#26684,.T.);
#37783=ORIENTED_EDGE('',*,*,#26685,.T.);
#37784=ORIENTED_EDGE('',*,*,#26682,.F.);
#37785=ORIENTED_EDGE('',*,*,#26413,.F.);
#37786=ORIENTED_EDGE('',*,*,#26686,.T.);
#37787=ORIENTED_EDGE('',*,*,#26687,.T.);
#37788=ORIENTED_EDGE('',*,*,#26684,.F.);
#37789=ORIENTED_EDGE('',*,*,#26412,.F.);
#37790=ORIENTED_EDGE('',*,*,#26688,.T.);
#37791=ORIENTED_EDGE('',*,*,#26689,.T.);
#37792=ORIENTED_EDGE('',*,*,#26686,.F.);
#37793=ORIENTED_EDGE('',*,*,#26411,.F.);
#37794=ORIENTED_EDGE('',*,*,#26690,.T.);
#37795=ORIENTED_EDGE('',*,*,#26691,.T.);
#37796=ORIENTED_EDGE('',*,*,#26688,.F.);
#37797=ORIENTED_EDGE('',*,*,#26410,.F.);
#37798=ORIENTED_EDGE('',*,*,#26692,.T.);
#37799=ORIENTED_EDGE('',*,*,#26693,.T.);
#37800=ORIENTED_EDGE('',*,*,#26690,.F.);
#37801=ORIENTED_EDGE('',*,*,#26409,.F.);
#37802=ORIENTED_EDGE('',*,*,#26694,.T.);
#37803=ORIENTED_EDGE('',*,*,#26695,.T.);
#37804=ORIENTED_EDGE('',*,*,#26692,.F.);
#37805=ORIENTED_EDGE('',*,*,#26408,.F.);
#37806=ORIENTED_EDGE('',*,*,#26696,.T.);
#37807=ORIENTED_EDGE('',*,*,#26697,.T.);
#37808=ORIENTED_EDGE('',*,*,#26694,.F.);
#37809=ORIENTED_EDGE('',*,*,#26407,.F.);
#37810=ORIENTED_EDGE('',*,*,#26698,.T.);
#37811=ORIENTED_EDGE('',*,*,#26699,.T.);
#37812=ORIENTED_EDGE('',*,*,#26696,.F.);
#37813=ORIENTED_EDGE('',*,*,#26406,.F.);
#37814=ORIENTED_EDGE('',*,*,#26700,.T.);
#37815=ORIENTED_EDGE('',*,*,#26701,.T.);
#37816=ORIENTED_EDGE('',*,*,#26698,.F.);
#37817=ORIENTED_EDGE('',*,*,#26405,.F.);
#37818=ORIENTED_EDGE('',*,*,#26631,.T.);
#37819=ORIENTED_EDGE('',*,*,#26702,.T.);
#37820=ORIENTED_EDGE('',*,*,#26700,.F.);
#37821=ORIENTED_EDGE('',*,*,#26702,.F.);
#37822=ORIENTED_EDGE('',*,*,#26630,.F.);
#37823=ORIENTED_EDGE('',*,*,#26633,.F.);
#37824=ORIENTED_EDGE('',*,*,#26635,.F.);
#37825=ORIENTED_EDGE('',*,*,#26637,.F.);
#37826=ORIENTED_EDGE('',*,*,#26639,.F.);
#37827=ORIENTED_EDGE('',*,*,#26641,.F.);
#37828=ORIENTED_EDGE('',*,*,#26643,.F.);
#37829=ORIENTED_EDGE('',*,*,#26645,.F.);
#37830=ORIENTED_EDGE('',*,*,#26647,.F.);
#37831=ORIENTED_EDGE('',*,*,#26649,.F.);
#37832=ORIENTED_EDGE('',*,*,#26651,.F.);
#37833=ORIENTED_EDGE('',*,*,#26653,.F.);
#37834=ORIENTED_EDGE('',*,*,#26655,.F.);
#37835=ORIENTED_EDGE('',*,*,#26657,.F.);
#37836=ORIENTED_EDGE('',*,*,#26659,.F.);
#37837=ORIENTED_EDGE('',*,*,#26661,.F.);
#37838=ORIENTED_EDGE('',*,*,#26663,.F.);
#37839=ORIENTED_EDGE('',*,*,#26665,.F.);
#37840=ORIENTED_EDGE('',*,*,#26667,.F.);
#37841=ORIENTED_EDGE('',*,*,#26669,.F.);
#37842=ORIENTED_EDGE('',*,*,#26671,.F.);
#37843=ORIENTED_EDGE('',*,*,#26673,.F.);
#37844=ORIENTED_EDGE('',*,*,#26675,.F.);
#37845=ORIENTED_EDGE('',*,*,#26677,.F.);
#37846=ORIENTED_EDGE('',*,*,#26679,.F.);
#37847=ORIENTED_EDGE('',*,*,#26681,.F.);
#37848=ORIENTED_EDGE('',*,*,#26683,.F.);
#37849=ORIENTED_EDGE('',*,*,#26685,.F.);
#37850=ORIENTED_EDGE('',*,*,#26687,.F.);
#37851=ORIENTED_EDGE('',*,*,#26689,.F.);
#37852=ORIENTED_EDGE('',*,*,#26691,.F.);
#37853=ORIENTED_EDGE('',*,*,#26693,.F.);
#37854=ORIENTED_EDGE('',*,*,#26695,.F.);
#37855=ORIENTED_EDGE('',*,*,#26697,.F.);
#37856=ORIENTED_EDGE('',*,*,#26699,.F.);
#37857=ORIENTED_EDGE('',*,*,#26701,.F.);
#37858=ORIENTED_EDGE('',*,*,#26441,.F.);
#37859=ORIENTED_EDGE('',*,*,#26703,.T.);
#37860=ORIENTED_EDGE('',*,*,#26704,.T.);
#37861=ORIENTED_EDGE('',*,*,#26705,.F.);
#37862=ORIENTED_EDGE('',*,*,#26450,.F.);
#37863=ORIENTED_EDGE('',*,*,#26706,.T.);
#37864=ORIENTED_EDGE('',*,*,#26707,.T.);
#37865=ORIENTED_EDGE('',*,*,#26703,.F.);
#37866=ORIENTED_EDGE('',*,*,#26449,.F.);
#37867=ORIENTED_EDGE('',*,*,#26708,.T.);
#37868=ORIENTED_EDGE('',*,*,#26709,.T.);
#37869=ORIENTED_EDGE('',*,*,#26706,.F.);
#37870=ORIENTED_EDGE('',*,*,#26448,.F.);
#37871=ORIENTED_EDGE('',*,*,#26710,.T.);
#37872=ORIENTED_EDGE('',*,*,#26711,.T.);
#37873=ORIENTED_EDGE('',*,*,#26708,.F.);
#37874=ORIENTED_EDGE('',*,*,#26447,.F.);
#37875=ORIENTED_EDGE('',*,*,#26712,.T.);
#37876=ORIENTED_EDGE('',*,*,#26713,.T.);
#37877=ORIENTED_EDGE('',*,*,#26710,.F.);
#37878=ORIENTED_EDGE('',*,*,#26446,.F.);
#37879=ORIENTED_EDGE('',*,*,#26714,.T.);
#37880=ORIENTED_EDGE('',*,*,#26715,.T.);
#37881=ORIENTED_EDGE('',*,*,#26712,.F.);
#37882=ORIENTED_EDGE('',*,*,#26445,.F.);
#37883=ORIENTED_EDGE('',*,*,#26716,.T.);
#37884=ORIENTED_EDGE('',*,*,#26717,.T.);
#37885=ORIENTED_EDGE('',*,*,#26714,.F.);
#37886=ORIENTED_EDGE('',*,*,#26444,.F.);
#37887=ORIENTED_EDGE('',*,*,#26718,.T.);
#37888=ORIENTED_EDGE('',*,*,#26719,.T.);
#37889=ORIENTED_EDGE('',*,*,#26716,.F.);
#37890=ORIENTED_EDGE('',*,*,#26443,.F.);
#37891=ORIENTED_EDGE('',*,*,#26720,.T.);
#37892=ORIENTED_EDGE('',*,*,#26721,.T.);
#37893=ORIENTED_EDGE('',*,*,#26718,.F.);
#37894=ORIENTED_EDGE('',*,*,#26442,.F.);
#37895=ORIENTED_EDGE('',*,*,#26705,.T.);
#37896=ORIENTED_EDGE('',*,*,#26722,.T.);
#37897=ORIENTED_EDGE('',*,*,#26720,.F.);
#37898=ORIENTED_EDGE('',*,*,#26722,.F.);
#37899=ORIENTED_EDGE('',*,*,#26704,.F.);
#37900=ORIENTED_EDGE('',*,*,#26707,.F.);
#37901=ORIENTED_EDGE('',*,*,#26709,.F.);
#37902=ORIENTED_EDGE('',*,*,#26711,.F.);
#37903=ORIENTED_EDGE('',*,*,#26713,.F.);
#37904=ORIENTED_EDGE('',*,*,#26715,.F.);
#37905=ORIENTED_EDGE('',*,*,#26717,.F.);
#37906=ORIENTED_EDGE('',*,*,#26719,.F.);
#37907=ORIENTED_EDGE('',*,*,#26721,.F.);
#37908=ORIENTED_EDGE('',*,*,#26451,.F.);
#37909=ORIENTED_EDGE('',*,*,#26723,.T.);
#37910=ORIENTED_EDGE('',*,*,#26724,.T.);
#37911=ORIENTED_EDGE('',*,*,#26725,.F.);
#37912=ORIENTED_EDGE('',*,*,#26471,.F.);
#37913=ORIENTED_EDGE('',*,*,#26726,.T.);
#37914=ORIENTED_EDGE('',*,*,#26727,.T.);
#37915=ORIENTED_EDGE('',*,*,#26723,.F.);
#37916=ORIENTED_EDGE('',*,*,#26470,.F.);
#37917=ORIENTED_EDGE('',*,*,#26728,.T.);
#37918=ORIENTED_EDGE('',*,*,#26729,.T.);
#37919=ORIENTED_EDGE('',*,*,#26726,.F.);
#37920=ORIENTED_EDGE('',*,*,#26469,.F.);
#37921=ORIENTED_EDGE('',*,*,#26730,.T.);
#37922=ORIENTED_EDGE('',*,*,#26731,.T.);
#37923=ORIENTED_EDGE('',*,*,#26728,.F.);
#37924=ORIENTED_EDGE('',*,*,#26468,.F.);
#37925=ORIENTED_EDGE('',*,*,#26732,.T.);
#37926=ORIENTED_EDGE('',*,*,#26733,.T.);
#37927=ORIENTED_EDGE('',*,*,#26730,.F.);
#37928=ORIENTED_EDGE('',*,*,#26467,.F.);
#37929=ORIENTED_EDGE('',*,*,#26734,.T.);
#37930=ORIENTED_EDGE('',*,*,#26735,.T.);
#37931=ORIENTED_EDGE('',*,*,#26732,.F.);
#37932=ORIENTED_EDGE('',*,*,#26466,.F.);
#37933=ORIENTED_EDGE('',*,*,#26736,.T.);
#37934=ORIENTED_EDGE('',*,*,#26737,.T.);
#37935=ORIENTED_EDGE('',*,*,#26734,.F.);
#37936=ORIENTED_EDGE('',*,*,#26465,.F.);
#37937=ORIENTED_EDGE('',*,*,#26738,.T.);
#37938=ORIENTED_EDGE('',*,*,#26739,.T.);
#37939=ORIENTED_EDGE('',*,*,#26736,.F.);
#37940=ORIENTED_EDGE('',*,*,#26464,.F.);
#37941=ORIENTED_EDGE('',*,*,#26740,.T.);
#37942=ORIENTED_EDGE('',*,*,#26741,.T.);
#37943=ORIENTED_EDGE('',*,*,#26738,.F.);
#37944=ORIENTED_EDGE('',*,*,#26463,.F.);
#37945=ORIENTED_EDGE('',*,*,#26742,.T.);
#37946=ORIENTED_EDGE('',*,*,#26743,.T.);
#37947=ORIENTED_EDGE('',*,*,#26740,.F.);
#37948=ORIENTED_EDGE('',*,*,#26462,.F.);
#37949=ORIENTED_EDGE('',*,*,#26744,.T.);
#37950=ORIENTED_EDGE('',*,*,#26745,.T.);
#37951=ORIENTED_EDGE('',*,*,#26742,.F.);
#37952=ORIENTED_EDGE('',*,*,#26461,.F.);
#37953=ORIENTED_EDGE('',*,*,#26746,.T.);
#37954=ORIENTED_EDGE('',*,*,#26747,.T.);
#37955=ORIENTED_EDGE('',*,*,#26744,.F.);
#37956=ORIENTED_EDGE('',*,*,#26460,.F.);
#37957=ORIENTED_EDGE('',*,*,#26748,.T.);
#37958=ORIENTED_EDGE('',*,*,#26749,.T.);
#37959=ORIENTED_EDGE('',*,*,#26746,.F.);
#37960=ORIENTED_EDGE('',*,*,#26459,.F.);
#37961=ORIENTED_EDGE('',*,*,#26750,.T.);
#37962=ORIENTED_EDGE('',*,*,#26751,.T.);
#37963=ORIENTED_EDGE('',*,*,#26748,.F.);
#37964=ORIENTED_EDGE('',*,*,#26458,.F.);
#37965=ORIENTED_EDGE('',*,*,#26752,.T.);
#37966=ORIENTED_EDGE('',*,*,#26753,.T.);
#37967=ORIENTED_EDGE('',*,*,#26750,.F.);
#37968=ORIENTED_EDGE('',*,*,#26457,.F.);
#37969=ORIENTED_EDGE('',*,*,#26754,.T.);
#37970=ORIENTED_EDGE('',*,*,#26755,.T.);
#37971=ORIENTED_EDGE('',*,*,#26752,.F.);
#37972=ORIENTED_EDGE('',*,*,#26456,.F.);
#37973=ORIENTED_EDGE('',*,*,#26756,.T.);
#37974=ORIENTED_EDGE('',*,*,#26757,.T.);
#37975=ORIENTED_EDGE('',*,*,#26754,.F.);
#37976=ORIENTED_EDGE('',*,*,#26455,.F.);
#37977=ORIENTED_EDGE('',*,*,#26758,.T.);
#37978=ORIENTED_EDGE('',*,*,#26759,.T.);
#37979=ORIENTED_EDGE('',*,*,#26756,.F.);
#37980=ORIENTED_EDGE('',*,*,#26454,.F.);
#37981=ORIENTED_EDGE('',*,*,#26760,.T.);
#37982=ORIENTED_EDGE('',*,*,#26761,.T.);
#37983=ORIENTED_EDGE('',*,*,#26758,.F.);
#37984=ORIENTED_EDGE('',*,*,#26453,.F.);
#37985=ORIENTED_EDGE('',*,*,#26762,.T.);
#37986=ORIENTED_EDGE('',*,*,#26763,.T.);
#37987=ORIENTED_EDGE('',*,*,#26760,.F.);
#37988=ORIENTED_EDGE('',*,*,#26452,.F.);
#37989=ORIENTED_EDGE('',*,*,#26725,.T.);
#37990=ORIENTED_EDGE('',*,*,#26764,.T.);
#37991=ORIENTED_EDGE('',*,*,#26762,.F.);
#37992=ORIENTED_EDGE('',*,*,#26764,.F.);
#37993=ORIENTED_EDGE('',*,*,#26724,.F.);
#37994=ORIENTED_EDGE('',*,*,#26727,.F.);
#37995=ORIENTED_EDGE('',*,*,#26729,.F.);
#37996=ORIENTED_EDGE('',*,*,#26731,.F.);
#37997=ORIENTED_EDGE('',*,*,#26733,.F.);
#37998=ORIENTED_EDGE('',*,*,#26735,.F.);
#37999=ORIENTED_EDGE('',*,*,#26737,.F.);
#38000=ORIENTED_EDGE('',*,*,#26739,.F.);
#38001=ORIENTED_EDGE('',*,*,#26741,.F.);
#38002=ORIENTED_EDGE('',*,*,#26743,.F.);
#38003=ORIENTED_EDGE('',*,*,#26745,.F.);
#38004=ORIENTED_EDGE('',*,*,#26747,.F.);
#38005=ORIENTED_EDGE('',*,*,#26749,.F.);
#38006=ORIENTED_EDGE('',*,*,#26751,.F.);
#38007=ORIENTED_EDGE('',*,*,#26753,.F.);
#38008=ORIENTED_EDGE('',*,*,#26755,.F.);
#38009=ORIENTED_EDGE('',*,*,#26757,.F.);
#38010=ORIENTED_EDGE('',*,*,#26759,.F.);
#38011=ORIENTED_EDGE('',*,*,#26761,.F.);
#38012=ORIENTED_EDGE('',*,*,#26763,.F.);
#38013=ORIENTED_EDGE('',*,*,#26765,.F.);
#38014=ORIENTED_EDGE('',*,*,#26766,.T.);
#38015=ORIENTED_EDGE('',*,*,#26767,.T.);
#38016=ORIENTED_EDGE('',*,*,#26768,.F.);
#38017=ORIENTED_EDGE('',*,*,#26769,.F.);
#38018=ORIENTED_EDGE('',*,*,#26770,.T.);
#38019=ORIENTED_EDGE('',*,*,#26771,.T.);
#38020=ORIENTED_EDGE('',*,*,#26766,.F.);
#38021=ORIENTED_EDGE('',*,*,#26772,.F.);
#38022=ORIENTED_EDGE('',*,*,#26768,.T.);
#38023=ORIENTED_EDGE('',*,*,#26773,.T.);
#38024=ORIENTED_EDGE('',*,*,#26770,.F.);
#38025=ORIENTED_EDGE('',*,*,#26472,.F.);
#38026=ORIENTED_EDGE('',*,*,#26774,.T.);
#38027=ORIENTED_EDGE('',*,*,#26775,.T.);
#38028=ORIENTED_EDGE('',*,*,#26776,.F.);
#38029=ORIENTED_EDGE('',*,*,#26482,.F.);
#38030=ORIENTED_EDGE('',*,*,#26777,.T.);
#38031=ORIENTED_EDGE('',*,*,#26778,.T.);
#38032=ORIENTED_EDGE('',*,*,#26774,.F.);
#38033=ORIENTED_EDGE('',*,*,#26481,.F.);
#38034=ORIENTED_EDGE('',*,*,#26779,.T.);
#38035=ORIENTED_EDGE('',*,*,#26780,.T.);
#38036=ORIENTED_EDGE('',*,*,#26777,.F.);
#38037=ORIENTED_EDGE('',*,*,#26480,.F.);
#38038=ORIENTED_EDGE('',*,*,#26781,.T.);
#38039=ORIENTED_EDGE('',*,*,#26782,.T.);
#38040=ORIENTED_EDGE('',*,*,#26779,.F.);
#38041=ORIENTED_EDGE('',*,*,#26479,.F.);
#38042=ORIENTED_EDGE('',*,*,#26783,.T.);
#38043=ORIENTED_EDGE('',*,*,#26784,.T.);
#38044=ORIENTED_EDGE('',*,*,#26781,.F.);
#38045=ORIENTED_EDGE('',*,*,#26478,.F.);
#38046=ORIENTED_EDGE('',*,*,#26785,.T.);
#38047=ORIENTED_EDGE('',*,*,#26786,.T.);
#38048=ORIENTED_EDGE('',*,*,#26783,.F.);
#38049=ORIENTED_EDGE('',*,*,#26477,.F.);
#38050=ORIENTED_EDGE('',*,*,#26787,.T.);
#38051=ORIENTED_EDGE('',*,*,#26788,.T.);
#38052=ORIENTED_EDGE('',*,*,#26785,.F.);
#38053=ORIENTED_EDGE('',*,*,#26476,.F.);
#38054=ORIENTED_EDGE('',*,*,#26789,.T.);
#38055=ORIENTED_EDGE('',*,*,#26790,.T.);
#38056=ORIENTED_EDGE('',*,*,#26787,.F.);
#38057=ORIENTED_EDGE('',*,*,#26475,.F.);
#38058=ORIENTED_EDGE('',*,*,#26791,.T.);
#38059=ORIENTED_EDGE('',*,*,#26792,.T.);
#38060=ORIENTED_EDGE('',*,*,#26789,.F.);
#38061=ORIENTED_EDGE('',*,*,#26474,.F.);
#38062=ORIENTED_EDGE('',*,*,#26793,.T.);
#38063=ORIENTED_EDGE('',*,*,#26794,.T.);
#38064=ORIENTED_EDGE('',*,*,#26791,.F.);
#38065=ORIENTED_EDGE('',*,*,#26473,.F.);
#38066=ORIENTED_EDGE('',*,*,#26776,.T.);
#38067=ORIENTED_EDGE('',*,*,#26795,.T.);
#38068=ORIENTED_EDGE('',*,*,#26793,.F.);
#38069=ORIENTED_EDGE('',*,*,#26795,.F.);
#38070=ORIENTED_EDGE('',*,*,#26775,.F.);
#38071=ORIENTED_EDGE('',*,*,#26778,.F.);
#38072=ORIENTED_EDGE('',*,*,#26780,.F.);
#38073=ORIENTED_EDGE('',*,*,#26782,.F.);
#38074=ORIENTED_EDGE('',*,*,#26784,.F.);
#38075=ORIENTED_EDGE('',*,*,#26786,.F.);
#38076=ORIENTED_EDGE('',*,*,#26788,.F.);
#38077=ORIENTED_EDGE('',*,*,#26790,.F.);
#38078=ORIENTED_EDGE('',*,*,#26792,.F.);
#38079=ORIENTED_EDGE('',*,*,#26794,.F.);
#38080=ORIENTED_EDGE('',*,*,#26773,.F.);
#38081=ORIENTED_EDGE('',*,*,#26767,.F.);
#38082=ORIENTED_EDGE('',*,*,#26771,.F.);
#38083=ORIENTED_EDGE('',*,*,#26796,.F.);
#38084=ORIENTED_EDGE('',*,*,#26797,.T.);
#38085=ORIENTED_EDGE('',*,*,#26798,.T.);
#38086=ORIENTED_EDGE('',*,*,#26799,.F.);
#38087=ORIENTED_EDGE('',*,*,#26800,.F.);
#38088=ORIENTED_EDGE('',*,*,#26801,.T.);
#38089=ORIENTED_EDGE('',*,*,#26802,.T.);
#38090=ORIENTED_EDGE('',*,*,#26797,.F.);
#38091=ORIENTED_EDGE('',*,*,#26803,.F.);
#38092=ORIENTED_EDGE('',*,*,#26804,.T.);
#38093=ORIENTED_EDGE('',*,*,#26805,.T.);
#38094=ORIENTED_EDGE('',*,*,#26801,.F.);
#38095=ORIENTED_EDGE('',*,*,#26806,.F.);
#38096=ORIENTED_EDGE('',*,*,#26807,.T.);
#38097=ORIENTED_EDGE('',*,*,#26808,.T.);
#38098=ORIENTED_EDGE('',*,*,#26804,.F.);
#38099=ORIENTED_EDGE('',*,*,#26809,.F.);
#38100=ORIENTED_EDGE('',*,*,#26810,.T.);
#38101=ORIENTED_EDGE('',*,*,#26811,.T.);
#38102=ORIENTED_EDGE('',*,*,#26807,.F.);
#38103=ORIENTED_EDGE('',*,*,#26812,.F.);
#38104=ORIENTED_EDGE('',*,*,#26813,.T.);
#38105=ORIENTED_EDGE('',*,*,#26814,.T.);
#38106=ORIENTED_EDGE('',*,*,#26810,.F.);
#38107=ORIENTED_EDGE('',*,*,#26815,.F.);
#38108=ORIENTED_EDGE('',*,*,#26816,.T.);
#38109=ORIENTED_EDGE('',*,*,#26817,.T.);
#38110=ORIENTED_EDGE('',*,*,#26813,.F.);
#38111=ORIENTED_EDGE('',*,*,#26818,.F.);
#38112=ORIENTED_EDGE('',*,*,#26819,.T.);
#38113=ORIENTED_EDGE('',*,*,#26820,.T.);
#38114=ORIENTED_EDGE('',*,*,#26816,.F.);
#38115=ORIENTED_EDGE('',*,*,#26821,.F.);
#38116=ORIENTED_EDGE('',*,*,#26822,.T.);
#38117=ORIENTED_EDGE('',*,*,#26823,.T.);
#38118=ORIENTED_EDGE('',*,*,#26819,.F.);
#38119=ORIENTED_EDGE('',*,*,#26824,.F.);
#38120=ORIENTED_EDGE('',*,*,#26799,.T.);
#38121=ORIENTED_EDGE('',*,*,#26825,.T.);
#38122=ORIENTED_EDGE('',*,*,#26822,.F.);
#38123=ORIENTED_EDGE('',*,*,#26483,.F.);
#38124=ORIENTED_EDGE('',*,*,#26826,.T.);
#38125=ORIENTED_EDGE('',*,*,#26827,.T.);
#38126=ORIENTED_EDGE('',*,*,#26828,.F.);
#38127=ORIENTED_EDGE('',*,*,#26512,.F.);
#38128=ORIENTED_EDGE('',*,*,#26829,.T.);
#38129=ORIENTED_EDGE('',*,*,#26830,.T.);
#38130=ORIENTED_EDGE('',*,*,#26826,.F.);
#38131=ORIENTED_EDGE('',*,*,#26511,.F.);
#38132=ORIENTED_EDGE('',*,*,#26831,.T.);
#38133=ORIENTED_EDGE('',*,*,#26832,.T.);
#38134=ORIENTED_EDGE('',*,*,#26829,.F.);
#38135=ORIENTED_EDGE('',*,*,#26510,.F.);
#38136=ORIENTED_EDGE('',*,*,#26833,.T.);
#38137=ORIENTED_EDGE('',*,*,#26834,.T.);
#38138=ORIENTED_EDGE('',*,*,#26831,.F.);
#38139=ORIENTED_EDGE('',*,*,#26509,.F.);
#38140=ORIENTED_EDGE('',*,*,#26835,.T.);
#38141=ORIENTED_EDGE('',*,*,#26836,.T.);
#38142=ORIENTED_EDGE('',*,*,#26833,.F.);
#38143=ORIENTED_EDGE('',*,*,#26508,.F.);
#38144=ORIENTED_EDGE('',*,*,#26837,.T.);
#38145=ORIENTED_EDGE('',*,*,#26838,.T.);
#38146=ORIENTED_EDGE('',*,*,#26835,.F.);
#38147=ORIENTED_EDGE('',*,*,#26507,.F.);
#38148=ORIENTED_EDGE('',*,*,#26839,.T.);
#38149=ORIENTED_EDGE('',*,*,#26840,.T.);
#38150=ORIENTED_EDGE('',*,*,#26837,.F.);
#38151=ORIENTED_EDGE('',*,*,#26506,.F.);
#38152=ORIENTED_EDGE('',*,*,#26841,.T.);
#38153=ORIENTED_EDGE('',*,*,#26842,.T.);
#38154=ORIENTED_EDGE('',*,*,#26839,.F.);
#38155=ORIENTED_EDGE('',*,*,#26505,.F.);
#38156=ORIENTED_EDGE('',*,*,#26843,.T.);
#38157=ORIENTED_EDGE('',*,*,#26844,.T.);
#38158=ORIENTED_EDGE('',*,*,#26841,.F.);
#38159=ORIENTED_EDGE('',*,*,#26504,.F.);
#38160=ORIENTED_EDGE('',*,*,#26845,.T.);
#38161=ORIENTED_EDGE('',*,*,#26846,.T.);
#38162=ORIENTED_EDGE('',*,*,#26843,.F.);
#38163=ORIENTED_EDGE('',*,*,#26503,.F.);
#38164=ORIENTED_EDGE('',*,*,#26847,.T.);
#38165=ORIENTED_EDGE('',*,*,#26848,.T.);
#38166=ORIENTED_EDGE('',*,*,#26845,.F.);
#38167=ORIENTED_EDGE('',*,*,#26502,.F.);
#38168=ORIENTED_EDGE('',*,*,#26849,.T.);
#38169=ORIENTED_EDGE('',*,*,#26850,.T.);
#38170=ORIENTED_EDGE('',*,*,#26847,.F.);
#38171=ORIENTED_EDGE('',*,*,#26501,.F.);
#38172=ORIENTED_EDGE('',*,*,#26851,.T.);
#38173=ORIENTED_EDGE('',*,*,#26852,.T.);
#38174=ORIENTED_EDGE('',*,*,#26849,.F.);
#38175=ORIENTED_EDGE('',*,*,#26500,.F.);
#38176=ORIENTED_EDGE('',*,*,#26853,.T.);
#38177=ORIENTED_EDGE('',*,*,#26854,.T.);
#38178=ORIENTED_EDGE('',*,*,#26851,.F.);
#38179=ORIENTED_EDGE('',*,*,#26499,.F.);
#38180=ORIENTED_EDGE('',*,*,#26855,.T.);
#38181=ORIENTED_EDGE('',*,*,#26856,.T.);
#38182=ORIENTED_EDGE('',*,*,#26853,.F.);
#38183=ORIENTED_EDGE('',*,*,#26498,.F.);
#38184=ORIENTED_EDGE('',*,*,#26857,.T.);
#38185=ORIENTED_EDGE('',*,*,#26858,.T.);
#38186=ORIENTED_EDGE('',*,*,#26855,.F.);
#38187=ORIENTED_EDGE('',*,*,#26497,.F.);
#38188=ORIENTED_EDGE('',*,*,#26859,.T.);
#38189=ORIENTED_EDGE('',*,*,#26860,.T.);
#38190=ORIENTED_EDGE('',*,*,#26857,.F.);
#38191=ORIENTED_EDGE('',*,*,#26496,.F.);
#38192=ORIENTED_EDGE('',*,*,#26861,.T.);
#38193=ORIENTED_EDGE('',*,*,#26862,.T.);
#38194=ORIENTED_EDGE('',*,*,#26859,.F.);
#38195=ORIENTED_EDGE('',*,*,#26495,.F.);
#38196=ORIENTED_EDGE('',*,*,#26863,.T.);
#38197=ORIENTED_EDGE('',*,*,#26864,.T.);
#38198=ORIENTED_EDGE('',*,*,#26861,.F.);
#38199=ORIENTED_EDGE('',*,*,#26494,.F.);
#38200=ORIENTED_EDGE('',*,*,#26865,.T.);
#38201=ORIENTED_EDGE('',*,*,#26866,.T.);
#38202=ORIENTED_EDGE('',*,*,#26863,.F.);
#38203=ORIENTED_EDGE('',*,*,#26493,.F.);
#38204=ORIENTED_EDGE('',*,*,#26867,.T.);
#38205=ORIENTED_EDGE('',*,*,#26868,.T.);
#38206=ORIENTED_EDGE('',*,*,#26865,.F.);
#38207=ORIENTED_EDGE('',*,*,#26492,.F.);
#38208=ORIENTED_EDGE('',*,*,#26869,.T.);
#38209=ORIENTED_EDGE('',*,*,#26870,.T.);
#38210=ORIENTED_EDGE('',*,*,#26867,.F.);
#38211=ORIENTED_EDGE('',*,*,#26491,.F.);
#38212=ORIENTED_EDGE('',*,*,#26871,.T.);
#38213=ORIENTED_EDGE('',*,*,#26872,.T.);
#38214=ORIENTED_EDGE('',*,*,#26869,.F.);
#38215=ORIENTED_EDGE('',*,*,#26490,.F.);
#38216=ORIENTED_EDGE('',*,*,#26873,.T.);
#38217=ORIENTED_EDGE('',*,*,#26874,.T.);
#38218=ORIENTED_EDGE('',*,*,#26871,.F.);
#38219=ORIENTED_EDGE('',*,*,#26489,.F.);
#38220=ORIENTED_EDGE('',*,*,#26875,.T.);
#38221=ORIENTED_EDGE('',*,*,#26876,.T.);
#38222=ORIENTED_EDGE('',*,*,#26873,.F.);
#38223=ORIENTED_EDGE('',*,*,#26488,.F.);
#38224=ORIENTED_EDGE('',*,*,#26877,.T.);
#38225=ORIENTED_EDGE('',*,*,#26878,.T.);
#38226=ORIENTED_EDGE('',*,*,#26875,.F.);
#38227=ORIENTED_EDGE('',*,*,#26487,.F.);
#38228=ORIENTED_EDGE('',*,*,#26879,.T.);
#38229=ORIENTED_EDGE('',*,*,#26880,.T.);
#38230=ORIENTED_EDGE('',*,*,#26877,.F.);
#38231=ORIENTED_EDGE('',*,*,#26486,.F.);
#38232=ORIENTED_EDGE('',*,*,#26881,.T.);
#38233=ORIENTED_EDGE('',*,*,#26882,.T.);
#38234=ORIENTED_EDGE('',*,*,#26879,.F.);
#38235=ORIENTED_EDGE('',*,*,#26485,.F.);
#38236=ORIENTED_EDGE('',*,*,#26883,.T.);
#38237=ORIENTED_EDGE('',*,*,#26884,.T.);
#38238=ORIENTED_EDGE('',*,*,#26881,.F.);
#38239=ORIENTED_EDGE('',*,*,#26484,.F.);
#38240=ORIENTED_EDGE('',*,*,#26828,.T.);
#38241=ORIENTED_EDGE('',*,*,#26885,.T.);
#38242=ORIENTED_EDGE('',*,*,#26883,.F.);
#38243=ORIENTED_EDGE('',*,*,#26885,.F.);
#38244=ORIENTED_EDGE('',*,*,#26827,.F.);
#38245=ORIENTED_EDGE('',*,*,#26830,.F.);
#38246=ORIENTED_EDGE('',*,*,#26832,.F.);
#38247=ORIENTED_EDGE('',*,*,#26834,.F.);
#38248=ORIENTED_EDGE('',*,*,#26836,.F.);
#38249=ORIENTED_EDGE('',*,*,#26838,.F.);
#38250=ORIENTED_EDGE('',*,*,#26840,.F.);
#38251=ORIENTED_EDGE('',*,*,#26842,.F.);
#38252=ORIENTED_EDGE('',*,*,#26844,.F.);
#38253=ORIENTED_EDGE('',*,*,#26846,.F.);
#38254=ORIENTED_EDGE('',*,*,#26848,.F.);
#38255=ORIENTED_EDGE('',*,*,#26850,.F.);
#38256=ORIENTED_EDGE('',*,*,#26852,.F.);
#38257=ORIENTED_EDGE('',*,*,#26854,.F.);
#38258=ORIENTED_EDGE('',*,*,#26856,.F.);
#38259=ORIENTED_EDGE('',*,*,#26858,.F.);
#38260=ORIENTED_EDGE('',*,*,#26860,.F.);
#38261=ORIENTED_EDGE('',*,*,#26862,.F.);
#38262=ORIENTED_EDGE('',*,*,#26864,.F.);
#38263=ORIENTED_EDGE('',*,*,#26866,.F.);
#38264=ORIENTED_EDGE('',*,*,#26868,.F.);
#38265=ORIENTED_EDGE('',*,*,#26870,.F.);
#38266=ORIENTED_EDGE('',*,*,#26872,.F.);
#38267=ORIENTED_EDGE('',*,*,#26874,.F.);
#38268=ORIENTED_EDGE('',*,*,#26876,.F.);
#38269=ORIENTED_EDGE('',*,*,#26878,.F.);
#38270=ORIENTED_EDGE('',*,*,#26880,.F.);
#38271=ORIENTED_EDGE('',*,*,#26882,.F.);
#38272=ORIENTED_EDGE('',*,*,#26884,.F.);
#38273=ORIENTED_EDGE('',*,*,#26825,.F.);
#38274=ORIENTED_EDGE('',*,*,#26798,.F.);
#38275=ORIENTED_EDGE('',*,*,#26802,.F.);
#38276=ORIENTED_EDGE('',*,*,#26805,.F.);
#38277=ORIENTED_EDGE('',*,*,#26808,.F.);
#38278=ORIENTED_EDGE('',*,*,#26811,.F.);
#38279=ORIENTED_EDGE('',*,*,#26814,.F.);
#38280=ORIENTED_EDGE('',*,*,#26817,.F.);
#38281=ORIENTED_EDGE('',*,*,#26820,.F.);
#38282=ORIENTED_EDGE('',*,*,#26823,.F.);
#38283=ORIENTED_EDGE('',*,*,#26796,.T.);
#38284=ORIENTED_EDGE('',*,*,#26824,.T.);
#38285=ORIENTED_EDGE('',*,*,#26821,.T.);
#38286=ORIENTED_EDGE('',*,*,#26818,.T.);
#38287=ORIENTED_EDGE('',*,*,#26815,.T.);
#38288=ORIENTED_EDGE('',*,*,#26812,.T.);
#38289=ORIENTED_EDGE('',*,*,#26809,.T.);
#38290=ORIENTED_EDGE('',*,*,#26806,.T.);
#38291=ORIENTED_EDGE('',*,*,#26803,.T.);
#38292=ORIENTED_EDGE('',*,*,#26800,.T.);
#38293=ORIENTED_EDGE('',*,*,#26886,.T.);
#38294=ORIENTED_EDGE('',*,*,#26887,.T.);
#38295=ORIENTED_EDGE('',*,*,#26888,.T.);
#38296=ORIENTED_EDGE('',*,*,#26889,.T.);
#38297=ORIENTED_EDGE('',*,*,#26890,.T.);
#38298=ORIENTED_EDGE('',*,*,#26891,.T.);
#38299=ORIENTED_EDGE('',*,*,#26892,.T.);
#38300=ORIENTED_EDGE('',*,*,#26893,.T.);
#38301=ORIENTED_EDGE('',*,*,#26894,.T.);
#38302=ORIENTED_EDGE('',*,*,#26895,.T.);
#38303=ORIENTED_EDGE('',*,*,#26896,.T.);
#38304=ORIENTED_EDGE('',*,*,#26897,.T.);
#38305=ORIENTED_EDGE('',*,*,#26898,.T.);
#38306=ORIENTED_EDGE('',*,*,#26895,.F.);
#38307=ORIENTED_EDGE('',*,*,#26899,.T.);
#38308=ORIENTED_EDGE('',*,*,#26900,.T.);
#38309=ORIENTED_EDGE('',*,*,#26901,.T.);
#38310=ORIENTED_EDGE('',*,*,#26897,.F.);
#38311=ORIENTED_EDGE('',*,*,#26902,.T.);
#38312=ORIENTED_EDGE('',*,*,#26903,.T.);
#38313=ORIENTED_EDGE('',*,*,#26904,.T.);
#38314=ORIENTED_EDGE('',*,*,#26905,.T.);
#38315=ORIENTED_EDGE('',*,*,#26906,.T.);
#38316=ORIENTED_EDGE('',*,*,#26907,.T.);
#38317=ORIENTED_EDGE('',*,*,#26908,.T.);
#38318=ORIENTED_EDGE('',*,*,#26353,.T.);
#38319=ORIENTED_EDGE('',*,*,#26909,.T.);
#38320=ORIENTED_EDGE('',*,*,#26910,.T.);
#38321=ORIENTED_EDGE('',*,*,#26911,.T.);
#38322=ORIENTED_EDGE('',*,*,#26912,.T.);
#38323=ORIENTED_EDGE('',*,*,#26913,.T.);
#38324=ORIENTED_EDGE('',*,*,#26914,.T.);
#38325=ORIENTED_EDGE('',*,*,#26915,.T.);
#38326=ORIENTED_EDGE('',*,*,#26342,.T.);
#38327=ORIENTED_EDGE('',*,*,#26916,.T.);
#38328=ORIENTED_EDGE('',*,*,#26917,.F.);
#38329=ORIENTED_EDGE('',*,*,#26918,.F.);
#38330=ORIENTED_EDGE('',*,*,#26919,.F.);
#38331=ORIENTED_EDGE('',*,*,#26920,.T.);
#38332=ORIENTED_EDGE('',*,*,#26921,.F.);
#38333=ORIENTED_EDGE('',*,*,#26922,.F.);
#38334=ORIENTED_EDGE('',*,*,#26923,.F.);
#38335=ORIENTED_EDGE('',*,*,#26924,.T.);
#38336=ORIENTED_EDGE('',*,*,#26925,.F.);
#38337=ORIENTED_EDGE('',*,*,#26926,.F.);
#38338=ORIENTED_EDGE('',*,*,#26927,.F.);
#38339=ORIENTED_EDGE('',*,*,#26928,.F.);
#38340=ORIENTED_EDGE('',*,*,#26929,.F.);
#38341=ORIENTED_EDGE('',*,*,#26930,.F.);
#38342=ORIENTED_EDGE('',*,*,#26931,.F.);
#38343=ORIENTED_EDGE('',*,*,#26932,.F.);
#38344=ORIENTED_EDGE('',*,*,#26933,.F.);
#38345=ORIENTED_EDGE('',*,*,#26934,.F.);
#38346=ORIENTED_EDGE('',*,*,#26935,.F.);
#38347=ORIENTED_EDGE('',*,*,#26936,.F.);
#38348=ORIENTED_EDGE('',*,*,#26937,.F.);
#38349=ORIENTED_EDGE('',*,*,#26938,.F.);
#38350=ORIENTED_EDGE('',*,*,#26939,.F.);
#38351=ORIENTED_EDGE('',*,*,#26940,.F.);
#38352=ORIENTED_EDGE('',*,*,#26941,.F.);
#38353=ORIENTED_EDGE('',*,*,#26942,.F.);
#38354=ORIENTED_EDGE('',*,*,#26943,.F.);
#38355=ORIENTED_EDGE('',*,*,#26944,.F.);
#38356=ORIENTED_EDGE('',*,*,#26945,.F.);
#38357=ORIENTED_EDGE('',*,*,#26946,.F.);
#38358=ORIENTED_EDGE('',*,*,#26947,.F.);
#38359=ORIENTED_EDGE('',*,*,#26948,.F.);
#38360=ORIENTED_EDGE('',*,*,#26949,.F.);
#38361=ORIENTED_EDGE('',*,*,#26950,.F.);
#38362=ORIENTED_EDGE('',*,*,#26951,.F.);
#38363=ORIENTED_EDGE('',*,*,#26952,.F.);
#38364=ORIENTED_EDGE('',*,*,#26953,.F.);
#38365=ORIENTED_EDGE('',*,*,#26954,.F.);
#38366=ORIENTED_EDGE('',*,*,#26955,.F.);
#38367=ORIENTED_EDGE('',*,*,#26956,.F.);
#38368=ORIENTED_EDGE('',*,*,#26957,.F.);
#38369=ORIENTED_EDGE('',*,*,#26958,.F.);
#38370=ORIENTED_EDGE('',*,*,#26959,.F.);
#38371=ORIENTED_EDGE('',*,*,#26960,.F.);
#38372=ORIENTED_EDGE('',*,*,#26961,.F.);
#38373=ORIENTED_EDGE('',*,*,#26962,.F.);
#38374=ORIENTED_EDGE('',*,*,#26963,.F.);
#38375=ORIENTED_EDGE('',*,*,#26964,.F.);
#38376=ORIENTED_EDGE('',*,*,#26965,.F.);
#38377=ORIENTED_EDGE('',*,*,#26966,.F.);
#38378=ORIENTED_EDGE('',*,*,#26967,.F.);
#38379=ORIENTED_EDGE('',*,*,#26968,.F.);
#38380=ORIENTED_EDGE('',*,*,#26969,.F.);
#38381=ORIENTED_EDGE('',*,*,#26970,.F.);
#38382=ORIENTED_EDGE('',*,*,#26971,.F.);
#38383=ORIENTED_EDGE('',*,*,#26972,.F.);
#38384=ORIENTED_EDGE('',*,*,#26973,.F.);
#38385=ORIENTED_EDGE('',*,*,#26974,.F.);
#38386=ORIENTED_EDGE('',*,*,#26975,.F.);
#38387=ORIENTED_EDGE('',*,*,#26976,.T.);
#38388=ORIENTED_EDGE('',*,*,#26892,.F.);
#38389=ORIENTED_EDGE('',*,*,#26898,.F.);
#38390=ORIENTED_EDGE('',*,*,#26901,.F.);
#38391=ORIENTED_EDGE('',*,*,#26977,.T.);
#38392=ORIENTED_EDGE('',*,*,#26978,.F.);
#38393=ORIENTED_EDGE('',*,*,#26979,.F.);
#38394=ORIENTED_EDGE('',*,*,#26980,.F.);
#38395=ORIENTED_EDGE('',*,*,#26981,.T.);
#38396=ORIENTED_EDGE('',*,*,#26982,.F.);
#38397=ORIENTED_EDGE('',*,*,#26983,.F.);
#38398=ORIENTED_EDGE('',*,*,#26984,.F.);
#38399=ORIENTED_EDGE('',*,*,#26982,.T.);
#38400=ORIENTED_EDGE('',*,*,#26985,.T.);
#38401=ORIENTED_EDGE('',*,*,#26986,.T.);
#38402=ORIENTED_EDGE('',*,*,#26987,.T.);
#38403=ORIENTED_EDGE('',*,*,#26988,.T.);
#38404=ORIENTED_EDGE('',*,*,#26989,.T.);
#38405=ORIENTED_EDGE('',*,*,#26984,.T.);
#38406=ORIENTED_EDGE('',*,*,#26990,.T.);
#38407=ORIENTED_EDGE('',*,*,#26991,.T.);
#38408=ORIENTED_EDGE('',*,*,#26990,.F.);
#38409=ORIENTED_EDGE('',*,*,#26983,.T.);
#38410=ORIENTED_EDGE('',*,*,#26987,.F.);
#38411=ORIENTED_EDGE('',*,*,#26921,.T.);
#38412=ORIENTED_EDGE('',*,*,#26992,.T.);
#38413=ORIENTED_EDGE('',*,*,#26993,.T.);
#38414=ORIENTED_EDGE('',*,*,#26994,.T.);
#38415=ORIENTED_EDGE('',*,*,#26995,.T.);
#38416=ORIENTED_EDGE('',*,*,#26996,.T.);
#38417=ORIENTED_EDGE('',*,*,#26923,.T.);
#38418=ORIENTED_EDGE('',*,*,#26997,.T.);
#38419=ORIENTED_EDGE('',*,*,#26998,.T.);
#38420=ORIENTED_EDGE('',*,*,#26997,.F.);
#38421=ORIENTED_EDGE('',*,*,#26922,.T.);
#38422=ORIENTED_EDGE('',*,*,#26994,.F.);
#38423=ORIENTED_EDGE('',*,*,#26925,.T.);
#38424=ORIENTED_EDGE('',*,*,#26999,.T.);
#38425=ORIENTED_EDGE('',*,*,#27000,.T.);
#38426=ORIENTED_EDGE('',*,*,#27001,.T.);
#38427=ORIENTED_EDGE('',*,*,#27002,.T.);
#38428=ORIENTED_EDGE('',*,*,#27003,.T.);
#38429=ORIENTED_EDGE('',*,*,#26927,.T.);
#38430=ORIENTED_EDGE('',*,*,#27004,.T.);
#38431=ORIENTED_EDGE('',*,*,#27005,.T.);
#38432=ORIENTED_EDGE('',*,*,#27004,.F.);
#38433=ORIENTED_EDGE('',*,*,#26926,.T.);
#38434=ORIENTED_EDGE('',*,*,#27001,.F.);
#38435=ORIENTED_EDGE('',*,*,#26978,.T.);
#38436=ORIENTED_EDGE('',*,*,#27006,.T.);
#38437=ORIENTED_EDGE('',*,*,#27007,.T.);
#38438=ORIENTED_EDGE('',*,*,#27008,.T.);
#38439=ORIENTED_EDGE('',*,*,#27009,.T.);
#38440=ORIENTED_EDGE('',*,*,#27010,.T.);
#38441=ORIENTED_EDGE('',*,*,#26980,.T.);
#38442=ORIENTED_EDGE('',*,*,#27011,.T.);
#38443=ORIENTED_EDGE('',*,*,#27012,.T.);
#38444=ORIENTED_EDGE('',*,*,#27011,.F.);
#38445=ORIENTED_EDGE('',*,*,#26979,.T.);
#38446=ORIENTED_EDGE('',*,*,#27008,.F.);
#38447=ORIENTED_EDGE('',*,*,#26917,.T.);
#38448=ORIENTED_EDGE('',*,*,#27013,.T.);
#38449=ORIENTED_EDGE('',*,*,#27014,.T.);
#38450=ORIENTED_EDGE('',*,*,#27015,.T.);
#38451=ORIENTED_EDGE('',*,*,#27016,.T.);
#38452=ORIENTED_EDGE('',*,*,#27017,.T.);
#38453=ORIENTED_EDGE('',*,*,#26919,.T.);
#38454=ORIENTED_EDGE('',*,*,#27018,.T.);
#38455=ORIENTED_EDGE('',*,*,#27019,.T.);
#38456=ORIENTED_EDGE('',*,*,#27018,.F.);
#38457=ORIENTED_EDGE('',*,*,#26918,.T.);
#38458=ORIENTED_EDGE('',*,*,#27015,.F.);
#38459=ORIENTED_EDGE('',*,*,#27020,.F.);
#38460=ORIENTED_EDGE('',*,*,#27021,.T.);
#38461=ORIENTED_EDGE('',*,*,#26887,.F.);
#38462=ORIENTED_EDGE('',*,*,#27021,.F.);
#38463=ORIENTED_EDGE('',*,*,#26988,.F.);
#38464=ORIENTED_EDGE('',*,*,#27022,.T.);
#38465=ORIENTED_EDGE('',*,*,#27023,.F.);
#38466=ORIENTED_EDGE('',*,*,#27024,.F.);
#38467=ORIENTED_EDGE('',*,*,#26981,.F.);
#38468=ORIENTED_EDGE('',*,*,#26989,.F.);
#38469=ORIENTED_EDGE('',*,*,#27024,.T.);
#38470=ORIENTED_EDGE('',*,*,#27025,.F.);
#38471=ORIENTED_EDGE('',*,*,#27026,.F.);
#38472=ORIENTED_EDGE('',*,*,#26985,.F.);
#38473=ORIENTED_EDGE('',*,*,#26986,.F.);
#38474=ORIENTED_EDGE('',*,*,#27026,.T.);
#38475=ORIENTED_EDGE('',*,*,#27027,.F.);
#38476=ORIENTED_EDGE('',*,*,#27028,.F.);
#38477=ORIENTED_EDGE('',*,*,#26991,.F.);
#38478=ORIENTED_EDGE('',*,*,#27028,.T.);
#38479=ORIENTED_EDGE('',*,*,#27029,.F.);
#38480=ORIENTED_EDGE('',*,*,#27022,.F.);
#38481=ORIENTED_EDGE('',*,*,#27029,.T.);
#38482=ORIENTED_EDGE('',*,*,#27027,.T.);
#38483=ORIENTED_EDGE('',*,*,#27025,.T.);
#38484=ORIENTED_EDGE('',*,*,#27023,.T.);
#38485=ORIENTED_EDGE('',*,*,#27020,.T.);
#38486=ORIENTED_EDGE('',*,*,#27030,.F.);
#38487=ORIENTED_EDGE('',*,*,#27031,.T.);
#38488=ORIENTED_EDGE('',*,*,#26888,.F.);
#38489=ORIENTED_EDGE('',*,*,#27031,.F.);
#38490=ORIENTED_EDGE('',*,*,#27016,.F.);
#38491=ORIENTED_EDGE('',*,*,#27032,.T.);
#38492=ORIENTED_EDGE('',*,*,#27033,.F.);
#38493=ORIENTED_EDGE('',*,*,#27034,.F.);
#38494=ORIENTED_EDGE('',*,*,#26916,.F.);
#38495=ORIENTED_EDGE('',*,*,#27017,.F.);
#38496=ORIENTED_EDGE('',*,*,#27034,.T.);
#38497=ORIENTED_EDGE('',*,*,#27035,.F.);
#38498=ORIENTED_EDGE('',*,*,#27036,.F.);
#38499=ORIENTED_EDGE('',*,*,#27013,.F.);
#38500=ORIENTED_EDGE('',*,*,#27014,.F.);
#38501=ORIENTED_EDGE('',*,*,#27036,.T.);
#38502=ORIENTED_EDGE('',*,*,#27037,.F.);
#38503=ORIENTED_EDGE('',*,*,#27038,.F.);
#38504=ORIENTED_EDGE('',*,*,#27019,.F.);
#38505=ORIENTED_EDGE('',*,*,#27038,.T.);
#38506=ORIENTED_EDGE('',*,*,#27039,.F.);
#38507=ORIENTED_EDGE('',*,*,#27032,.F.);
#38508=ORIENTED_EDGE('',*,*,#27039,.T.);
#38509=ORIENTED_EDGE('',*,*,#27037,.T.);
#38510=ORIENTED_EDGE('',*,*,#27035,.T.);
#38511=ORIENTED_EDGE('',*,*,#27033,.T.);
#38512=ORIENTED_EDGE('',*,*,#27030,.T.);
#38513=ORIENTED_EDGE('',*,*,#27040,.F.);
#38514=ORIENTED_EDGE('',*,*,#27041,.T.);
#38515=ORIENTED_EDGE('',*,*,#26886,.F.);
#38516=ORIENTED_EDGE('',*,*,#27041,.F.);
#38517=ORIENTED_EDGE('',*,*,#27009,.F.);
#38518=ORIENTED_EDGE('',*,*,#27042,.T.);
#38519=ORIENTED_EDGE('',*,*,#27043,.F.);
#38520=ORIENTED_EDGE('',*,*,#27044,.F.);
#38521=ORIENTED_EDGE('',*,*,#26977,.F.);
#38522=ORIENTED_EDGE('',*,*,#27010,.F.);
#38523=ORIENTED_EDGE('',*,*,#27044,.T.);
#38524=ORIENTED_EDGE('',*,*,#27045,.F.);
#38525=ORIENTED_EDGE('',*,*,#27046,.F.);
#38526=ORIENTED_EDGE('',*,*,#27006,.F.);
#38527=ORIENTED_EDGE('',*,*,#27007,.F.);
#38528=ORIENTED_EDGE('',*,*,#27046,.T.);
#38529=ORIENTED_EDGE('',*,*,#27047,.F.);
#38530=ORIENTED_EDGE('',*,*,#27048,.F.);
#38531=ORIENTED_EDGE('',*,*,#27012,.F.);
#38532=ORIENTED_EDGE('',*,*,#27048,.T.);
#38533=ORIENTED_EDGE('',*,*,#27049,.F.);
#38534=ORIENTED_EDGE('',*,*,#27042,.F.);
#38535=ORIENTED_EDGE('',*,*,#27049,.T.);
#38536=ORIENTED_EDGE('',*,*,#27047,.T.);
#38537=ORIENTED_EDGE('',*,*,#27045,.T.);
#38538=ORIENTED_EDGE('',*,*,#27043,.T.);
#38539=ORIENTED_EDGE('',*,*,#27040,.T.);
#38540=ORIENTED_EDGE('',*,*,#27050,.F.);
#38541=ORIENTED_EDGE('',*,*,#27051,.T.);
#38542=ORIENTED_EDGE('',*,*,#26890,.F.);
#38543=ORIENTED_EDGE('',*,*,#27051,.F.);
#38544=ORIENTED_EDGE('',*,*,#26995,.F.);
#38545=ORIENTED_EDGE('',*,*,#27052,.T.);
#38546=ORIENTED_EDGE('',*,*,#27053,.F.);
#38547=ORIENTED_EDGE('',*,*,#27054,.F.);
#38548=ORIENTED_EDGE('',*,*,#26920,.F.);
#38549=ORIENTED_EDGE('',*,*,#26996,.F.);
#38550=ORIENTED_EDGE('',*,*,#27054,.T.);
#38551=ORIENTED_EDGE('',*,*,#27055,.F.);
#38552=ORIENTED_EDGE('',*,*,#27056,.F.);
#38553=ORIENTED_EDGE('',*,*,#26992,.F.);
#38554=ORIENTED_EDGE('',*,*,#26993,.F.);
#38555=ORIENTED_EDGE('',*,*,#27056,.T.);
#38556=ORIENTED_EDGE('',*,*,#27057,.F.);
#38557=ORIENTED_EDGE('',*,*,#27058,.F.);
#38558=ORIENTED_EDGE('',*,*,#26998,.F.);
#38559=ORIENTED_EDGE('',*,*,#27058,.T.);
#38560=ORIENTED_EDGE('',*,*,#27059,.F.);
#38561=ORIENTED_EDGE('',*,*,#27052,.F.);
#38562=ORIENTED_EDGE('',*,*,#27059,.T.);
#38563=ORIENTED_EDGE('',*,*,#27057,.T.);
#38564=ORIENTED_EDGE('',*,*,#27055,.T.);
#38565=ORIENTED_EDGE('',*,*,#27053,.T.);
#38566=ORIENTED_EDGE('',*,*,#27050,.T.);
#38567=ORIENTED_EDGE('',*,*,#27060,.F.);
#38568=ORIENTED_EDGE('',*,*,#27061,.T.);
#38569=ORIENTED_EDGE('',*,*,#26891,.F.);
#38570=ORIENTED_EDGE('',*,*,#27061,.F.);
#38571=ORIENTED_EDGE('',*,*,#26894,.F.);
#38572=ORIENTED_EDGE('',*,*,#27062,.T.);
#38573=ORIENTED_EDGE('',*,*,#27063,.F.);
#38574=ORIENTED_EDGE('',*,*,#27064,.F.);
#38575=ORIENTED_EDGE('',*,*,#26896,.F.);
#38576=ORIENTED_EDGE('',*,*,#27064,.T.);
#38577=ORIENTED_EDGE('',*,*,#27065,.F.);
#38578=ORIENTED_EDGE('',*,*,#27066,.F.);
#38579=ORIENTED_EDGE('',*,*,#26899,.F.);
#38580=ORIENTED_EDGE('',*,*,#27066,.T.);
#38581=ORIENTED_EDGE('',*,*,#27067,.F.);
#38582=ORIENTED_EDGE('',*,*,#27068,.F.);
#38583=ORIENTED_EDGE('',*,*,#26976,.F.);
#38584=ORIENTED_EDGE('',*,*,#26900,.F.);
#38585=ORIENTED_EDGE('',*,*,#27068,.T.);
#38586=ORIENTED_EDGE('',*,*,#27069,.F.);
#38587=ORIENTED_EDGE('',*,*,#27062,.F.);
#38588=ORIENTED_EDGE('',*,*,#26893,.F.);
#38589=ORIENTED_EDGE('',*,*,#27069,.T.);
#38590=ORIENTED_EDGE('',*,*,#27067,.T.);
#38591=ORIENTED_EDGE('',*,*,#27065,.T.);
#38592=ORIENTED_EDGE('',*,*,#27063,.T.);
#38593=ORIENTED_EDGE('',*,*,#27060,.T.);
#38594=ORIENTED_EDGE('',*,*,#27070,.F.);
#38595=ORIENTED_EDGE('',*,*,#27071,.T.);
#38596=ORIENTED_EDGE('',*,*,#26889,.F.);
#38597=ORIENTED_EDGE('',*,*,#27071,.F.);
#38598=ORIENTED_EDGE('',*,*,#27002,.F.);
#38599=ORIENTED_EDGE('',*,*,#27072,.T.);
#38600=ORIENTED_EDGE('',*,*,#27073,.F.);
#38601=ORIENTED_EDGE('',*,*,#27074,.F.);
#38602=ORIENTED_EDGE('',*,*,#26924,.F.);
#38603=ORIENTED_EDGE('',*,*,#27003,.F.);
#38604=ORIENTED_EDGE('',*,*,#27074,.T.);
#38605=ORIENTED_EDGE('',*,*,#27075,.F.);
#38606=ORIENTED_EDGE('',*,*,#27076,.F.);
#38607=ORIENTED_EDGE('',*,*,#26999,.F.);
#38608=ORIENTED_EDGE('',*,*,#27000,.F.);
#38609=ORIENTED_EDGE('',*,*,#27076,.T.);
#38610=ORIENTED_EDGE('',*,*,#27077,.F.);
#38611=ORIENTED_EDGE('',*,*,#27078,.F.);
#38612=ORIENTED_EDGE('',*,*,#27005,.F.);
#38613=ORIENTED_EDGE('',*,*,#27078,.T.);
#38614=ORIENTED_EDGE('',*,*,#27079,.F.);
#38615=ORIENTED_EDGE('',*,*,#27072,.F.);
#38616=ORIENTED_EDGE('',*,*,#27079,.T.);
#38617=ORIENTED_EDGE('',*,*,#27077,.T.);
#38618=ORIENTED_EDGE('',*,*,#27075,.T.);
#38619=ORIENTED_EDGE('',*,*,#27073,.T.);
#38620=ORIENTED_EDGE('',*,*,#27070,.T.);
#38621=ORIENTED_EDGE('',*,*,#27080,.F.);
#38622=ORIENTED_EDGE('',*,*,#27081,.F.);
#38623=ORIENTED_EDGE('',*,*,#26902,.F.);
#38624=ORIENTED_EDGE('',*,*,#26341,.F.);
#38625=ORIENTED_EDGE('',*,*,#27082,.F.);
#38626=ORIENTED_EDGE('',*,*,#27083,.F.);
#38627=ORIENTED_EDGE('',*,*,#26903,.F.);
#38628=ORIENTED_EDGE('',*,*,#27081,.T.);
#38629=ORIENTED_EDGE('',*,*,#27084,.F.);
#38630=ORIENTED_EDGE('',*,*,#27085,.T.);
#38631=ORIENTED_EDGE('',*,*,#27086,.F.);
#38632=ORIENTED_EDGE('',*,*,#27085,.F.);
#38633=ORIENTED_EDGE('',*,*,#27087,.F.);
#38634=ORIENTED_EDGE('',*,*,#27088,.F.);
#38635=ORIENTED_EDGE('',*,*,#26904,.F.);
#38636=ORIENTED_EDGE('',*,*,#27083,.T.);
#38637=ORIENTED_EDGE('',*,*,#27082,.T.);
#38638=ORIENTED_EDGE('',*,*,#27080,.T.);
#38639=ORIENTED_EDGE('',*,*,#26340,.F.);
#38640=ORIENTED_EDGE('',*,*,#27089,.F.);
#38641=ORIENTED_EDGE('',*,*,#27087,.T.);
#38642=ORIENTED_EDGE('',*,*,#27084,.T.);
#38643=ORIENTED_EDGE('',*,*,#27090,.F.);
#38644=ORIENTED_EDGE('',*,*,#27091,.F.);
#38645=ORIENTED_EDGE('',*,*,#26906,.F.);
#38646=ORIENTED_EDGE('',*,*,#27092,.F.);
#38647=ORIENTED_EDGE('',*,*,#27093,.F.);
#38648=ORIENTED_EDGE('',*,*,#27094,.F.);
#38649=ORIENTED_EDGE('',*,*,#26907,.F.);
#38650=ORIENTED_EDGE('',*,*,#27091,.T.);
#38651=ORIENTED_EDGE('',*,*,#27095,.F.);
#38652=ORIENTED_EDGE('',*,*,#27096,.T.);
#38653=ORIENTED_EDGE('',*,*,#27097,.F.);
#38654=ORIENTED_EDGE('',*,*,#27096,.F.);
#38655=ORIENTED_EDGE('',*,*,#27098,.F.);
#38656=ORIENTED_EDGE('',*,*,#26354,.T.);
#38657=ORIENTED_EDGE('',*,*,#26908,.F.);
#38658=ORIENTED_EDGE('',*,*,#27094,.T.);
#38659=ORIENTED_EDGE('',*,*,#27093,.T.);
#38660=ORIENTED_EDGE('',*,*,#27090,.T.);
#38661=ORIENTED_EDGE('',*,*,#27099,.F.);
#38662=ORIENTED_EDGE('',*,*,#26355,.F.);
#38663=ORIENTED_EDGE('',*,*,#27098,.T.);
#38664=ORIENTED_EDGE('',*,*,#27095,.T.);
#38665=ORIENTED_EDGE('',*,*,#27089,.T.);
#38666=ORIENTED_EDGE('',*,*,#26339,.T.);
#38667=ORIENTED_EDGE('',*,*,#26232,.T.);
#38668=ORIENTED_EDGE('',*,*,#26356,.F.);
#38669=ORIENTED_EDGE('',*,*,#27099,.T.);
#38670=ORIENTED_EDGE('',*,*,#27092,.T.);
#38671=ORIENTED_EDGE('',*,*,#26905,.F.);
#38672=ORIENTED_EDGE('',*,*,#27088,.T.);
#38673=ORIENTED_EDGE('',*,*,#27097,.T.);
#38674=ORIENTED_EDGE('',*,*,#27100,.F.);
#38675=ORIENTED_EDGE('',*,*,#27101,.F.);
#38676=ORIENTED_EDGE('',*,*,#26913,.F.);
#38677=ORIENTED_EDGE('',*,*,#27102,.F.);
#38678=ORIENTED_EDGE('',*,*,#27103,.F.);
#38679=ORIENTED_EDGE('',*,*,#27104,.F.);
#38680=ORIENTED_EDGE('',*,*,#26914,.F.);
#38681=ORIENTED_EDGE('',*,*,#27101,.T.);
#38682=ORIENTED_EDGE('',*,*,#27105,.F.);
#38683=ORIENTED_EDGE('',*,*,#27106,.T.);
#38684=ORIENTED_EDGE('',*,*,#27107,.F.);
#38685=ORIENTED_EDGE('',*,*,#27106,.F.);
#38686=ORIENTED_EDGE('',*,*,#27108,.F.);
#38687=ORIENTED_EDGE('',*,*,#26343,.F.);
#38688=ORIENTED_EDGE('',*,*,#26915,.F.);
#38689=ORIENTED_EDGE('',*,*,#27104,.T.);
#38690=ORIENTED_EDGE('',*,*,#27103,.T.);
#38691=ORIENTED_EDGE('',*,*,#27100,.T.);
#38692=ORIENTED_EDGE('',*,*,#27109,.F.);
#38693=ORIENTED_EDGE('',*,*,#26344,.F.);
#38694=ORIENTED_EDGE('',*,*,#27108,.T.);
#38695=ORIENTED_EDGE('',*,*,#27105,.T.);
#38696=ORIENTED_EDGE('',*,*,#27109,.T.);
#38697=ORIENTED_EDGE('',*,*,#27102,.T.);
#38698=ORIENTED_EDGE('',*,*,#26912,.F.);
#38699=ORIENTED_EDGE('',*,*,#27110,.F.);
#38700=ORIENTED_EDGE('',*,*,#27111,.T.);
#38701=ORIENTED_EDGE('',*,*,#26350,.T.);
#38702=ORIENTED_EDGE('',*,*,#26275,.T.);
#38703=ORIENTED_EDGE('',*,*,#26345,.F.);
#38704=ORIENTED_EDGE('',*,*,#27107,.T.);
#38705=ORIENTED_EDGE('',*,*,#27112,.F.);
#38706=ORIENTED_EDGE('',*,*,#27113,.F.);
#38707=ORIENTED_EDGE('',*,*,#26909,.F.);
#38708=ORIENTED_EDGE('',*,*,#26352,.F.);
#38709=ORIENTED_EDGE('',*,*,#27114,.F.);
#38710=ORIENTED_EDGE('',*,*,#27115,.F.);
#38711=ORIENTED_EDGE('',*,*,#26910,.F.);
#38712=ORIENTED_EDGE('',*,*,#27113,.T.);
#38713=ORIENTED_EDGE('',*,*,#27116,.F.);
#38714=ORIENTED_EDGE('',*,*,#27117,.T.);
#38715=ORIENTED_EDGE('',*,*,#27118,.F.);
#38716=ORIENTED_EDGE('',*,*,#27117,.F.);
#38717=ORIENTED_EDGE('',*,*,#27119,.F.);
#38718=ORIENTED_EDGE('',*,*,#27110,.T.);
#38719=ORIENTED_EDGE('',*,*,#26911,.F.);
#38720=ORIENTED_EDGE('',*,*,#27115,.T.);
#38721=ORIENTED_EDGE('',*,*,#27114,.T.);
#38722=ORIENTED_EDGE('',*,*,#27112,.T.);
#38723=ORIENTED_EDGE('',*,*,#26351,.F.);
#38724=ORIENTED_EDGE('',*,*,#27111,.F.);
#38725=ORIENTED_EDGE('',*,*,#27119,.T.);
#38726=ORIENTED_EDGE('',*,*,#27116,.T.);
#38727=ORIENTED_EDGE('',*,*,#27086,.T.);
#38728=ORIENTED_EDGE('',*,*,#26969,.T.);
#38729=ORIENTED_EDGE('',*,*,#27120,.T.);
#38730=ORIENTED_EDGE('',*,*,#26560,.F.);
#38731=ORIENTED_EDGE('',*,*,#27121,.F.);
#38732=ORIENTED_EDGE('',*,*,#26970,.T.);
#38733=ORIENTED_EDGE('',*,*,#27121,.T.);
#38734=ORIENTED_EDGE('',*,*,#26559,.F.);
#38735=ORIENTED_EDGE('',*,*,#27122,.F.);
#38736=ORIENTED_EDGE('',*,*,#26971,.T.);
#38737=ORIENTED_EDGE('',*,*,#27122,.T.);
#38738=ORIENTED_EDGE('',*,*,#26558,.F.);
#38739=ORIENTED_EDGE('',*,*,#27123,.F.);
#38740=ORIENTED_EDGE('',*,*,#26972,.T.);
#38741=ORIENTED_EDGE('',*,*,#27123,.T.);
#38742=ORIENTED_EDGE('',*,*,#26557,.F.);
#38743=ORIENTED_EDGE('',*,*,#27124,.F.);
#38744=ORIENTED_EDGE('',*,*,#26973,.T.);
#38745=ORIENTED_EDGE('',*,*,#27124,.T.);
#38746=ORIENTED_EDGE('',*,*,#26556,.F.);
#38747=ORIENTED_EDGE('',*,*,#27125,.F.);
#38748=ORIENTED_EDGE('',*,*,#26974,.T.);
#38749=ORIENTED_EDGE('',*,*,#27125,.T.);
#38750=ORIENTED_EDGE('',*,*,#26555,.F.);
#38751=ORIENTED_EDGE('',*,*,#27126,.F.);
#38752=ORIENTED_EDGE('',*,*,#26975,.T.);
#38753=ORIENTED_EDGE('',*,*,#27126,.T.);
#38754=ORIENTED_EDGE('',*,*,#26554,.F.);
#38755=ORIENTED_EDGE('',*,*,#27127,.F.);
#38756=ORIENTED_EDGE('',*,*,#26968,.T.);
#38757=ORIENTED_EDGE('',*,*,#27127,.T.);
#38758=ORIENTED_EDGE('',*,*,#26553,.F.);
#38759=ORIENTED_EDGE('',*,*,#27120,.F.);
#38760=ORIENTED_EDGE('',*,*,#26961,.T.);
#38761=ORIENTED_EDGE('',*,*,#27128,.T.);
#38762=ORIENTED_EDGE('',*,*,#26552,.F.);
#38763=ORIENTED_EDGE('',*,*,#27129,.F.);
#38764=ORIENTED_EDGE('',*,*,#26962,.T.);
#38765=ORIENTED_EDGE('',*,*,#27129,.T.);
#38766=ORIENTED_EDGE('',*,*,#26551,.F.);
#38767=ORIENTED_EDGE('',*,*,#27130,.F.);
#38768=ORIENTED_EDGE('',*,*,#26963,.T.);
#38769=ORIENTED_EDGE('',*,*,#27130,.T.);
#38770=ORIENTED_EDGE('',*,*,#26550,.F.);
#38771=ORIENTED_EDGE('',*,*,#27131,.F.);
#38772=ORIENTED_EDGE('',*,*,#26964,.T.);
#38773=ORIENTED_EDGE('',*,*,#27131,.T.);
#38774=ORIENTED_EDGE('',*,*,#26549,.F.);
#38775=ORIENTED_EDGE('',*,*,#27132,.F.);
#38776=ORIENTED_EDGE('',*,*,#26965,.T.);
#38777=ORIENTED_EDGE('',*,*,#27132,.T.);
#38778=ORIENTED_EDGE('',*,*,#26548,.F.);
#38779=ORIENTED_EDGE('',*,*,#27133,.F.);
#38780=ORIENTED_EDGE('',*,*,#26966,.T.);
#38781=ORIENTED_EDGE('',*,*,#27133,.T.);
#38782=ORIENTED_EDGE('',*,*,#26547,.F.);
#38783=ORIENTED_EDGE('',*,*,#27134,.F.);
#38784=ORIENTED_EDGE('',*,*,#26967,.T.);
#38785=ORIENTED_EDGE('',*,*,#27134,.T.);
#38786=ORIENTED_EDGE('',*,*,#26546,.F.);
#38787=ORIENTED_EDGE('',*,*,#27135,.F.);
#38788=ORIENTED_EDGE('',*,*,#26960,.T.);
#38789=ORIENTED_EDGE('',*,*,#27135,.T.);
#38790=ORIENTED_EDGE('',*,*,#26545,.F.);
#38791=ORIENTED_EDGE('',*,*,#27128,.F.);
#38792=ORIENTED_EDGE('',*,*,#26953,.T.);
#38793=ORIENTED_EDGE('',*,*,#27136,.T.);
#38794=ORIENTED_EDGE('',*,*,#26544,.F.);
#38795=ORIENTED_EDGE('',*,*,#27137,.F.);
#38796=ORIENTED_EDGE('',*,*,#26954,.T.);
#38797=ORIENTED_EDGE('',*,*,#27137,.T.);
#38798=ORIENTED_EDGE('',*,*,#26543,.F.);
#38799=ORIENTED_EDGE('',*,*,#27138,.F.);
#38800=ORIENTED_EDGE('',*,*,#26955,.T.);
#38801=ORIENTED_EDGE('',*,*,#27138,.T.);
#38802=ORIENTED_EDGE('',*,*,#26542,.F.);
#38803=ORIENTED_EDGE('',*,*,#27139,.F.);
#38804=ORIENTED_EDGE('',*,*,#26956,.T.);
#38805=ORIENTED_EDGE('',*,*,#27139,.T.);
#38806=ORIENTED_EDGE('',*,*,#26541,.F.);
#38807=ORIENTED_EDGE('',*,*,#27140,.F.);
#38808=ORIENTED_EDGE('',*,*,#26957,.T.);
#38809=ORIENTED_EDGE('',*,*,#27140,.T.);
#38810=ORIENTED_EDGE('',*,*,#26540,.F.);
#38811=ORIENTED_EDGE('',*,*,#27141,.F.);
#38812=ORIENTED_EDGE('',*,*,#26958,.T.);
#38813=ORIENTED_EDGE('',*,*,#27141,.T.);
#38814=ORIENTED_EDGE('',*,*,#26539,.F.);
#38815=ORIENTED_EDGE('',*,*,#27142,.F.);
#38816=ORIENTED_EDGE('',*,*,#26959,.T.);
#38817=ORIENTED_EDGE('',*,*,#27142,.T.);
#38818=ORIENTED_EDGE('',*,*,#26538,.F.);
#38819=ORIENTED_EDGE('',*,*,#27143,.F.);
#38820=ORIENTED_EDGE('',*,*,#26952,.T.);
#38821=ORIENTED_EDGE('',*,*,#27143,.T.);
#38822=ORIENTED_EDGE('',*,*,#26537,.F.);
#38823=ORIENTED_EDGE('',*,*,#27136,.F.);
#38824=ORIENTED_EDGE('',*,*,#26945,.T.);
#38825=ORIENTED_EDGE('',*,*,#27144,.T.);
#38826=ORIENTED_EDGE('',*,*,#26536,.F.);
#38827=ORIENTED_EDGE('',*,*,#27145,.F.);
#38828=ORIENTED_EDGE('',*,*,#26946,.T.);
#38829=ORIENTED_EDGE('',*,*,#27145,.T.);
#38830=ORIENTED_EDGE('',*,*,#26535,.F.);
#38831=ORIENTED_EDGE('',*,*,#27146,.F.);
#38832=ORIENTED_EDGE('',*,*,#26947,.T.);
#38833=ORIENTED_EDGE('',*,*,#27146,.T.);
#38834=ORIENTED_EDGE('',*,*,#26534,.F.);
#38835=ORIENTED_EDGE('',*,*,#27147,.F.);
#38836=ORIENTED_EDGE('',*,*,#26948,.T.);
#38837=ORIENTED_EDGE('',*,*,#27147,.T.);
#38838=ORIENTED_EDGE('',*,*,#26533,.F.);
#38839=ORIENTED_EDGE('',*,*,#27148,.F.);
#38840=ORIENTED_EDGE('',*,*,#26949,.T.);
#38841=ORIENTED_EDGE('',*,*,#27148,.T.);
#38842=ORIENTED_EDGE('',*,*,#26532,.F.);
#38843=ORIENTED_EDGE('',*,*,#27149,.F.);
#38844=ORIENTED_EDGE('',*,*,#26950,.T.);
#38845=ORIENTED_EDGE('',*,*,#27149,.T.);
#38846=ORIENTED_EDGE('',*,*,#26531,.F.);
#38847=ORIENTED_EDGE('',*,*,#27150,.F.);
#38848=ORIENTED_EDGE('',*,*,#26951,.T.);
#38849=ORIENTED_EDGE('',*,*,#27150,.T.);
#38850=ORIENTED_EDGE('',*,*,#26530,.F.);
#38851=ORIENTED_EDGE('',*,*,#27151,.F.);
#38852=ORIENTED_EDGE('',*,*,#26944,.T.);
#38853=ORIENTED_EDGE('',*,*,#27151,.T.);
#38854=ORIENTED_EDGE('',*,*,#26529,.F.);
#38855=ORIENTED_EDGE('',*,*,#27144,.F.);
#38856=ORIENTED_EDGE('',*,*,#26937,.T.);
#38857=ORIENTED_EDGE('',*,*,#27152,.T.);
#38858=ORIENTED_EDGE('',*,*,#26528,.F.);
#38859=ORIENTED_EDGE('',*,*,#27153,.F.);
#38860=ORIENTED_EDGE('',*,*,#26938,.T.);
#38861=ORIENTED_EDGE('',*,*,#27153,.T.);
#38862=ORIENTED_EDGE('',*,*,#26527,.F.);
#38863=ORIENTED_EDGE('',*,*,#27154,.F.);
#38864=ORIENTED_EDGE('',*,*,#26939,.T.);
#38865=ORIENTED_EDGE('',*,*,#27154,.T.);
#38866=ORIENTED_EDGE('',*,*,#26526,.F.);
#38867=ORIENTED_EDGE('',*,*,#27155,.F.);
#38868=ORIENTED_EDGE('',*,*,#26940,.T.);
#38869=ORIENTED_EDGE('',*,*,#27155,.T.);
#38870=ORIENTED_EDGE('',*,*,#26525,.F.);
#38871=ORIENTED_EDGE('',*,*,#27156,.F.);
#38872=ORIENTED_EDGE('',*,*,#26941,.T.);
#38873=ORIENTED_EDGE('',*,*,#27156,.T.);
#38874=ORIENTED_EDGE('',*,*,#26524,.F.);
#38875=ORIENTED_EDGE('',*,*,#27157,.F.);
#38876=ORIENTED_EDGE('',*,*,#26942,.T.);
#38877=ORIENTED_EDGE('',*,*,#27157,.T.);
#38878=ORIENTED_EDGE('',*,*,#26523,.F.);
#38879=ORIENTED_EDGE('',*,*,#27158,.F.);
#38880=ORIENTED_EDGE('',*,*,#26943,.T.);
#38881=ORIENTED_EDGE('',*,*,#27158,.T.);
#38882=ORIENTED_EDGE('',*,*,#26522,.F.);
#38883=ORIENTED_EDGE('',*,*,#27159,.F.);
#38884=ORIENTED_EDGE('',*,*,#26936,.T.);
#38885=ORIENTED_EDGE('',*,*,#27159,.T.);
#38886=ORIENTED_EDGE('',*,*,#26521,.F.);
#38887=ORIENTED_EDGE('',*,*,#27152,.F.);
#38888=ORIENTED_EDGE('',*,*,#26929,.T.);
#38889=ORIENTED_EDGE('',*,*,#27160,.T.);
#38890=ORIENTED_EDGE('',*,*,#26520,.F.);
#38891=ORIENTED_EDGE('',*,*,#27161,.F.);
#38892=ORIENTED_EDGE('',*,*,#26930,.T.);
#38893=ORIENTED_EDGE('',*,*,#27161,.T.);
#38894=ORIENTED_EDGE('',*,*,#26519,.F.);
#38895=ORIENTED_EDGE('',*,*,#27162,.F.);
#38896=ORIENTED_EDGE('',*,*,#26931,.T.);
#38897=ORIENTED_EDGE('',*,*,#27162,.T.);
#38898=ORIENTED_EDGE('',*,*,#26518,.F.);
#38899=ORIENTED_EDGE('',*,*,#27163,.F.);
#38900=ORIENTED_EDGE('',*,*,#26932,.T.);
#38901=ORIENTED_EDGE('',*,*,#27163,.T.);
#38902=ORIENTED_EDGE('',*,*,#26517,.F.);
#38903=ORIENTED_EDGE('',*,*,#27164,.F.);
#38904=ORIENTED_EDGE('',*,*,#26933,.T.);
#38905=ORIENTED_EDGE('',*,*,#27164,.T.);
#38906=ORIENTED_EDGE('',*,*,#26516,.F.);
#38907=ORIENTED_EDGE('',*,*,#27165,.F.);
#38908=ORIENTED_EDGE('',*,*,#26934,.T.);
#38909=ORIENTED_EDGE('',*,*,#27165,.T.);
#38910=ORIENTED_EDGE('',*,*,#26515,.F.);
#38911=ORIENTED_EDGE('',*,*,#27166,.F.);
#38912=ORIENTED_EDGE('',*,*,#26935,.T.);
#38913=ORIENTED_EDGE('',*,*,#27166,.T.);
#38914=ORIENTED_EDGE('',*,*,#26514,.F.);
#38915=ORIENTED_EDGE('',*,*,#27167,.F.);
#38916=ORIENTED_EDGE('',*,*,#26928,.T.);
#38917=ORIENTED_EDGE('',*,*,#27167,.T.);
#38918=ORIENTED_EDGE('',*,*,#26513,.F.);
#38919=ORIENTED_EDGE('',*,*,#27160,.F.);
#38920=ORIENTED_EDGE('',*,*,#26223,.F.);
#38921=ORIENTED_EDGE('',*,*,#26565,.F.);
#38922=ORIENTED_EDGE('',*,*,#26566,.F.);
#38923=ORIENTED_EDGE('',*,*,#26562,.T.);
#38924=ORIENTED_EDGE('',*,*,#26765,.T.);
#38925=ORIENTED_EDGE('',*,*,#26772,.T.);
#38926=ORIENTED_EDGE('',*,*,#26769,.T.);
#38927=ORIENTED_EDGE('',*,*,#27118,.T.);
#38928=PLANE('',#42631);
#38929=PLANE('',#42632);
#38930=PLANE('',#42633);
#38931=PLANE('',#42634);
#38932=PLANE('',#42635);
#38933=PLANE('',#42636);
#38934=PLANE('',#42637);
#38935=PLANE('',#42638);
#38936=PLANE('',#42639);
#38937=PLANE('',#42640);
#38938=PLANE('',#42641);
#38939=PLANE('',#42642);
#38940=PLANE('',#42643);
#38941=PLANE('',#42644);
#38942=PLANE('',#42645);
#38943=PLANE('',#42646);
#38944=PLANE('',#42647);
#38945=PLANE('',#42648);
#38946=PLANE('',#42649);
#38947=PLANE('',#42650);
#38948=PLANE('',#42651);
#38949=PLANE('',#42652);
#38950=PLANE('',#42653);
#38951=PLANE('',#42654);
#38952=PLANE('',#42655);
#38953=PLANE('',#42656);
#38954=PLANE('',#42657);
#38955=PLANE('',#42658);
#38956=PLANE('',#42659);
#38957=PLANE('',#42660);
#38958=PLANE('',#42661);
#38959=PLANE('',#42662);
#38960=PLANE('',#42663);
#38961=PLANE('',#42664);
#38962=PLANE('',#42665);
#38963=PLANE('',#42666);
#38964=PLANE('',#42667);
#38965=PLANE('',#42668);
#38966=PLANE('',#42669);
#38967=PLANE('',#42670);
#38968=PLANE('',#42671);
#38969=PLANE('',#42672);
#38970=PLANE('',#42673);
#38971=PLANE('',#42674);
#38972=PLANE('',#42675);
#38973=PLANE('',#42676);
#38974=PLANE('',#42677);
#38975=PLANE('',#42678);
#38976=PLANE('',#42679);
#38977=PLANE('',#42680);
#38978=PLANE('',#42681);
#38979=PLANE('',#42682);
#38980=PLANE('',#42683);
#38981=PLANE('',#42690);
#38982=PLANE('',#42694);
#38983=PLANE('',#42698);
#38984=PLANE('',#42702);
#38985=PLANE('',#42706);
#38986=PLANE('',#42710);
#38987=PLANE('',#42712);
#38988=PLANE('',#42719);
#38989=PLANE('',#42720);
#38990=PLANE('',#42721);
#38991=PLANE('',#42722);
#38992=PLANE('',#42723);
#38993=PLANE('',#42724);
#38994=PLANE('',#42725);
#38995=PLANE('',#42726);
#38996=PLANE('',#42727);
#38997=PLANE('',#42728);
#38998=PLANE('',#42729);
#38999=PLANE('',#42730);
#39000=PLANE('',#42731);
#39001=PLANE('',#42732);
#39002=PLANE('',#42733);
#39003=PLANE('',#42734);
#39004=PLANE('',#42735);
#39005=PLANE('',#42736);
#39006=PLANE('',#42737);
#39007=PLANE('',#42738);
#39008=PLANE('',#42739);
#39009=PLANE('',#42740);
#39010=PLANE('',#42741);
#39011=PLANE('',#42742);
#39012=PLANE('',#42743);
#39013=PLANE('',#42744);
#39014=PLANE('',#42745);
#39015=PLANE('',#42746);
#39016=PLANE('',#42747);
#39017=PLANE('',#42748);
#39018=PLANE('',#42749);
#39019=PLANE('',#42750);
#39020=PLANE('',#42751);
#39021=PLANE('',#42752);
#39022=PLANE('',#42753);
#39023=PLANE('',#42754);
#39024=PLANE('',#42755);
#39025=PLANE('',#42756);
#39026=PLANE('',#42757);
#39027=PLANE('',#42758);
#39028=PLANE('',#42759);
#39029=PLANE('',#42760);
#39030=PLANE('',#42764);
#39031=PLANE('',#42771);
#39032=PLANE('',#42772);
#39033=PLANE('',#42773);
#39034=PLANE('',#42779);
#39035=PLANE('',#42791);
#39036=PLANE('',#42793);
#39037=PLANE('',#42795);
#39038=PLANE('',#42838);
#39039=PLANE('',#42840);
#39040=PLANE('',#42854);
#39041=PLANE('',#42855);
#39042=PLANE('',#42856);
#39043=PLANE('',#42857);
#39044=PLANE('',#42858);
#39045=PLANE('',#42859);
#39046=PLANE('',#42860);
#39047=PLANE('',#42861);
#39048=PLANE('',#42862);
#39049=PLANE('',#42863);
#39050=PLANE('',#42864);
#39051=PLANE('',#42865);
#39052=PLANE('',#42866);
#39053=PLANE('',#42870);
#39054=PLANE('',#42877);
#39055=PLANE('',#42889);
#39056=PLANE('',#42890);
#39057=PLANE('',#42893);
#39058=PLANE('',#42898);
#39059=PLANE('',#42914);
#39060=PLANE('',#42915);
#39061=PLANE('',#42922);
#39062=PLANE('',#42923);
#39063=PLANE('',#42924);
#39064=PLANE('',#42928);
#39065=PLANE('',#42932);
#39066=PLANE('',#42934);
#39067=PLANE('',#42935);
#39068=PLANE('',#42937);
#39069=PLANE('',#42939);
#39070=PLANE('',#42940);
#39071=PLANE('',#42941);
#39072=PLANE('',#42942);
#39073=PLANE('',#42943);
#39074=PLANE('',#42944);
#39075=PLANE('',#42945);
#39076=PLANE('',#42946);
#39077=PLANE('',#42947);
#39078=PLANE('',#42948);
#39079=PLANE('',#42949);
#39080=PLANE('',#42950);
#39081=PLANE('',#42951);
#39082=PLANE('',#42952);
#39083=PLANE('',#42953);
#39084=PLANE('',#42954);
#39085=PLANE('',#42955);
#39086=PLANE('',#42956);
#39087=PLANE('',#42957);
#39088=PLANE('',#42958);
#39089=PLANE('',#42959);
#39090=PLANE('',#42960);
#39091=PLANE('',#42961);
#39092=PLANE('',#42962);
#39093=PLANE('',#42963);
#39094=PLANE('',#42964);
#39095=PLANE('',#42965);
#39096=PLANE('',#42966);
#39097=PLANE('',#42967);
#39098=PLANE('',#42968);
#39099=PLANE('',#42969);
#39100=PLANE('',#42970);
#39101=PLANE('',#42971);
#39102=PLANE('',#42972);
#39103=PLANE('',#42977);
#39104=PLANE('',#42978);
#39105=PLANE('',#42979);
#39106=PLANE('',#42980);
#39107=PLANE('',#42981);
#39108=PLANE('',#42982);
#39109=PLANE('',#42983);
#39110=PLANE('',#42988);
#39111=PLANE('',#42989);
#39112=PLANE('',#42995);
#39113=PLANE('',#43000);
#39114=PLANE('',#43003);
#39115=PLANE('',#43007);
#39116=PLANE('',#43013);
#39117=PLANE('',#43014);
#39118=PLANE('',#43019);
#39119=PLANE('',#43024);
#39120=PLANE('',#43027);
#39121=PLANE('',#43031);
#39122=PLANE('',#43037);
#39123=PLANE('',#43038);
#39124=PLANE('',#43043);
#39125=PLANE('',#43048);
#39126=PLANE('',#43051);
#39127=PLANE('',#43055);
#39128=PLANE('',#43061);
#39129=PLANE('',#43062);
#39130=PLANE('',#43067);
#39131=PLANE('',#43072);
#39132=PLANE('',#43075);
#39133=PLANE('',#43079);
#39134=PLANE('',#43085);
#39135=PLANE('',#43086);
#39136=PLANE('',#43091);
#39137=PLANE('',#43096);
#39138=PLANE('',#43099);
#39139=PLANE('',#43103);
#39140=PLANE('',#43109);
#39141=PLANE('',#43110);
#39142=PLANE('',#43111);
#39143=PLANE('',#43112);
#39144=PLANE('',#43116);
#39145=PLANE('',#43117);
#39146=PLANE('',#43118);
#39147=PLANE('',#43119);
#39148=PLANE('',#43120);
#39149=PLANE('',#43121);
#39150=PLANE('',#43122);
#39151=PLANE('',#43123);
#39152=PLANE('',#43124);
#39153=PLANE('',#43125);
#39154=PLANE('',#43126);
#39155=PLANE('',#43127);
#39156=PLANE('',#43129);
#39157=PLANE('',#43131);
#39158=PLANE('',#43132);
#39159=PLANE('',#43133);
#39160=PLANE('',#43135);
#39161=PLANE('',#43136);
#39162=PLANE('',#43137);
#39163=PLANE('',#43138);
#39164=PLANE('',#43139);
#39165=PLANE('',#43140);
#39166=PLANE('',#43141);
#39167=PLANE('',#43142);
#39168=PLANE('',#43143);
#39169=PLANE('',#43144);
#39170=PLANE('',#43145);
#39171=PLANE('',#43146);
#39172=PLANE('',#43147);
#39173=PLANE('',#43148);
#39174=PLANE('',#43149);
#39175=PLANE('',#43150);
#39176=PLANE('',#43151);
#39177=PLANE('',#43152);
#39178=PLANE('',#43153);
#39179=PLANE('',#43154);
#39180=PLANE('',#43155);
#39181=PLANE('',#43156);
#39182=PLANE('',#43157);
#39183=PLANE('',#43158);
#39184=PLANE('',#43159);
#39185=PLANE('',#43160);
#39186=PLANE('',#43161);
#39187=PLANE('',#43162);
#39188=PLANE('',#43163);
#39189=PLANE('',#43164);
#39190=PLANE('',#43165);
#39191=PLANE('',#43166);
#39192=PLANE('',#43167);
#39193=PLANE('',#43168);
#39194=PLANE('',#43169);
#39195=PLANE('',#43170);
#39196=PLANE('',#43171);
#39197=PLANE('',#43172);
#39198=PLANE('',#43173);
#39199=PLANE('',#43174);
#39200=PLANE('',#43175);
#39201=PLANE('',#43176);
#39202=PLANE('',#43177);
#39203=PLANE('',#43178);
#39204=PLANE('',#43179);
#39205=PLANE('',#43180);
#39206=PLANE('',#43181);
#39207=PLANE('',#43182);
#39208=PLANE('',#43183);
#39209=PLANE('',#43184);
#39210=PLANE('',#43185);
#39211=PLANE('',#43186);
#39212=PLANE('',#43187);
#39213=PLANE('',#43188);
#39214=PLANE('',#43189);
#39215=PLANE('',#43190);
#39216=PLANE('',#43191);
#39217=PLANE('',#43192);
#39218=PLANE('',#43193);
#39219=PLANE('',#43194);
#39220=PLANE('',#43195);
#39221=PLANE('',#43196);
#39222=PLANE('',#43197);
#39223=PLANE('',#43198);
#39224=PLANE('',#43199);
#39225=PLANE('',#43200);
#39226=PLANE('',#43201);
#39227=PLANE('',#43202);
#39228=PLANE('',#43203);
#39229=PLANE('',#43204);
#39230=PLANE('',#43205);
#39231=PLANE('',#43206);
#39232=PLANE('',#43207);
#39233=PLANE('',#43208);
#39234=PLANE('',#43209);
#39235=PLANE('',#43210);
#39236=PLANE('',#43211);
#39237=PLANE('',#43212);
#39238=PLANE('',#43214);
#39239=PLANE('',#43216);
#39240=PLANE('',#43217);
#39241=PLANE('',#43218);
#39242=PLANE('',#43220);
#39243=PLANE('',#43222);
#39244=PLANE('',#43224);
#39245=PLANE('',#43225);
#39246=PLANE('',#43226);
#39247=PLANE('',#43228);
#39248=PLANE('',#43230);
#39249=PLANE('',#43232);
#39250=PLANE('',#43233);
#39251=PLANE('',#43234);
#39252=PLANE('',#43236);
#39253=PLANE('',#43238);
#39254=PLANE('',#43240);
#39255=PLANE('',#43241);
#39256=PLANE('',#43242);
#39257=PLANE('',#43244);
#39258=PLANE('',#43246);
#39259=PLANE('',#43248);
#39260=PLANE('',#43249);
#39261=PLANE('',#43250);
#39262=PLANE('',#43252);
#39263=PLANE('',#43254);
#39264=PLANE('',#43256);
#39265=PLANE('',#43257);
#39266=PLANE('',#43258);
#39267=PLANE('',#43260);
#39268=PLANE('',#43262);
#39269=PLANE('',#43264);
#39270=PLANE('',#43265);
#39271=PLANE('',#43266);
#39272=PLANE('',#43268);
#39273=PLANE('',#43270);
#39274=PLANE('',#43272);
#39275=PLANE('',#43273);
#39276=PLANE('',#43274);
#39277=PLANE('',#43276);
#39278=PLANE('',#43278);
#39279=PLANE('',#43280);
#39280=PLANE('',#43281);
#39281=PLANE('',#43282);
#39282=PLANE('',#43284);
#39283=PLANE('',#43286);
#39284=PLANE('',#43288);
#39285=PLANE('',#43289);
#39286=PLANE('',#43290);
#39287=PLANE('',#43292);
#39288=PLANE('',#43293);
#39289=PLANE('',#43294);
#39290=PLANE('',#43299);
#39291=PLANE('',#43304);
#39292=PLANE('',#43307);
#39293=PLANE('',#43308);
#39294=PLANE('',#43309);
#39295=PLANE('',#43314);
#39296=PLANE('',#43319);
#39297=PLANE('',#43322);
#39298=PLANE('',#43326);
#39299=PLANE('',#43332);
#39300=PLANE('',#43333);
#39301=PLANE('',#43338);
#39302=PLANE('',#43343);
#39303=PLANE('',#43346);
#39304=PLANE('',#43350);
#39305=PLANE('',#43356);
#39306=PLANE('',#43357);
#39307=PLANE('',#43362);
#39308=PLANE('',#43367);
#39309=PLANE('',#43370);
#39310=PLANE('',#43374);
#39311=PLANE('',#43380);
#39312=PLANE('',#43381);
#39313=PLANE('',#43386);
#39314=PLANE('',#43391);
#39315=PLANE('',#43394);
#39316=PLANE('',#43398);
#39317=PLANE('',#43404);
#39318=PLANE('',#43405);
#39319=PLANE('',#43410);
#39320=PLANE('',#43415);
#39321=PLANE('',#43418);
#39322=PLANE('',#43422);
#39323=PLANE('',#43428);
#39324=PLANE('',#43429);
#39325=PLANE('',#43434);
#39326=PLANE('',#43439);
#39327=PLANE('',#43442);
#39328=PLANE('',#43446);
#39329=PLANE('',#43452);
#39330=PLANE('',#43453);
#39331=PLANE('',#43454);
#39332=PLANE('',#43455);
#39333=PLANE('',#43460);
#39334=PLANE('',#43465);
#39335=PLANE('',#43468);
#39336=PLANE('',#43472);
#39337=PLANE('',#43478);
#39338=PLANE('',#43479);
#39339=PLANE('',#43484);
#39340=PLANE('',#43489);
#39341=PLANE('',#43492);
#39342=PLANE('',#43496);
#39343=PLANE('',#43502);
#39344=PLANE('',#43503);
#39345=PLANE('',#43508);
#39346=PLANE('',#43513);
#39347=PLANE('',#43516);
#39348=PLANE('',#43520);
#39349=PLANE('',#43526);
#39350=PLANE('',#43527);
#39351=PLANE('',#43532);
#39352=PLANE('',#43537);
#39353=PLANE('',#43540);
#39354=PLANE('',#43544);
#39355=PLANE('',#43550);
#39356=PLANE('',#43551);
#39357=PLANE('',#43556);
#39358=PLANE('',#43561);
#39359=PLANE('',#43564);
#39360=PLANE('',#43568);
#39361=PLANE('',#43574);
#39362=PLANE('',#43575);
#39363=PLANE('',#43580);
#39364=PLANE('',#43585);
#39365=PLANE('',#43588);
#39366=PLANE('',#43592);
#39367=PLANE('',#43598);
#39368=PLANE('',#43599);
#39369=PLANE('',#43600);
#39370=PLANE('',#43601);
#39371=PLANE('',#43608);
#39372=PLANE('',#43612);
#39373=PLANE('',#43618);
#39374=PLANE('',#43619);
#39375=PLANE('',#43624);
#39376=PLANE('',#43629);
#39377=PLANE('',#43632);
#39378=PLANE('',#43636);
#39379=PLANE('',#43642);
#39380=PLANE('',#43643);
#39381=PLANE('',#43648);
#39382=PLANE('',#43653);
#39383=PLANE('',#43656);
#39384=PLANE('',#43660);
#39385=PLANE('',#43666);
#39386=PLANE('',#43667);
#39387=PLANE('',#43672);
#39388=PLANE('',#43677);
#39389=PLANE('',#43680);
#39390=PLANE('',#43684);
#39391=PLANE('',#43690);
#39392=PLANE('',#43691);
#39393=PLANE('',#43696);
#39394=PLANE('',#43701);
#39395=PLANE('',#43704);
#39396=PLANE('',#43708);
#39397=PLANE('',#43714);
#39398=PLANE('',#43715);
#39399=PLANE('',#43720);
#39400=PLANE('',#43725);
#39401=PLANE('',#43728);
#39402=PLANE('',#43732);
#39403=PLANE('',#43738);
#39404=PLANE('',#43739);
#39405=PLANE('',#43741);
#39406=PLANE('',#43743);
#39407=PLANE('',#43744);
#39408=PLANE('',#43745);
#39409=PLANE('',#43747);
#39410=PLANE('',#43748);
#39411=PLANE('',#43751);
#39412=PLANE('',#43752);
#39413=PLANE('',#43753);
#39414=PLANE('',#43754);
#39415=PLANE('',#43755);
#39416=PLANE('',#43756);
#39417=PLANE('',#43757);
#39418=PLANE('',#43758);
#39419=PLANE('',#43759);
#39420=PLANE('',#43760);
#39421=PLANE('',#43761);
#39422=PLANE('',#43762);
#39423=PLANE('',#43773);
#39424=PLANE('',#43774);
#39425=PLANE('',#43775);
#39426=PLANE('',#43776);
#39427=PLANE('',#43777);
#39428=PLANE('',#43778);
#39429=PLANE('',#43779);
#39430=PLANE('',#43780);
#39431=PLANE('',#43781);
#39432=PLANE('',#43782);
#39433=PLANE('',#43783);
#39434=PLANE('',#43784);
#39435=PLANE('',#43786);
#39436=PLANE('',#43788);
#39437=PLANE('',#43789);
#39438=PLANE('',#43790);
#39439=PLANE('',#43792);
#39440=PLANE('',#43794);
#39441=PLANE('',#43796);
#39442=PLANE('',#43797);
#39443=PLANE('',#43798);
#39444=PLANE('',#43800);
#39445=PLANE('',#43802);
#39446=PLANE('',#43804);
#39447=PLANE('',#43805);
#39448=PLANE('',#43806);
#39449=PLANE('',#43808);
#39450=PLANE('',#43810);
#39451=PLANE('',#43812);
#39452=PLANE('',#43813);
#39453=PLANE('',#43814);
#39454=PLANE('',#43816);
#39455=PLANE('',#43818);
#39456=PLANE('',#43820);
#39457=PLANE('',#43821);
#39458=PLANE('',#43822);
#39459=PLANE('',#43824);
#39460=PLANE('',#43826);
#39461=PLANE('',#43828);
#39462=PLANE('',#43829);
#39463=PLANE('',#43830);
#39464=PLANE('',#43832);
#39465=PLANE('',#43834);
#39466=PLANE('',#43836);
#39467=PLANE('',#43837);
#39468=PLANE('',#43838);
#39469=PLANE('',#43840);
#39470=PLANE('',#43842);
#39471=PLANE('',#43844);
#39472=PLANE('',#43845);
#39473=PLANE('',#43846);
#39474=PLANE('',#43848);
#39475=PLANE('',#43850);
#39476=PLANE('',#43852);
#39477=PLANE('',#43853);
#39478=PLANE('',#43854);
#39479=PLANE('',#43856);
#39480=PLANE('',#43858);
#39481=PLANE('',#43860);
#39482=PLANE('',#43861);
#39483=PLANE('',#43862);
#39484=PLANE('',#43864);
#39485=PLANE('',#43866);
#39486=PLANE('',#43868);
#39487=PLANE('',#43869);
#39488=PLANE('',#43870);
#39489=PLANE('',#43872);
#39490=PLANE('',#43874);
#39491=PLANE('',#43876);
#39492=PLANE('',#43877);
#39493=PLANE('',#43878);
#39494=PLANE('',#43880);
#39495=PLANE('',#43881);
#39496=PLANE('',#43882);
#39497=PLANE('',#43884);
#39498=PLANE('',#43886);
#39499=PLANE('',#43887);
#39500=PLANE('',#43888);
#39501=PLANE('',#43890);
#39502=PLANE('',#43891);
#39503=PLANE('',#43893);
#39504=PLANE('',#43895);
#39505=PLANE('',#43896);
#39506=PLANE('',#43897);
#39507=PLANE('',#43899);
#39508=PLANE('',#43900);
#39509=PLANE('',#43902);
#39510=PLANE('',#43904);
#39511=PLANE('',#43905);
#39512=PLANE('',#43906);
#39513=PLANE('',#43908);
#39514=PLANE('',#43909);
#39515=PLANE('',#43911);
#39516=PLANE('',#43913);
#39517=PLANE('',#43914);
#39518=PLANE('',#43915);
#39519=PLANE('',#43917);
#39520=PLANE('',#43918);
#39521=PLANE('',#43920);
#39522=PLANE('',#43922);
#39523=PLANE('',#43923);
#39524=PLANE('',#43924);
#39525=PLANE('',#43926);
#39526=PLANE('',#43927);
#39527=PLANE('',#43929);
#39528=PLANE('',#43931);
#39529=PLANE('',#43932);
#39530=PLANE('',#43933);
#39531=PLANE('',#43935);
#39532=PLANE('',#43936);
#39533=PLANE('',#43938);
#39534=PLANE('',#43940);
#39535=PLANE('',#43941);
#39536=PLANE('',#43942);
#39537=PLANE('',#43944);
#39538=PLANE('',#43945);
#39539=PLANE('',#43947);
#39540=PLANE('',#43949);
#39541=PLANE('',#43950);
#39542=PLANE('',#43951);
#39543=PLANE('',#43953);
#39544=PLANE('',#43954);
#39545=PLANE('',#43956);
#39546=PLANE('',#43958);
#39547=PLANE('',#43959);
#39548=PLANE('',#43960);
#39549=PLANE('',#43962);
#39550=PLANE('',#43963);
#39551=PLANE('',#43965);
#39552=PLANE('',#43967);
#39553=PLANE('',#43968);
#39554=PLANE('',#43969);
#39555=PLANE('',#43971);
#39556=PLANE('',#43972);
#39557=PLANE('',#43974);
#39558=PLANE('',#43976);
#39559=PLANE('',#43977);
#39560=PLANE('',#43978);
#39561=PLANE('',#43980);
#39562=PLANE('',#43981);
#39563=PLANE('',#43983);
#39564=PLANE('',#43985);
#39565=PLANE('',#43986);
#39566=PLANE('',#43987);
#39567=PLANE('',#43989);
#39568=PLANE('',#43991);
#39569=PLANE('',#43993);
#39570=PLANE('',#43994);
#39571=PLANE('',#43995);
#39572=PLANE('',#43997);
#39573=PLANE('',#43999);
#39574=PLANE('',#44001);
#39575=PLANE('',#44002);
#39576=PLANE('',#44003);
#39577=PLANE('',#44005);
#39578=PLANE('',#44007);
#39579=PLANE('',#44009);
#39580=PLANE('',#44010);
#39581=PLANE('',#44011);
#39582=PLANE('',#44013);
#39583=PLANE('',#44015);
#39584=PLANE('',#44017);
#39585=PLANE('',#44018);
#39586=PLANE('',#44019);
#39587=PLANE('',#44021);
#39588=PLANE('',#44023);
#39589=PLANE('',#44025);
#39590=PLANE('',#44026);
#39591=PLANE('',#44027);
#39592=PLANE('',#44029);
#39593=PLANE('',#44031);
#39594=PLANE('',#44033);
#39595=PLANE('',#44034);
#39596=PLANE('',#44035);
#39597=PLANE('',#44037);
#39598=PLANE('',#44039);
#39599=PLANE('',#44041);
#39600=PLANE('',#44042);
#39601=PLANE('',#44043);
#39602=PLANE('',#44045);
#39603=PLANE('',#44047);
#39604=PLANE('',#44049);
#39605=PLANE('',#44050);
#39606=PLANE('',#44051);
#39607=PLANE('',#44053);
#39608=PLANE('',#44055);
#39609=PLANE('',#44057);
#39610=PLANE('',#44058);
#39611=PLANE('',#44059);
#39612=PLANE('',#44061);
#39613=PLANE('',#44063);
#39614=PLANE('',#44065);
#39615=PLANE('',#44066);
#39616=PLANE('',#44067);
#39617=PLANE('',#44069);
#39618=PLANE('',#44071);
#39619=PLANE('',#44073);
#39620=PLANE('',#44074);
#39621=PLANE('',#44075);
#39622=PLANE('',#44077);
#39623=PLANE('',#44078);
#39624=PLANE('',#44082);
#39625=PLANE('',#44083);
#39626=PLANE('',#44084);
#39627=PLANE('',#44085);
#39628=PLANE('',#44086);
#39629=PLANE('',#44087);
#39630=PLANE('',#44088);
#39631=PLANE('',#44089);
#39632=PLANE('',#44090);
#39633=PLANE('',#44091);
#39634=PLANE('',#44092);
#39635=PLANE('',#44093);
#39636=PLANE('',#44094);
#39637=PLANE('',#44095);
#39638=PLANE('',#44096);
#39639=PLANE('',#44097);
#39640=PLANE('',#44098);
#39641=PLANE('',#44099);
#39642=PLANE('',#44100);
#39643=PLANE('',#44105);
#39644=PLANE('',#44110);
#39645=PLANE('',#44111);
#39646=PLANE('',#44119);
#39647=PLANE('',#44123);
#39648=PLANE('',#44124);
#39649=PLANE('',#44129);
#39650=PLANE('',#44134);
#39651=PLANE('',#44136);
#39652=PLANE('',#44137);
#39653=PLANE('',#44138);
#39654=PLANE('',#44143);
#39655=PLANE('',#44148);
#39656=PLANE('',#44150);
#39657=PLANE('',#44151);
#39658=PLANE('',#44152);
#39659=PLANE('',#44154);
#39660=PLANE('',#44156);
#39661=PLANE('',#44157);
#39662=PLANE('',#44158);
#39663=PLANE('',#44160);
#39664=PLANE('',#44161);
#39665=PLANE('',#44163);
#39666=PLANE('',#44165);
#39667=PLANE('',#44166);
#39668=PLANE('',#44167);
#39669=PLANE('',#44169);
#39670=PLANE('',#44170);
#39671=PLANE('',#44172);
#39672=PLANE('',#44174);
#39673=PLANE('',#44175);
#39674=PLANE('',#44176);
#39675=PLANE('',#44178);
#39676=PLANE('',#44179);
#39677=PLANE('',#44180);
#39678=PLANE('',#44181);
#39679=PLANE('',#44183);
#39680=PLANE('',#44185);
#39681=PLANE('',#44186);
#39682=PLANE('',#44187);
#39683=PLANE('',#44189);
#39684=PLANE('',#44190);
#39685=PLANE('',#44191);
#39686=PLANE('',#44195);
#39687=PLANE('',#44201);
#39688=PLANE('',#44214);
#39689=PLANE('',#44219);
#39690=PLANE('',#44220);
#39691=PLANE('',#44225);
#39692=PLANE('',#44238);
#39693=PLANE('',#44243);
#39694=PLANE('',#44244);
#39695=PLANE('',#44249);
#39696=PLANE('',#44250);
#39697=PLANE('',#44255);
#39698=PLANE('',#44256);
#39699=PLANE('',#44257);
#39700=PLANE('',#44258);
#39701=PLANE('',#44266);
#39702=PLANE('',#44267);
#39703=PLANE('',#44275);
#39704=PLANE('',#44283);
#39705=PLANE('',#44291);
#39706=PLANE('',#44292);
#39707=PLANE('',#44300);
#39708=PLANE('',#44301);
#39709=PLANE('',#44309);
#39710=PLANE('',#44317);
#39711=PLANE('',#44325);
#39712=PLANE('',#44326);
#39713=PLANE('',#44327);
#39714=PLANE('',#44328);
#39715=PLANE('',#44329);
#39716=PLANE('',#44330);
#39717=PLANE('',#44331);
#39718=PLANE('',#44334);
#39719=PLANE('',#44335);
#39720=PLANE('',#44338);
#39721=PLANE('',#44339);
#39722=PLANE('',#44340);
#39723=PLANE('',#44343);
#39724=PLANE('',#44344);
#39725=PLANE('',#44346);
#39726=PLANE('',#44349);
#39727=PLANE('',#44350);
#39728=PLANE('',#44353);
#39729=PLANE('',#44354);
#39730=PLANE('',#44355);
#39731=PLANE('',#44358);
#39732=PLANE('',#44359);
#39733=PLANE('',#44361);
#39734=PLANE('',#44364);
#39735=PLANE('',#44365);
#39736=PLANE('',#44368);
#39737=PLANE('',#44369);
#39738=PLANE('',#44370);
#39739=PLANE('',#44373);
#39740=PLANE('',#44374);
#39741=PLANE('',#44376);
#39742=PLANE('',#44379);
#39743=PLANE('',#44380);
#39744=PLANE('',#44383);
#39745=PLANE('',#44384);
#39746=PLANE('',#44385);
#39747=PLANE('',#44388);
#39748=PLANE('',#44389);
#39749=PLANE('',#44394);
#39750=PLANE('',#44395);
#39751=PLANE('',#44404);
#39752=PLANE('',#44407);
#39753=PLANE('',#44409);
#39754=PLANE('',#44410);
#39755=PLANE('',#44412);
#39756=PLANE('',#44413);
#39757=PLANE('',#44414);
#39758=PLANE('',#44419);
#39759=PLANE('',#44420);
#39760=PLANE('',#44421);
#39761=PLANE('',#44426);
#39762=PLANE('',#44428);
#39763=PLANE('',#44430);
#39764=PLANE('',#44432);
#39765=PLANE('',#44434);
#39766=PLANE('',#44435);
#39767=PLANE('',#44439);
#39768=PLANE('',#44440);
#39769=PLANE('',#44441);
#39770=PLANE('',#44442);
#39771=PLANE('',#44443);
#39772=PLANE('',#44444);
#39773=PLANE('',#44445);
#39774=PLANE('',#44446);
#39775=PLANE('',#44447);
#39776=PLANE('',#44448);
#39777=PLANE('',#44449);
#39778=PLANE('',#44450);
#39779=PLANE('',#44451);
#39780=PLANE('',#44452);
#39781=PLANE('',#44453);
#39782=PLANE('',#44454);
#39783=PLANE('',#44455);
#39784=PLANE('',#44456);
#39785=PLANE('',#44457);
#39786=PLANE('',#44458);
#39787=PLANE('',#44459);
#39788=PLANE('',#44460);
#39789=PLANE('',#44461);
#39790=PLANE('',#44462);
#39791=PLANE('',#44463);
#39792=PLANE('',#44464);
#39793=PLANE('',#44465);
#39794=PLANE('',#44466);
#39795=PLANE('',#44467);
#39796=PLANE('',#44468);
#39797=PLANE('',#44469);
#39798=PLANE('',#44470);
#39799=PLANE('',#44471);
#39800=PLANE('',#44472);
#39801=PLANE('',#44473);
#39802=PLANE('',#44474);
#39803=PLANE('',#44475);
#39804=PLANE('',#44476);
#39805=PLANE('',#44477);
#39806=PLANE('',#44478);
#39807=PLANE('',#44479);
#39808=PLANE('',#44480);
#39809=PLANE('',#44481);
#39810=PLANE('',#44482);
#39811=PLANE('',#44483);
#39812=PLANE('',#44484);
#39813=PLANE('',#44485);
#39814=PLANE('',#44486);
#39815=PLANE('',#44487);
#39816=PLANE('',#44488);
#39817=PLANE('',#44489);
#39818=PLANE('',#44490);
#39819=PLANE('',#44491);
#39820=PLANE('',#44492);
#39821=PLANE('',#44493);
#39822=PLANE('',#44494);
#39823=PLANE('',#44495);
#39824=PLANE('',#44496);
#39825=PLANE('',#44497);
#39826=PLANE('',#44498);
#39827=PLANE('',#44499);
#39828=PLANE('',#44500);
#39829=PLANE('',#44501);
#39830=PLANE('',#44502);
#39831=PLANE('',#44503);
#39832=PLANE('',#44504);
#39833=PLANE('',#44505);
#39834=PLANE('',#44506);
#39835=PLANE('',#44507);
#39836=PLANE('',#44508);
#39837=PLANE('',#44509);
#39838=PLANE('',#44510);
#39839=PLANE('',#44511);
#39840=PLANE('',#44512);
#39841=PLANE('',#44513);
#39842=PLANE('',#44514);
#39843=PLANE('',#44515);
#39844=PLANE('',#44516);
#39845=PLANE('',#44517);
#39846=PLANE('',#44518);
#39847=PLANE('',#44519);
#39848=PLANE('',#44520);
#39849=PLANE('',#44521);
#39850=PLANE('',#44522);
#39851=PLANE('',#44523);
#39852=PLANE('',#44524);
#39853=PLANE('',#44525);
#39854=PLANE('',#44526);
#39855=PLANE('',#44527);
#39856=PLANE('',#44528);
#39857=PLANE('',#44529);
#39858=PLANE('',#44530);
#39859=PLANE('',#44531);
#39860=PLANE('',#44532);
#39861=PLANE('',#44533);
#39862=PLANE('',#44534);
#39863=PLANE('',#44535);
#39864=PLANE('',#44536);
#39865=PLANE('',#44537);
#39866=PLANE('',#44538);
#39867=PLANE('',#44539);
#39868=PLANE('',#44540);
#39869=PLANE('',#44541);
#39870=PLANE('',#44542);
#39871=PLANE('',#44543);
#39872=PLANE('',#44544);
#39873=PLANE('',#44545);
#39874=PLANE('',#44546);
#39875=PLANE('',#44547);
#39876=PLANE('',#44548);
#39877=PLANE('',#44549);
#39878=PLANE('',#44550);
#39879=PLANE('',#44551);
#39880=PLANE('',#44552);
#39881=PLANE('',#44553);
#39882=PLANE('',#44554);
#39883=PLANE('',#44555);
#39884=PLANE('',#44556);
#39885=PLANE('',#44557);
#39886=PLANE('',#44558);
#39887=PLANE('',#44559);
#39888=PLANE('',#44560);
#39889=PLANE('',#44561);
#39890=PLANE('',#44562);
#39891=PLANE('',#44566);
#39892=PLANE('',#44567);
#39893=PLANE('',#44568);
#39894=PLANE('',#44569);
#39895=PLANE('',#44570);
#39896=PLANE('',#44571);
#39897=PLANE('',#44572);
#39898=PLANE('',#44576);
#39899=PLANE('',#44577);
#39900=PLANE('',#44580);
#39901=PLANE('',#44581);
#39902=PLANE('',#44584);
#39903=PLANE('',#44585);
#39904=PLANE('',#44589);
#39905=PLANE('',#44590);
#39906=PLANE('',#44591);
#39907=PLANE('',#44592);
#39908=PLANE('',#44593);
#39909=PLANE('',#44594);
#39910=PLANE('',#44595);
#39911=PLANE('',#44598);
#39912=PLANE('',#44599);
#39913=PLANE('',#44602);
#39914=PLANE('',#44606);
#39915=PLANE('',#44607);
#39916=PLANE('',#44608);
#39917=PLANE('',#44609);
#39918=PLANE('',#44613);
#39919=PLANE('',#44614);
#39920=PLANE('',#44615);
#39921=PLANE('',#44616);
#39922=PLANE('',#44617);
#39923=PLANE('',#44618);
#39924=PLANE('',#44621);
#39925=PLANE('',#44624);
#39926=PLANE('',#44625);
#39927=PLANE('',#44626);
#39928=PLANE('',#44627);
#39929=PLANE('',#44628);
#39930=PLANE('',#44629);
#39931=PLANE('',#44630);
#39932=PLANE('',#44631);
#39933=PLANE('',#44635);
#39934=PLANE('',#44640);
#39935=PLANE('',#44645);
#39936=PLANE('',#44646);
#39937=PLANE('',#44651);
#39938=PLANE('',#44652);
#39939=PLANE('',#44659);
#39940=PLANE('',#44662);
#39941=PLANE('',#44665);
#39942=PLANE('',#44668);
#39943=PLANE('',#44669);
#39944=PLANE('',#44674);
#39945=PLANE('',#44679);
#39946=PLANE('',#44680);
#39947=PLANE('',#44685);
#39948=PLANE('',#44686);
#39949=PLANE('',#44689);
#39950=PLANE('',#44690);
#39951=PLANE('',#44691);
#39952=PLANE('',#44692);
#39953=PLANE('',#44993);
#39954=PLANE('',#45294);
#39955=PLANE('',#45300);
#39956=PLANE('',#45303);
#39957=PLANE('',#45394);
#39958=PLANE('',#45395);
#39959=PLANE('',#45398);
#39960=PLANE('',#45399);
#39961=PLANE('',#45525);
#39962=PLANE('',#45526);
#39963=PLANE('',#45527);
#39964=PLANE('',#45528);
#39965=PLANE('',#45529);
#39966=PLANE('',#45530);
#39967=PLANE('',#45531);
#39968=PLANE('',#45536);
#39969=PLANE('',#45538);
#39970=PLANE('',#45546);
#39971=PLANE('',#45548);
#39972=PLANE('',#45552);
#39973=PLANE('',#45553);
#39974=PLANE('',#45554);
#39975=PLANE('',#45555);
#39976=PLANE('',#45556);
#39977=PLANE('',#45557);
#39978=PLANE('',#45558);
#39979=PLANE('',#45559);
#39980=PLANE('',#45560);
#39981=PLANE('',#45561);
#39982=PLANE('',#45562);
#39983=PLANE('',#45563);
#39984=PLANE('',#45565);
#39985=PLANE('',#45574);
#39986=PLANE('',#45580);
#39987=PLANE('',#45587);
#39988=PLANE('',#45594);
#39989=PLANE('',#45601);
#39990=PLANE('',#45608);
#39991=PLANE('',#45613);
#39992=PLANE('',#45617);
#39993=PLANE('',#45621);
#39994=PLANE('',#45624);
#39995=PLANE('',#45631);
#39996=PLANE('',#45635);
#39997=PLANE('',#45639);
#39998=PLANE('',#45640);
#39999=PLANE('',#45674);
#40000=PLANE('',#45675);
#40001=PLANE('',#45683);
#40002=PLANE('',#45687);
#40003=PLANE('',#45688);
#40004=PLANE('',#45696);
#40005=PLANE('',#45698);
#40006=PLANE('',#45701);
#40007=PLANE('',#45705);
#40008=PLANE('',#45706);
#40009=PLANE('',#45707);
#40010=PLANE('',#45708);
#40011=PLANE('',#45709);
#40012=PLANE('',#45710);
#40013=PLANE('',#45711);
#40014=PLANE('',#45712);
#40015=PLANE('',#45713);
#40016=PLANE('',#45714);
#40017=PLANE('',#45715);
#40018=PLANE('',#45716);
#40019=PLANE('',#45717);
#40020=PLANE('',#45718);
#40021=PLANE('',#45719);
#40022=PLANE('',#45720);
#40023=PLANE('',#45721);
#40024=PLANE('',#45723);
#40025=PLANE('',#45725);
#40026=PLANE('',#45726);
#40027=PLANE('',#45727);
#40028=PLANE('',#45728);
#40029=PLANE('',#45729);
#40030=PLANE('',#45730);
#40031=PLANE('',#45731);
#40032=PLANE('',#45732);
#40033=PLANE('',#45734);
#40034=PLANE('',#45735);
#40035=PLANE('',#45736);
#40036=PLANE('',#45737);
#40037=PLANE('',#45739);
#40038=PLANE('',#45740);
#40039=PLANE('',#45741);
#40040=PLANE('',#45742);
#40041=PLANE('',#45743);
#40042=PLANE('',#45744);
#40043=PLANE('',#45745);
#40044=PLANE('',#45746);
#40045=PLANE('',#45747);
#40046=PLANE('',#45756);
#40047=PLANE('',#45757);
#40048=PLANE('',#45758);
#40049=PLANE('',#45759);
#40050=PLANE('',#45760);
#40051=PLANE('',#45761);
#40052=PLANE('',#45762);
#40053=PLANE('',#45763);
#40054=PLANE('',#45764);
#40055=PLANE('',#45765);
#40056=PLANE('',#45766);
#40057=PLANE('',#45767);
#40058=PLANE('',#45768);
#40059=PLANE('',#45769);
#40060=PLANE('',#45772);
#40061=PLANE('',#45775);
#40062=PLANE('',#45776);
#40063=PLANE('',#45778);
#40064=PLANE('',#45779);
#40065=PLANE('',#45780);
#40066=PLANE('',#45781);
#40067=PLANE('',#45786);
#40068=PLANE('',#45787);
#40069=PLANE('',#45791);
#40070=PLANE('',#45794);
#40071=PLANE('',#45795);
#40072=PLANE('',#45802);
#40073=PLANE('',#45803);
#40074=PLANE('',#45804);
#40075=PLANE('',#45805);
#40076=PLANE('',#45806);
#40077=PLANE('',#45807);
#40078=PLANE('',#45808);
#40079=PLANE('',#45809);
#40080=PLANE('',#45810);
#40081=PLANE('',#45811);
#40082=PLANE('',#45812);
#40083=PLANE('',#45813);
#40084=PLANE('',#45814);
#40085=PLANE('',#45815);
#40086=PLANE('',#45818);
#40087=PLANE('',#45819);
#40088=PLANE('',#45820);
#40089=PLANE('',#45823);
#40090=PLANE('',#45824);
#40091=PLANE('',#45825);
#40092=PLANE('',#45828);
#40093=PLANE('',#45829);
#40094=PLANE('',#45830);
#40095=PLANE('',#45843);
#40096=PLANE('',#45844);
#40097=PLANE('',#45847);
#40098=PLANE('',#45850);
#40099=PLANE('',#45853);
#40100=PLANE('',#45867);
#40101=PLANE('',#45869);
#40102=PLANE('',#45871);
#40103=PLANE('',#45873);
#40104=PLANE('',#45875);
#40105=PLANE('',#45877);
#40106=PLANE('',#45878);
#40107=PLANE('',#45880);
#40108=PLANE('',#45882);
#40109=PLANE('',#45884);
#40110=PLANE('',#45887);
#40111=PLANE('',#45888);
#40112=PLANE('',#45890);
#40113=PLANE('',#45892);
#40114=PLANE('',#45894);
#40115=PLANE('',#45896);
#40116=PLANE('',#45898);
#40117=PLANE('',#45899);
#40118=PLANE('',#45902);
#40119=PLANE('',#45903);
#40120=PLANE('',#45904);
#40121=PLANE('',#45911);
#40122=PLANE('',#45912);
#40123=PLANE('',#45913);
#40124=PLANE('',#45914);
#40125=PLANE('',#45915);
#40126=PLANE('',#45916);
#40127=PLANE('',#45917);
#40128=PLANE('',#45918);
#40129=PLANE('',#45919);
#40130=PLANE('',#45923);
#40131=PLANE('',#45927);
#40132=PLANE('',#45928);
#40133=PLANE('',#45929);
#40134=PLANE('',#45930);
#40135=PLANE('',#45932);
#40136=PLANE('',#45933);
#40137=PLANE('',#45934);
#40138=PLANE('',#45935);
#40139=PLANE('',#45939);
#40140=PLANE('',#45943);
#40141=PLANE('',#45944);
#40142=PLANE('',#45945);
#40143=PLANE('',#45946);
#40144=PLANE('',#45947);
#40145=PLANE('',#45948);
#40146=PLANE('',#45949);
#40147=PLANE('',#45950);
#40148=PLANE('',#45951);
#40149=PLANE('',#45952);
#40150=PLANE('',#45953);
#40151=PLANE('',#45954);
#40152=PLANE('',#45955);
#40153=PLANE('',#45956);
#40154=PLANE('',#45957);
#40155=PLANE('',#45958);
#40156=PLANE('',#45959);
#40157=PLANE('',#45960);
#40158=PLANE('',#45961);
#40159=PLANE('',#45962);
#40160=PLANE('',#45963);
#40161=PLANE('',#45964);
#40162=PLANE('',#45965);
#40163=PLANE('',#45966);
#40164=PLANE('',#45967);
#40165=PLANE('',#45968);
#40166=PLANE('',#45971);
#40167=PLANE('',#45974);
#40168=PLANE('',#45977);
#40169=PLANE('',#45980);
#40170=PLANE('',#45983);
#40171=PLANE('',#45986);
#40172=PLANE('',#45989);
#40173=PLANE('',#45990);
#40174=PLANE('',#45991);
#40175=PLANE('',#45996);
#40176=PLANE('',#46002);
#40177=PLANE('',#46004);
#40178=PLANE('',#46031);
#40179=PLANE('',#46036);
#40180=PLANE('',#46037);
#40181=PLANE('',#46038);
#40182=PLANE('',#46039);
#40183=PLANE('',#46040);
#40184=PLANE('',#46041);
#40185=PLANE('',#46042);
#40186=PLANE('',#46043);
#40187=PLANE('',#46044);
#40188=PLANE('',#46045);
#40189=PLANE('',#46046);
#40190=PLANE('',#46047);
#40191=PLANE('',#46048);
#40192=PLANE('',#46049);
#40193=PLANE('',#46050);
#40194=PLANE('',#46051);
#40195=PLANE('',#46052);
#40196=PLANE('',#46053);
#40197=PLANE('',#46054);
#40198=PLANE('',#46055);
#40199=PLANE('',#46056);
#40200=PLANE('',#46057);
#40201=PLANE('',#46058);
#40202=PLANE('',#46059);
#40203=PLANE('',#46060);
#40204=PLANE('',#46061);
#40205=PLANE('',#46062);
#40206=PLANE('',#46063);
#40207=PLANE('',#46064);
#40208=PLANE('',#46065);
#40209=PLANE('',#46066);
#40210=PLANE('',#46067);
#40211=PLANE('',#46068);
#40212=PLANE('',#46069);
#40213=PLANE('',#46070);
#40214=PLANE('',#46071);
#40215=PLANE('',#46072);
#40216=PLANE('',#46073);
#40217=PLANE('',#46074);
#40218=PLANE('',#46075);
#40219=PLANE('',#46076);
#40220=PLANE('',#46077);
#40221=PLANE('',#46078);
#40222=PLANE('',#46079);
#40223=PLANE('',#46080);
#40224=PLANE('',#46081);
#40225=PLANE('',#46082);
#40226=PLANE('',#46083);
#40227=PLANE('',#46084);
#40228=PLANE('',#46085);
#40229=PLANE('',#46086);
#40230=PLANE('',#46087);
#40231=PLANE('',#46088);
#40232=PLANE('',#46089);
#40233=PLANE('',#46090);
#40234=PLANE('',#46091);
#40235=PLANE('',#46092);
#40236=PLANE('',#46093);
#40237=PLANE('',#46094);
#40238=PLANE('',#46095);
#40239=PLANE('',#46096);
#40240=PLANE('',#46097);
#40241=PLANE('',#46098);
#40242=PLANE('',#46100);
#40243=PLANE('',#46102);
#40244=PLANE('',#46104);
#40245=PLANE('',#46106);
#40246=PLANE('',#46108);
#40247=PLANE('',#46117);
#40248=PLANE('',#46187);
#40249=PLANE('',#46188);
#40250=PLANE('',#46189);
#40251=PLANE('',#46190);
#40252=PLANE('',#46191);
#40253=PLANE('',#46194);
#40254=PLANE('',#46195);
#40255=PLANE('',#46196);
#40256=PLANE('',#46197);
#40257=PLANE('',#46198);
#40258=PLANE('',#46201);
#40259=PLANE('',#46202);
#40260=PLANE('',#46203);
#40261=PLANE('',#46204);
#40262=PLANE('',#46205);
#40263=PLANE('',#46208);
#40264=PLANE('',#46209);
#40265=PLANE('',#46210);
#40266=PLANE('',#46211);
#40267=PLANE('',#46212);
#40268=PLANE('',#46215);
#40269=PLANE('',#46216);
#40270=PLANE('',#46217);
#40271=PLANE('',#46218);
#40272=PLANE('',#46219);
#40273=PLANE('',#46222);
#40274=PLANE('',#46223);
#40275=PLANE('',#46224);
#40276=PLANE('',#46225);
#40277=PLANE('',#46226);
#40278=PLANE('',#46227);
#40279=PLANE('',#46233);
#40280=PLANE('',#46234);
#40281=PLANE('',#46244);
#40282=PLANE('',#46245);
#40283=PLANE('',#46246);
#40284=PLANE('',#46247);
#40285=PLANE('',#46253);
#40286=PLANE('',#46254);
#40287=PLANE('',#46255);
#40288=PLANE('',#46256);
#40289=PLANE('',#46266);
#40290=PLANE('',#46267);
#40291=PLANE('',#46268);
#40292=PLANE('',#46270);
#40293=PLANE('',#46272);
#40294=PLANE('',#46274);
#40295=PLANE('',#46276);
#40296=PLANE('',#46278);
#40297=PLANE('',#46280);
#40298=PLANE('',#46282);
#40299=PLANE('',#46284);
#40300=PLANE('',#46286);
#40301=PLANE('',#46288);
#40302=PLANE('',#46290);
#40303=PLANE('',#46292);
#40304=PLANE('',#46294);
#40305=PLANE('',#46296);
#40306=PLANE('',#46298);
#40307=PLANE('',#46300);
#40308=PLANE('',#46302);
#40309=PLANE('',#46304);
#40310=PLANE('',#46306);
#40311=PLANE('',#46308);
#40312=PLANE('',#46310);
#40313=PLANE('',#46312);
#40314=PLANE('',#46314);
#40315=PLANE('',#46316);
#40316=PLANE('',#46317);
#40317=PLANE('',#46318);
#40318=ADVANCED_FACE('',(#4597),#38928,.T.);
#40319=ADVANCED_FACE('',(#4598),#38929,.T.);
#40320=ADVANCED_FACE('',(#4599),#38930,.T.);
#40321=ADVANCED_FACE('',(#4600),#38931,.T.);
#40322=ADVANCED_FACE('',(#4601),#38932,.T.);
#40323=ADVANCED_FACE('',(#4602),#38933,.T.);
#40324=ADVANCED_FACE('',(#4603),#38934,.T.);
#40325=ADVANCED_FACE('',(#4604),#38935,.T.);
#40326=ADVANCED_FACE('',(#4605),#38936,.T.);
#40327=ADVANCED_FACE('',(#4606),#38937,.T.);
#40328=ADVANCED_FACE('',(#4607),#38938,.T.);
#40329=ADVANCED_FACE('',(#4608),#38939,.T.);
#40330=ADVANCED_FACE('',(#4609),#38940,.T.);
#40331=ADVANCED_FACE('',(#4610),#38941,.T.);
#40332=ADVANCED_FACE('',(#4611),#38942,.T.);
#40333=ADVANCED_FACE('',(#4612,#2917),#38943,.T.);
#40334=ADVANCED_FACE('',(#4613),#38944,.F.);
#40335=ADVANCED_FACE('',(#4614),#38945,.T.);
#40336=ADVANCED_FACE('',(#4615),#38946,.T.);
#40337=ADVANCED_FACE('',(#4616),#38947,.T.);
#40338=ADVANCED_FACE('',(#4617),#38948,.T.);
#40339=ADVANCED_FACE('',(#4618),#38949,.T.);
#40340=ADVANCED_FACE('',(#4619),#38950,.F.);
#40341=ADVANCED_FACE('',(#4620),#38951,.T.);
#40342=ADVANCED_FACE('',(#4621),#38952,.T.);
#40343=ADVANCED_FACE('',(#4622),#38953,.T.);
#40344=ADVANCED_FACE('',(#4623),#38954,.T.);
#40345=ADVANCED_FACE('',(#4624),#38955,.T.);
#40346=ADVANCED_FACE('',(#4625),#38956,.F.);
#40347=ADVANCED_FACE('',(#4626),#38957,.T.);
#40348=ADVANCED_FACE('',(#4627),#38958,.T.);
#40349=ADVANCED_FACE('',(#4628),#38959,.T.);
#40350=ADVANCED_FACE('',(#4629),#38960,.T.);
#40351=ADVANCED_FACE('',(#4630),#38961,.T.);
#40352=ADVANCED_FACE('',(#4631),#38962,.F.);
#40353=ADVANCED_FACE('',(#4632),#38963,.T.);
#40354=ADVANCED_FACE('',(#4633),#38964,.T.);
#40355=ADVANCED_FACE('',(#4634),#38965,.T.);
#40356=ADVANCED_FACE('',(#4635),#38966,.T.);
#40357=ADVANCED_FACE('',(#4636),#38967,.T.);
#40358=ADVANCED_FACE('',(#4637),#38968,.F.);
#40359=ADVANCED_FACE('',(#4638),#38969,.T.);
#40360=ADVANCED_FACE('',(#4639),#38970,.T.);
#40361=ADVANCED_FACE('',(#4640),#38971,.T.);
#40362=ADVANCED_FACE('',(#4641),#38972,.T.);
#40363=ADVANCED_FACE('',(#4642),#38973,.T.);
#40364=ADVANCED_FACE('',(#4643),#38974,.F.);
#40365=ADVANCED_FACE('',(#4644),#38975,.T.);
#40366=ADVANCED_FACE('',(#4645),#38976,.T.);
#40367=ADVANCED_FACE('',(#4646),#38977,.T.);
#40368=ADVANCED_FACE('',(#4647),#38978,.T.);
#40369=ADVANCED_FACE('',(#4648),#38979,.T.);
#40370=ADVANCED_FACE('',(#4649),#38980,.F.);
#40371=ADVANCED_FACE('',(#4650),#1932,.T.);
#40372=ADVANCED_FACE('',(#4651),#38981,.T.);
#40373=ADVANCED_FACE('',(#4652),#1933,.T.);
#40374=ADVANCED_FACE('',(#4653),#38982,.T.);
#40375=ADVANCED_FACE('',(#4654),#1934,.T.);
#40376=ADVANCED_FACE('',(#4655),#38983,.T.);
#40377=ADVANCED_FACE('',(#4656),#1935,.T.);
#40378=ADVANCED_FACE('',(#4657),#38984,.T.);
#40379=ADVANCED_FACE('',(#4658),#1936,.T.);
#40380=ADVANCED_FACE('',(#4659),#38985,.T.);
#40381=ADVANCED_FACE('',(#4660),#1937,.F.);
#40382=ADVANCED_FACE('',(#4661),#38986,.F.);
#40383=ADVANCED_FACE('',(#4662),#38987,.F.);
#40384=ADVANCED_FACE('',(#4663),#1938,.T.);
#40385=ADVANCED_FACE('',(#4664,#2918),#38988,.T.);
#40386=ADVANCED_FACE('',(#4665),#38989,.T.);
#40387=ADVANCED_FACE('',(#4666),#38990,.T.);
#40388=ADVANCED_FACE('',(#4667),#38991,.T.);
#40389=ADVANCED_FACE('',(#4668),#38992,.T.);
#40390=ADVANCED_FACE('',(#4669,#2919),#38993,.T.);
#40391=ADVANCED_FACE('',(#4670),#38994,.T.);
#40392=ADVANCED_FACE('',(#4671),#38995,.T.);
#40393=ADVANCED_FACE('',(#4672),#38996,.T.);
#40394=ADVANCED_FACE('',(#4673),#38997,.T.);
#40395=ADVANCED_FACE('',(#4674),#38998,.T.);
#40396=ADVANCED_FACE('',(#4675,#2920,#2921,#2922,#2923,#2924),#38999,.F.);
#40397=ADVANCED_FACE('',(#4676),#39000,.T.);
#40398=ADVANCED_FACE('',(#4677),#39001,.T.);
#40399=ADVANCED_FACE('',(#4678),#39002,.T.);
#40400=ADVANCED_FACE('',(#4679),#39003,.T.);
#40401=ADVANCED_FACE('',(#4680),#39004,.T.);
#40402=ADVANCED_FACE('',(#4681),#39005,.F.);
#40403=ADVANCED_FACE('',(#4682),#39006,.T.);
#40404=ADVANCED_FACE('',(#4683),#39007,.T.);
#40405=ADVANCED_FACE('',(#4684),#39008,.T.);
#40406=ADVANCED_FACE('',(#4685),#39009,.T.);
#40407=ADVANCED_FACE('',(#4686),#39010,.T.);
#40408=ADVANCED_FACE('',(#4687),#39011,.F.);
#40409=ADVANCED_FACE('',(#4688),#39012,.T.);
#40410=ADVANCED_FACE('',(#4689),#39013,.T.);
#40411=ADVANCED_FACE('',(#4690),#39014,.T.);
#40412=ADVANCED_FACE('',(#4691),#39015,.T.);
#40413=ADVANCED_FACE('',(#4692),#39016,.T.);
#40414=ADVANCED_FACE('',(#4693),#39017,.F.);
#40415=ADVANCED_FACE('',(#4694),#39018,.T.);
#40416=ADVANCED_FACE('',(#4695),#39019,.T.);
#40417=ADVANCED_FACE('',(#4696),#39020,.T.);
#40418=ADVANCED_FACE('',(#4697),#39021,.T.);
#40419=ADVANCED_FACE('',(#4698),#39022,.T.);
#40420=ADVANCED_FACE('',(#4699),#39023,.F.);
#40421=ADVANCED_FACE('',(#4700),#39024,.T.);
#40422=ADVANCED_FACE('',(#4701),#39025,.T.);
#40423=ADVANCED_FACE('',(#4702),#39026,.T.);
#40424=ADVANCED_FACE('',(#4703),#39027,.T.);
#40425=ADVANCED_FACE('',(#4704),#39028,.T.);
#40426=ADVANCED_FACE('',(#4705),#39029,.F.);
#40427=ADVANCED_FACE('',(#4706),#39030,.F.);
#40428=ADVANCED_FACE('',(#4707),#1939,.F.);
#40429=ADVANCED_FACE('',(#4708),#39031,.F.);
#40430=ADVANCED_FACE('',(#4709),#39032,.F.);
#40431=ADVANCED_FACE('',(#4710),#39033,.F.);
#40432=ADVANCED_FACE('',(#4711),#1940,.T.);
#40433=ADVANCED_FACE('',(#4712,#2925,#2926),#39034,.F.);
#40434=ADVANCED_FACE('',(#4713),#1941,.F.);
#40435=ADVANCED_FACE('',(#4714),#1942,.F.);
#40436=ADVANCED_FACE('',(#4715),#1943,.T.);
#40437=ADVANCED_FACE('',(#4716),#39035,.T.);
#40438=ADVANCED_FACE('',(#4717),#39036,.T.);
#40439=ADVANCED_FACE('',(#4718),#39037,.F.);
#40440=ADVANCED_FACE('',(#4719),#398,.T.);
#40441=ADVANCED_FACE('',(#4720),#399,.T.);
#40442=ADVANCED_FACE('',(#4721),#1944,.T.);
#40443=ADVANCED_FACE('',(#4722),#1945,.T.);
#40444=ADVANCED_FACE('',(#4723),#392,.T.);
#40445=ADVANCED_FACE('',(#4724),#393,.T.);
#40446=ADVANCED_FACE('',(#4725),#400,.F.);
#40447=ADVANCED_FACE('',(#4726),#401,.F.);
#40448=ADVANCED_FACE('',(#4727),#394,.T.);
#40449=ADVANCED_FACE('',(#4728),#395,.T.);
#40450=ADVANCED_FACE('',(#4729),#402,.F.);
#40451=ADVANCED_FACE('',(#4730),#396,.T.);
#40452=ADVANCED_FACE('',(#4731),#1946,.T.);
#40453=ADVANCED_FACE('',(#4732),#397,.T.);
#40454=ADVANCED_FACE('',(#4733),#1947,.T.);
#40455=ADVANCED_FACE('',(#4734),#403,.T.);
#40456=ADVANCED_FACE('',(#4735),#1948,.T.);
#40457=ADVANCED_FACE('',(#4736),#404,.T.);
#40458=ADVANCED_FACE('',(#4737),#39038,.F.);
#40459=ADVANCED_FACE('',(#4738),#39039,.F.);
#40460=ADVANCED_FACE('',(#4739),#1949,.F.);
#40461=ADVANCED_FACE('',(#4740),#1950,.F.);
#40462=ADVANCED_FACE('',(#4741),#39040,.T.);
#40463=ADVANCED_FACE('',(#4742),#39041,.F.);
#40464=ADVANCED_FACE('',(#4743),#39042,.T.);
#40465=ADVANCED_FACE('',(#4744),#39043,.T.);
#40466=ADVANCED_FACE('',(#4745),#39044,.F.);
#40467=ADVANCED_FACE('',(#4746),#39045,.F.);
#40468=ADVANCED_FACE('',(#4747),#39046,.T.);
#40469=ADVANCED_FACE('',(#4748),#39047,.F.);
#40470=ADVANCED_FACE('',(#4749),#39048,.F.);
#40471=ADVANCED_FACE('',(#4750),#39049,.T.);
#40472=ADVANCED_FACE('',(#4751),#39050,.F.);
#40473=ADVANCED_FACE('',(#4752),#39051,.F.);
#40474=ADVANCED_FACE('',(#4753),#39052,.F.);
#40475=ADVANCED_FACE('',(#4754),#39053,.F.);
#40476=ADVANCED_FACE('',(#4755),#1951,.T.);
#40477=ADVANCED_FACE('',(#4756),#1952,.T.);
#40478=ADVANCED_FACE('',(#4757,#2927,#2928),#39054,.F.);
#40479=ADVANCED_FACE('',(#4758),#1953,.T.);
#40480=ADVANCED_FACE('',(#4759),#131,.T.);
#40481=ADVANCED_FACE('',(#4760),#39055,.F.);
#40482=ADVANCED_FACE('',(#4761),#39056,.T.);
#40483=ADVANCED_FACE('',(#4762),#132,.T.);
#40484=ADVANCED_FACE('',(#4763),#39057,.T.);
#40485=ADVANCED_FACE('',(#4764),#1954,.T.);
#40486=ADVANCED_FACE('',(#4765),#1955,.T.);
#40487=ADVANCED_FACE('',(#4766),#39058,.T.);
#40488=ADVANCED_FACE('',(#4767),#1956,.T.);
#40489=ADVANCED_FACE('',(#4768),#1957,.T.);
#40490=ADVANCED_FACE('',(#4769),#1958,.T.);
#40491=ADVANCED_FACE('',(#4770),#1959,.T.);
#40492=ADVANCED_FACE('',(#4771),#1960,.T.);
#40493=ADVANCED_FACE('',(#4772),#133,.T.);
#40494=ADVANCED_FACE('',(#4773),#134,.T.);
#40495=ADVANCED_FACE('',(#4774),#39059,.F.);
#40496=ADVANCED_FACE('',(#4775),#39060,.F.);
#40497=ADVANCED_FACE('',(#4776),#1961,.F.);
#40498=ADVANCED_FACE('',(#4777),#39061,.F.);
#40499=ADVANCED_FACE('',(#4778),#39062,.F.);
#40500=ADVANCED_FACE('',(#4779),#39063,.F.);
#40501=ADVANCED_FACE('',(#4780),#39064,.T.);
#40502=ADVANCED_FACE('',(#4781),#39065,.F.);
#40503=ADVANCED_FACE('',(#4782),#1962,.T.);
#40504=ADVANCED_FACE('',(#4783),#39066,.F.);
#40505=ADVANCED_FACE('',(#4784),#39067,.F.);
#40506=ADVANCED_FACE('',(#4785),#1963,.T.);
#40507=ADVANCED_FACE('',(#4786),#39068,.F.);
#40508=ADVANCED_FACE('',(#4787),#1964,.F.);
#40509=ADVANCED_FACE('',(#4788),#39069,.F.);
#40510=ADVANCED_FACE('',(#4789),#39070,.F.);
#40511=ADVANCED_FACE('',(#4790),#39071,.F.);
#40512=ADVANCED_FACE('',(#4791),#39072,.F.);
#40513=ADVANCED_FACE('',(#4792),#39073,.F.);
#40514=ADVANCED_FACE('',(#4793),#39074,.F.);
#40515=ADVANCED_FACE('',(#4794),#39075,.F.);
#40516=ADVANCED_FACE('',(#4795),#39076,.F.);
#40517=ADVANCED_FACE('',(#4796),#39077,.F.);
#40518=ADVANCED_FACE('',(#4797),#39078,.F.);
#40519=ADVANCED_FACE('',(#4798),#39079,.F.);
#40520=ADVANCED_FACE('',(#4799),#39080,.F.);
#40521=ADVANCED_FACE('',(#4800),#39081,.F.);
#40522=ADVANCED_FACE('',(#4801),#39082,.F.);
#40523=ADVANCED_FACE('',(#4802),#39083,.F.);
#40524=ADVANCED_FACE('',(#4803),#39084,.F.);
#40525=ADVANCED_FACE('',(#4804),#39085,.F.);
#40526=ADVANCED_FACE('',(#4805),#39086,.F.);
#40527=ADVANCED_FACE('',(#4806),#39087,.F.);
#40528=ADVANCED_FACE('',(#4807),#39088,.F.);
#40529=ADVANCED_FACE('',(#4808),#39089,.F.);
#40530=ADVANCED_FACE('',(#4809),#39090,.F.);
#40531=ADVANCED_FACE('',(#4810),#39091,.F.);
#40532=ADVANCED_FACE('',(#4811),#39092,.F.);
#40533=ADVANCED_FACE('',(#4812),#39093,.F.);
#40534=ADVANCED_FACE('',(#4813),#39094,.F.);
#40535=ADVANCED_FACE('',(#4814),#39095,.F.);
#40536=ADVANCED_FACE('',(#4815),#39096,.F.);
#40537=ADVANCED_FACE('',(#4816),#39097,.F.);
#40538=ADVANCED_FACE('',(#4817),#39098,.F.);
#40539=ADVANCED_FACE('',(#4818),#39099,.F.);
#40540=ADVANCED_FACE('',(#4819),#39100,.F.);
#40541=ADVANCED_FACE('',(#4820),#39101,.F.);
#40542=ADVANCED_FACE('',(#4821),#39102,.F.);
#40543=ADVANCED_FACE('',(#4822),#39103,.F.);
#40544=ADVANCED_FACE('',(#4823),#39104,.F.);
#40545=ADVANCED_FACE('',(#4824),#39105,.F.);
#40546=ADVANCED_FACE('',(#4825),#39106,.F.);
#40547=ADVANCED_FACE('',(#4826),#39107,.F.);
#40548=ADVANCED_FACE('',(#4827),#39108,.F.);
#40549=ADVANCED_FACE('',(#4828),#39109,.T.);
#40550=ADVANCED_FACE('',(#4829),#39110,.F.);
#40551=ADVANCED_FACE('',(#4830),#39111,.F.);
#40552=ADVANCED_FACE('',(#4831),#1965,.F.);
#40553=ADVANCED_FACE('',(#4832),#39112,.T.);
#40554=ADVANCED_FACE('',(#4833),#39113,.F.);
#40555=ADVANCED_FACE('',(#4834),#1966,.F.);
#40556=ADVANCED_FACE('',(#4835),#39114,.T.);
#40557=ADVANCED_FACE('',(#4836),#39115,.F.);
#40558=ADVANCED_FACE('',(#4837),#1967,.F.);
#40559=ADVANCED_FACE('',(#4838),#39116,.F.);
#40560=ADVANCED_FACE('',(#4839),#39117,.F.);
#40561=ADVANCED_FACE('',(#4840),#39118,.T.);
#40562=ADVANCED_FACE('',(#4841),#39119,.F.);
#40563=ADVANCED_FACE('',(#4842),#1968,.F.);
#40564=ADVANCED_FACE('',(#4843),#39120,.T.);
#40565=ADVANCED_FACE('',(#4844),#39121,.F.);
#40566=ADVANCED_FACE('',(#4845),#1969,.F.);
#40567=ADVANCED_FACE('',(#4846),#39122,.F.);
#40568=ADVANCED_FACE('',(#4847),#39123,.F.);
#40569=ADVANCED_FACE('',(#4848),#39124,.T.);
#40570=ADVANCED_FACE('',(#4849),#39125,.F.);
#40571=ADVANCED_FACE('',(#4850),#1970,.F.);
#40572=ADVANCED_FACE('',(#4851),#39126,.T.);
#40573=ADVANCED_FACE('',(#4852),#39127,.F.);
#40574=ADVANCED_FACE('',(#4853),#1971,.F.);
#40575=ADVANCED_FACE('',(#4854),#39128,.F.);
#40576=ADVANCED_FACE('',(#4855),#39129,.F.);
#40577=ADVANCED_FACE('',(#4856),#39130,.T.);
#40578=ADVANCED_FACE('',(#4857),#39131,.F.);
#40579=ADVANCED_FACE('',(#4858),#1972,.F.);
#40580=ADVANCED_FACE('',(#4859),#39132,.T.);
#40581=ADVANCED_FACE('',(#4860),#39133,.F.);
#40582=ADVANCED_FACE('',(#4861),#1973,.F.);
#40583=ADVANCED_FACE('',(#4862),#39134,.F.);
#40584=ADVANCED_FACE('',(#4863),#39135,.F.);
#40585=ADVANCED_FACE('',(#4864),#39136,.T.);
#40586=ADVANCED_FACE('',(#4865),#39137,.F.);
#40587=ADVANCED_FACE('',(#4866),#1974,.F.);
#40588=ADVANCED_FACE('',(#4867),#39138,.T.);
#40589=ADVANCED_FACE('',(#4868),#39139,.F.);
#40590=ADVANCED_FACE('',(#4869),#1975,.F.);
#40591=ADVANCED_FACE('',(#4870),#39140,.F.);
#40592=ADVANCED_FACE('',(#4871),#39141,.F.);
#40593=ADVANCED_FACE('',(#4872),#39142,.F.);
#40594=ADVANCED_FACE('',(#4873),#39143,.F.);
#40595=ADVANCED_FACE('',(#4874),#1976,.T.);
#40596=ADVANCED_FACE('',(#4875),#39144,.F.);
#40597=ADVANCED_FACE('',(#4876),#39145,.F.);
#40598=ADVANCED_FACE('',(#4877),#39146,.F.);
#40599=ADVANCED_FACE('',(#4878),#39147,.F.);
#40600=ADVANCED_FACE('',(#4879),#39148,.F.);
#40601=ADVANCED_FACE('',(#4880),#39149,.F.);
#40602=ADVANCED_FACE('',(#4881),#39150,.F.);
#40603=ADVANCED_FACE('',(#4882),#39151,.F.);
#40604=ADVANCED_FACE('',(#4883),#39152,.F.);
#40605=ADVANCED_FACE('',(#4884),#39153,.F.);
#40606=ADVANCED_FACE('',(#4885),#39154,.F.);
#40607=ADVANCED_FACE('',(#4886),#39155,.F.);
#40608=ADVANCED_FACE('',(#4887),#1977,.T.);
#40609=ADVANCED_FACE('',(#4888),#39156,.F.);
#40610=ADVANCED_FACE('',(#4889),#1978,.F.);
#40611=ADVANCED_FACE('',(#4890),#39157,.F.);
#40612=ADVANCED_FACE('',(#4891),#39158,.F.);
#40613=ADVANCED_FACE('',(#4892),#39159,.F.);
#40614=ADVANCED_FACE('',(#4893),#1979,.T.);
#40615=ADVANCED_FACE('',(#4894),#39160,.F.);
#40616=ADVANCED_FACE('',(#4895),#39161,.F.);
#40617=ADVANCED_FACE('',(#4896),#39162,.F.);
#40618=ADVANCED_FACE('',(#4897),#39163,.F.);
#40619=ADVANCED_FACE('',(#4898),#39164,.F.);
#40620=ADVANCED_FACE('',(#4899),#39165,.F.);
#40621=ADVANCED_FACE('',(#4900),#39166,.F.);
#40622=ADVANCED_FACE('',(#4901),#39167,.F.);
#40623=ADVANCED_FACE('',(#4902),#39168,.F.);
#40624=ADVANCED_FACE('',(#4903),#39169,.F.);
#40625=ADVANCED_FACE('',(#4904),#39170,.F.);
#40626=ADVANCED_FACE('',(#4905),#39171,.F.);
#40627=ADVANCED_FACE('',(#4906),#39172,.F.);
#40628=ADVANCED_FACE('',(#4907),#39173,.F.);
#40629=ADVANCED_FACE('',(#4908),#39174,.F.);
#40630=ADVANCED_FACE('',(#4909),#39175,.F.);
#40631=ADVANCED_FACE('',(#4910),#39176,.F.);
#40632=ADVANCED_FACE('',(#4911),#39177,.F.);
#40633=ADVANCED_FACE('',(#4912),#39178,.F.);
#40634=ADVANCED_FACE('',(#4913),#39179,.F.);
#40635=ADVANCED_FACE('',(#4914),#39180,.F.);
#40636=ADVANCED_FACE('',(#4915),#39181,.F.);
#40637=ADVANCED_FACE('',(#4916),#39182,.F.);
#40638=ADVANCED_FACE('',(#4917),#39183,.F.);
#40639=ADVANCED_FACE('',(#4918),#39184,.F.);
#40640=ADVANCED_FACE('',(#4919),#39185,.F.);
#40641=ADVANCED_FACE('',(#4920),#39186,.F.);
#40642=ADVANCED_FACE('',(#4921),#39187,.F.);
#40643=ADVANCED_FACE('',(#4922),#39188,.F.);
#40644=ADVANCED_FACE('',(#4923),#39189,.F.);
#40645=ADVANCED_FACE('',(#4924),#39190,.F.);
#40646=ADVANCED_FACE('',(#4925),#39191,.F.);
#40647=ADVANCED_FACE('',(#4926),#39192,.F.);
#40648=ADVANCED_FACE('',(#4927),#39193,.F.);
#40649=ADVANCED_FACE('',(#4928),#39194,.F.);
#40650=ADVANCED_FACE('',(#4929),#39195,.F.);
#40651=ADVANCED_FACE('',(#4930),#39196,.F.);
#40652=ADVANCED_FACE('',(#4931),#39197,.F.);
#40653=ADVANCED_FACE('',(#4932),#39198,.F.);
#40654=ADVANCED_FACE('',(#4933),#39199,.F.);
#40655=ADVANCED_FACE('',(#4934),#39200,.F.);
#40656=ADVANCED_FACE('',(#4935),#39201,.F.);
#40657=ADVANCED_FACE('',(#4936),#39202,.F.);
#40658=ADVANCED_FACE('',(#4937),#39203,.F.);
#40659=ADVANCED_FACE('',(#4938),#39204,.F.);
#40660=ADVANCED_FACE('',(#4939),#39205,.F.);
#40661=ADVANCED_FACE('',(#4940),#39206,.F.);
#40662=ADVANCED_FACE('',(#4941),#39207,.F.);
#40663=ADVANCED_FACE('',(#4942),#39208,.F.);
#40664=ADVANCED_FACE('',(#4943),#39209,.F.);
#40665=ADVANCED_FACE('',(#4944),#39210,.F.);
#40666=ADVANCED_FACE('',(#4945),#39211,.F.);
#40667=ADVANCED_FACE('',(#4946),#39212,.F.);
#40668=ADVANCED_FACE('',(#4947),#39213,.F.);
#40669=ADVANCED_FACE('',(#4948),#39214,.F.);
#40670=ADVANCED_FACE('',(#4949),#39215,.F.);
#40671=ADVANCED_FACE('',(#4950),#39216,.F.);
#40672=ADVANCED_FACE('',(#4951),#39217,.F.);
#40673=ADVANCED_FACE('',(#4952),#39218,.F.);
#40674=ADVANCED_FACE('',(#4953),#39219,.F.);
#40675=ADVANCED_FACE('',(#4954),#39220,.F.);
#40676=ADVANCED_FACE('',(#4955),#39221,.F.);
#40677=ADVANCED_FACE('',(#4956),#39222,.F.);
#40678=ADVANCED_FACE('',(#4957),#39223,.F.);
#40679=ADVANCED_FACE('',(#4958),#39224,.F.);
#40680=ADVANCED_FACE('',(#4959),#39225,.F.);
#40681=ADVANCED_FACE('',(#4960),#39226,.F.);
#40682=ADVANCED_FACE('',(#4961),#39227,.F.);
#40683=ADVANCED_FACE('',(#4962),#39228,.F.);
#40684=ADVANCED_FACE('',(#4963),#39229,.F.);
#40685=ADVANCED_FACE('',(#4964),#39230,.F.);
#40686=ADVANCED_FACE('',(#4965),#39231,.F.);
#40687=ADVANCED_FACE('',(#4966),#39232,.F.);
#40688=ADVANCED_FACE('',(#4967),#39233,.F.);
#40689=ADVANCED_FACE('',(#4968),#39234,.F.);
#40690=ADVANCED_FACE('',(#4969),#39235,.F.);
#40691=ADVANCED_FACE('',(#4970),#39236,.F.);
#40692=ADVANCED_FACE('',(#4971),#39237,.F.);
#40693=ADVANCED_FACE('',(#4972),#1980,.T.);
#40694=ADVANCED_FACE('',(#4973),#39238,.F.);
#40695=ADVANCED_FACE('',(#4974),#1981,.F.);
#40696=ADVANCED_FACE('',(#4975),#39239,.F.);
#40697=ADVANCED_FACE('',(#4976),#39240,.F.);
#40698=ADVANCED_FACE('',(#4977),#39241,.F.);
#40699=ADVANCED_FACE('',(#4978),#1982,.T.);
#40700=ADVANCED_FACE('',(#4979),#39242,.F.);
#40701=ADVANCED_FACE('',(#4980),#1983,.T.);
#40702=ADVANCED_FACE('',(#4981),#39243,.F.);
#40703=ADVANCED_FACE('',(#4982),#1984,.F.);
#40704=ADVANCED_FACE('',(#4983),#39244,.F.);
#40705=ADVANCED_FACE('',(#4984),#39245,.F.);
#40706=ADVANCED_FACE('',(#4985),#39246,.F.);
#40707=ADVANCED_FACE('',(#4986),#1985,.T.);
#40708=ADVANCED_FACE('',(#4987),#39247,.F.);
#40709=ADVANCED_FACE('',(#4988),#1986,.T.);
#40710=ADVANCED_FACE('',(#4989),#39248,.F.);
#40711=ADVANCED_FACE('',(#4990),#1987,.F.);
#40712=ADVANCED_FACE('',(#4991),#39249,.F.);
#40713=ADVANCED_FACE('',(#4992),#39250,.F.);
#40714=ADVANCED_FACE('',(#4993),#39251,.F.);
#40715=ADVANCED_FACE('',(#4994),#1988,.T.);
#40716=ADVANCED_FACE('',(#4995),#39252,.F.);
#40717=ADVANCED_FACE('',(#4996),#1989,.T.);
#40718=ADVANCED_FACE('',(#4997),#39253,.F.);
#40719=ADVANCED_FACE('',(#4998),#1990,.F.);
#40720=ADVANCED_FACE('',(#4999),#39254,.F.);
#40721=ADVANCED_FACE('',(#5000),#39255,.F.);
#40722=ADVANCED_FACE('',(#5001),#39256,.F.);
#40723=ADVANCED_FACE('',(#5002),#1991,.T.);
#40724=ADVANCED_FACE('',(#5003),#39257,.F.);
#40725=ADVANCED_FACE('',(#5004),#1992,.T.);
#40726=ADVANCED_FACE('',(#5005),#39258,.F.);
#40727=ADVANCED_FACE('',(#5006),#1993,.F.);
#40728=ADVANCED_FACE('',(#5007),#39259,.F.);
#40729=ADVANCED_FACE('',(#5008),#39260,.F.);
#40730=ADVANCED_FACE('',(#5009),#39261,.F.);
#40731=ADVANCED_FACE('',(#5010),#1994,.T.);
#40732=ADVANCED_FACE('',(#5011),#39262,.F.);
#40733=ADVANCED_FACE('',(#5012),#1995,.T.);
#40734=ADVANCED_FACE('',(#5013),#39263,.F.);
#40735=ADVANCED_FACE('',(#5014),#1996,.F.);
#40736=ADVANCED_FACE('',(#5015),#39264,.F.);
#40737=ADVANCED_FACE('',(#5016),#39265,.F.);
#40738=ADVANCED_FACE('',(#5017),#39266,.F.);
#40739=ADVANCED_FACE('',(#5018),#1997,.T.);
#40740=ADVANCED_FACE('',(#5019),#39267,.F.);
#40741=ADVANCED_FACE('',(#5020),#1998,.T.);
#40742=ADVANCED_FACE('',(#5021),#39268,.F.);
#40743=ADVANCED_FACE('',(#5022),#1999,.F.);
#40744=ADVANCED_FACE('',(#5023),#39269,.F.);
#40745=ADVANCED_FACE('',(#5024),#39270,.F.);
#40746=ADVANCED_FACE('',(#5025),#39271,.F.);
#40747=ADVANCED_FACE('',(#5026),#2000,.T.);
#40748=ADVANCED_FACE('',(#5027),#39272,.F.);
#40749=ADVANCED_FACE('',(#5028),#2001,.T.);
#40750=ADVANCED_FACE('',(#5029),#39273,.F.);
#40751=ADVANCED_FACE('',(#5030),#2002,.F.);
#40752=ADVANCED_FACE('',(#5031),#39274,.F.);
#40753=ADVANCED_FACE('',(#5032),#39275,.F.);
#40754=ADVANCED_FACE('',(#5033),#39276,.F.);
#40755=ADVANCED_FACE('',(#5034),#2003,.T.);
#40756=ADVANCED_FACE('',(#5035),#39277,.F.);
#40757=ADVANCED_FACE('',(#5036),#2004,.T.);
#40758=ADVANCED_FACE('',(#5037),#39278,.F.);
#40759=ADVANCED_FACE('',(#5038),#2005,.F.);
#40760=ADVANCED_FACE('',(#5039),#39279,.F.);
#40761=ADVANCED_FACE('',(#5040),#39280,.F.);
#40762=ADVANCED_FACE('',(#5041),#39281,.F.);
#40763=ADVANCED_FACE('',(#5042),#2006,.T.);
#40764=ADVANCED_FACE('',(#5043),#39282,.F.);
#40765=ADVANCED_FACE('',(#5044),#2007,.T.);
#40766=ADVANCED_FACE('',(#5045),#39283,.F.);
#40767=ADVANCED_FACE('',(#5046),#2008,.F.);
#40768=ADVANCED_FACE('',(#5047),#39284,.F.);
#40769=ADVANCED_FACE('',(#5048),#39285,.F.);
#40770=ADVANCED_FACE('',(#5049),#39286,.F.);
#40771=ADVANCED_FACE('',(#5050),#2009,.T.);
#40772=ADVANCED_FACE('',(#5051),#39287,.F.);
#40773=ADVANCED_FACE('',(#5052),#39288,.F.);
#40774=ADVANCED_FACE('',(#5053),#39289,.T.);
#40775=ADVANCED_FACE('',(#5054),#39290,.F.);
#40776=ADVANCED_FACE('',(#5055),#2010,.T.);
#40777=ADVANCED_FACE('',(#5056),#2011,.T.);
#40778=ADVANCED_FACE('',(#5057,#2929),#39291,.T.);
#40779=ADVANCED_FACE('',(#5058),#39292,.F.);
#40780=ADVANCED_FACE('',(#5059),#39293,.F.);
#40781=ADVANCED_FACE('',(#5060),#39294,.F.);
#40782=ADVANCED_FACE('',(#5061),#39295,.T.);
#40783=ADVANCED_FACE('',(#5062),#39296,.F.);
#40784=ADVANCED_FACE('',(#5063),#2012,.F.);
#40785=ADVANCED_FACE('',(#5064),#39297,.T.);
#40786=ADVANCED_FACE('',(#5065),#39298,.F.);
#40787=ADVANCED_FACE('',(#5066),#2013,.F.);
#40788=ADVANCED_FACE('',(#5067),#39299,.F.);
#40789=ADVANCED_FACE('',(#5068),#39300,.F.);
#40790=ADVANCED_FACE('',(#5069),#39301,.T.);
#40791=ADVANCED_FACE('',(#5070),#39302,.F.);
#40792=ADVANCED_FACE('',(#5071),#2014,.F.);
#40793=ADVANCED_FACE('',(#5072),#39303,.T.);
#40794=ADVANCED_FACE('',(#5073),#39304,.F.);
#40795=ADVANCED_FACE('',(#5074),#2015,.F.);
#40796=ADVANCED_FACE('',(#5075),#39305,.F.);
#40797=ADVANCED_FACE('',(#5076),#39306,.F.);
#40798=ADVANCED_FACE('',(#5077),#39307,.T.);
#40799=ADVANCED_FACE('',(#5078),#39308,.F.);
#40800=ADVANCED_FACE('',(#5079),#2016,.F.);
#40801=ADVANCED_FACE('',(#5080),#39309,.T.);
#40802=ADVANCED_FACE('',(#5081),#39310,.F.);
#40803=ADVANCED_FACE('',(#5082),#2017,.F.);
#40804=ADVANCED_FACE('',(#5083),#39311,.F.);
#40805=ADVANCED_FACE('',(#5084),#39312,.F.);
#40806=ADVANCED_FACE('',(#5085),#39313,.T.);
#40807=ADVANCED_FACE('',(#5086),#39314,.F.);
#40808=ADVANCED_FACE('',(#5087),#2018,.F.);
#40809=ADVANCED_FACE('',(#5088),#39315,.T.);
#40810=ADVANCED_FACE('',(#5089),#39316,.F.);
#40811=ADVANCED_FACE('',(#5090),#2019,.F.);
#40812=ADVANCED_FACE('',(#5091),#39317,.F.);
#40813=ADVANCED_FACE('',(#5092),#39318,.F.);
#40814=ADVANCED_FACE('',(#5093),#39319,.T.);
#40815=ADVANCED_FACE('',(#5094),#39320,.F.);
#40816=ADVANCED_FACE('',(#5095),#2020,.F.);
#40817=ADVANCED_FACE('',(#5096),#39321,.T.);
#40818=ADVANCED_FACE('',(#5097),#39322,.F.);
#40819=ADVANCED_FACE('',(#5098),#2021,.F.);
#40820=ADVANCED_FACE('',(#5099),#39323,.F.);
#40821=ADVANCED_FACE('',(#5100),#39324,.F.);
#40822=ADVANCED_FACE('',(#5101),#39325,.T.);
#40823=ADVANCED_FACE('',(#5102),#39326,.F.);
#40824=ADVANCED_FACE('',(#5103),#2022,.F.);
#40825=ADVANCED_FACE('',(#5104),#39327,.T.);
#40826=ADVANCED_FACE('',(#5105),#39328,.F.);
#40827=ADVANCED_FACE('',(#5106),#2023,.F.);
#40828=ADVANCED_FACE('',(#5107),#39329,.F.);
#40829=ADVANCED_FACE('',(#5108),#39330,.F.);
#40830=ADVANCED_FACE('',(#5109),#39331,.F.);
#40831=ADVANCED_FACE('',(#5110),#39332,.F.);
#40832=ADVANCED_FACE('',(#5111),#39333,.T.);
#40833=ADVANCED_FACE('',(#5112),#39334,.F.);
#40834=ADVANCED_FACE('',(#5113),#2024,.F.);
#40835=ADVANCED_FACE('',(#5114),#39335,.T.);
#40836=ADVANCED_FACE('',(#5115),#39336,.F.);
#40837=ADVANCED_FACE('',(#5116),#2025,.F.);
#40838=ADVANCED_FACE('',(#5117),#39337,.F.);
#40839=ADVANCED_FACE('',(#5118),#39338,.F.);
#40840=ADVANCED_FACE('',(#5119),#39339,.T.);
#40841=ADVANCED_FACE('',(#5120),#39340,.F.);
#40842=ADVANCED_FACE('',(#5121),#2026,.F.);
#40843=ADVANCED_FACE('',(#5122),#39341,.T.);
#40844=ADVANCED_FACE('',(#5123),#39342,.F.);
#40845=ADVANCED_FACE('',(#5124),#2027,.F.);
#40846=ADVANCED_FACE('',(#5125),#39343,.F.);
#40847=ADVANCED_FACE('',(#5126),#39344,.F.);
#40848=ADVANCED_FACE('',(#5127),#39345,.T.);
#40849=ADVANCED_FACE('',(#5128),#39346,.F.);
#40850=ADVANCED_FACE('',(#5129),#2028,.F.);
#40851=ADVANCED_FACE('',(#5130),#39347,.T.);
#40852=ADVANCED_FACE('',(#5131),#39348,.F.);
#40853=ADVANCED_FACE('',(#5132),#2029,.F.);
#40854=ADVANCED_FACE('',(#5133),#39349,.F.);
#40855=ADVANCED_FACE('',(#5134),#39350,.F.);
#40856=ADVANCED_FACE('',(#5135),#39351,.T.);
#40857=ADVANCED_FACE('',(#5136),#39352,.F.);
#40858=ADVANCED_FACE('',(#5137),#2030,.F.);
#40859=ADVANCED_FACE('',(#5138),#39353,.T.);
#40860=ADVANCED_FACE('',(#5139),#39354,.F.);
#40861=ADVANCED_FACE('',(#5140),#2031,.F.);
#40862=ADVANCED_FACE('',(#5141),#39355,.F.);
#40863=ADVANCED_FACE('',(#5142),#39356,.F.);
#40864=ADVANCED_FACE('',(#5143),#39357,.T.);
#40865=ADVANCED_FACE('',(#5144),#39358,.F.);
#40866=ADVANCED_FACE('',(#5145),#2032,.F.);
#40867=ADVANCED_FACE('',(#5146),#39359,.T.);
#40868=ADVANCED_FACE('',(#5147),#39360,.F.);
#40869=ADVANCED_FACE('',(#5148),#2033,.F.);
#40870=ADVANCED_FACE('',(#5149),#39361,.F.);
#40871=ADVANCED_FACE('',(#5150),#39362,.F.);
#40872=ADVANCED_FACE('',(#5151),#39363,.T.);
#40873=ADVANCED_FACE('',(#5152),#39364,.F.);
#40874=ADVANCED_FACE('',(#5153),#2034,.F.);
#40875=ADVANCED_FACE('',(#5154),#39365,.T.);
#40876=ADVANCED_FACE('',(#5155),#39366,.F.);
#40877=ADVANCED_FACE('',(#5156),#2035,.F.);
#40878=ADVANCED_FACE('',(#5157),#39367,.F.);
#40879=ADVANCED_FACE('',(#5158),#39368,.F.);
#40880=ADVANCED_FACE('',(#5159),#39369,.F.);
#40881=ADVANCED_FACE('',(#5160),#39370,.F.);
#40882=ADVANCED_FACE('',(#5161),#2036,.F.);
#40883=ADVANCED_FACE('',(#5162),#39371,.T.);
#40884=ADVANCED_FACE('',(#5163),#39372,.F.);
#40885=ADVANCED_FACE('',(#5164),#2037,.F.);
#40886=ADVANCED_FACE('',(#5165),#39373,.F.);
#40887=ADVANCED_FACE('',(#5166),#39374,.F.);
#40888=ADVANCED_FACE('',(#5167),#39375,.T.);
#40889=ADVANCED_FACE('',(#5168),#39376,.F.);
#40890=ADVANCED_FACE('',(#5169),#2038,.F.);
#40891=ADVANCED_FACE('',(#5170),#39377,.T.);
#40892=ADVANCED_FACE('',(#5171),#39378,.F.);
#40893=ADVANCED_FACE('',(#5172),#2039,.F.);
#40894=ADVANCED_FACE('',(#5173),#39379,.F.);
#40895=ADVANCED_FACE('',(#5174),#39380,.F.);
#40896=ADVANCED_FACE('',(#5175),#39381,.T.);
#40897=ADVANCED_FACE('',(#5176),#39382,.F.);
#40898=ADVANCED_FACE('',(#5177),#2040,.F.);
#40899=ADVANCED_FACE('',(#5178),#39383,.T.);
#40900=ADVANCED_FACE('',(#5179),#39384,.F.);
#40901=ADVANCED_FACE('',(#5180),#2041,.F.);
#40902=ADVANCED_FACE('',(#5181),#39385,.F.);
#40903=ADVANCED_FACE('',(#5182),#39386,.F.);
#40904=ADVANCED_FACE('',(#5183),#39387,.T.);
#40905=ADVANCED_FACE('',(#5184),#39388,.F.);
#40906=ADVANCED_FACE('',(#5185),#2042,.F.);
#40907=ADVANCED_FACE('',(#5186),#39389,.T.);
#40908=ADVANCED_FACE('',(#5187),#39390,.F.);
#40909=ADVANCED_FACE('',(#5188),#2043,.F.);
#40910=ADVANCED_FACE('',(#5189),#39391,.F.);
#40911=ADVANCED_FACE('',(#5190),#39392,.F.);
#40912=ADVANCED_FACE('',(#5191),#39393,.T.);
#40913=ADVANCED_FACE('',(#5192),#39394,.F.);
#40914=ADVANCED_FACE('',(#5193),#2044,.F.);
#40915=ADVANCED_FACE('',(#5194),#39395,.T.);
#40916=ADVANCED_FACE('',(#5195),#39396,.F.);
#40917=ADVANCED_FACE('',(#5196),#2045,.F.);
#40918=ADVANCED_FACE('',(#5197),#39397,.F.);
#40919=ADVANCED_FACE('',(#5198),#39398,.F.);
#40920=ADVANCED_FACE('',(#5199),#39399,.T.);
#40921=ADVANCED_FACE('',(#5200),#39400,.F.);
#40922=ADVANCED_FACE('',(#5201),#2046,.F.);
#40923=ADVANCED_FACE('',(#5202),#39401,.T.);
#40924=ADVANCED_FACE('',(#5203),#39402,.F.);
#40925=ADVANCED_FACE('',(#5204),#2047,.F.);
#40926=ADVANCED_FACE('',(#5205),#39403,.F.);
#40927=ADVANCED_FACE('',(#5206),#39404,.F.);
#40928=ADVANCED_FACE('',(#5207),#2048,.T.);
#40929=ADVANCED_FACE('',(#5208),#39405,.F.);
#40930=ADVANCED_FACE('',(#5209),#2049,.F.);
#40931=ADVANCED_FACE('',(#5210),#39406,.F.);
#40932=ADVANCED_FACE('',(#5211),#39407,.F.);
#40933=ADVANCED_FACE('',(#5212),#39408,.F.);
#40934=ADVANCED_FACE('',(#5213),#2050,.T.);
#40935=ADVANCED_FACE('',(#5214),#39409,.F.);
#40936=ADVANCED_FACE('',(#5215),#39410,.F.);
#40937=ADVANCED_FACE('',(#5216),#2051,.T.);
#40938=ADVANCED_FACE('',(#5217),#39411,.F.);
#40939=ADVANCED_FACE('',(#5218),#39412,.F.);
#40940=ADVANCED_FACE('',(#5219),#39413,.F.);
#40941=ADVANCED_FACE('',(#5220),#39414,.F.);
#40942=ADVANCED_FACE('',(#5221),#39415,.F.);
#40943=ADVANCED_FACE('',(#5222),#39416,.F.);
#40944=ADVANCED_FACE('',(#5223),#39417,.F.);
#40945=ADVANCED_FACE('',(#5224),#39418,.F.);
#40946=ADVANCED_FACE('',(#5225),#39419,.F.);
#40947=ADVANCED_FACE('',(#5226),#39420,.F.);
#40948=ADVANCED_FACE('',(#5227),#39421,.F.);
#40949=ADVANCED_FACE('',(#5228),#39422,.F.);
#40950=ADVANCED_FACE('',(#5229),#2052,.T.);
#40951=ADVANCED_FACE('',(#5230),#2053,.T.);
#40952=ADVANCED_FACE('',(#5231),#2054,.T.);
#40953=ADVANCED_FACE('',(#5232),#2055,.T.);
#40954=ADVANCED_FACE('',(#5233),#2056,.F.);
#40955=ADVANCED_FACE('',(#5234),#39423,.F.);
#40956=ADVANCED_FACE('',(#5235),#39424,.F.);
#40957=ADVANCED_FACE('',(#5236),#39425,.F.);
#40958=ADVANCED_FACE('',(#5237),#39426,.F.);
#40959=ADVANCED_FACE('',(#5238),#39427,.F.);
#40960=ADVANCED_FACE('',(#5239),#39428,.F.);
#40961=ADVANCED_FACE('',(#5240),#39429,.F.);
#40962=ADVANCED_FACE('',(#5241),#39430,.F.);
#40963=ADVANCED_FACE('',(#5242),#39431,.F.);
#40964=ADVANCED_FACE('',(#5243),#39432,.F.);
#40965=ADVANCED_FACE('',(#5244),#39433,.F.);
#40966=ADVANCED_FACE('',(#5245),#39434,.F.);
#40967=ADVANCED_FACE('',(#5246),#2057,.T.);
#40968=ADVANCED_FACE('',(#5247),#39435,.F.);
#40969=ADVANCED_FACE('',(#5248),#2058,.F.);
#40970=ADVANCED_FACE('',(#5249),#39436,.F.);
#40971=ADVANCED_FACE('',(#5250),#39437,.F.);
#40972=ADVANCED_FACE('',(#5251),#39438,.F.);
#40973=ADVANCED_FACE('',(#5252),#2059,.T.);
#40974=ADVANCED_FACE('',(#5253),#39439,.F.);
#40975=ADVANCED_FACE('',(#5254),#2060,.T.);
#40976=ADVANCED_FACE('',(#5255),#39440,.F.);
#40977=ADVANCED_FACE('',(#5256),#2061,.F.);
#40978=ADVANCED_FACE('',(#5257),#39441,.F.);
#40979=ADVANCED_FACE('',(#5258),#39442,.F.);
#40980=ADVANCED_FACE('',(#5259),#39443,.F.);
#40981=ADVANCED_FACE('',(#5260),#2062,.T.);
#40982=ADVANCED_FACE('',(#5261),#39444,.F.);
#40983=ADVANCED_FACE('',(#5262),#2063,.T.);
#40984=ADVANCED_FACE('',(#5263),#39445,.F.);
#40985=ADVANCED_FACE('',(#5264),#2064,.F.);
#40986=ADVANCED_FACE('',(#5265),#39446,.F.);
#40987=ADVANCED_FACE('',(#5266),#39447,.F.);
#40988=ADVANCED_FACE('',(#5267),#39448,.F.);
#40989=ADVANCED_FACE('',(#5268),#2065,.T.);
#40990=ADVANCED_FACE('',(#5269),#39449,.F.);
#40991=ADVANCED_FACE('',(#5270),#2066,.T.);
#40992=ADVANCED_FACE('',(#5271),#39450,.F.);
#40993=ADVANCED_FACE('',(#5272),#2067,.F.);
#40994=ADVANCED_FACE('',(#5273),#39451,.F.);
#40995=ADVANCED_FACE('',(#5274),#39452,.F.);
#40996=ADVANCED_FACE('',(#5275),#39453,.F.);
#40997=ADVANCED_FACE('',(#5276),#2068,.T.);
#40998=ADVANCED_FACE('',(#5277),#39454,.F.);
#40999=ADVANCED_FACE('',(#5278),#2069,.T.);
#41000=ADVANCED_FACE('',(#5279),#39455,.F.);
#41001=ADVANCED_FACE('',(#5280),#2070,.F.);
#41002=ADVANCED_FACE('',(#5281),#39456,.F.);
#41003=ADVANCED_FACE('',(#5282),#39457,.F.);
#41004=ADVANCED_FACE('',(#5283),#39458,.F.);
#41005=ADVANCED_FACE('',(#5284),#2071,.T.);
#41006=ADVANCED_FACE('',(#5285),#39459,.F.);
#41007=ADVANCED_FACE('',(#5286),#2072,.T.);
#41008=ADVANCED_FACE('',(#5287),#39460,.F.);
#41009=ADVANCED_FACE('',(#5288),#2073,.F.);
#41010=ADVANCED_FACE('',(#5289),#39461,.F.);
#41011=ADVANCED_FACE('',(#5290),#39462,.F.);
#41012=ADVANCED_FACE('',(#5291),#39463,.F.);
#41013=ADVANCED_FACE('',(#5292),#2074,.T.);
#41014=ADVANCED_FACE('',(#5293),#39464,.F.);
#41015=ADVANCED_FACE('',(#5294),#2075,.T.);
#41016=ADVANCED_FACE('',(#5295),#39465,.F.);
#41017=ADVANCED_FACE('',(#5296),#2076,.F.);
#41018=ADVANCED_FACE('',(#5297),#39466,.F.);
#41019=ADVANCED_FACE('',(#5298),#39467,.F.);
#41020=ADVANCED_FACE('',(#5299),#39468,.F.);
#41021=ADVANCED_FACE('',(#5300),#2077,.T.);
#41022=ADVANCED_FACE('',(#5301),#39469,.F.);
#41023=ADVANCED_FACE('',(#5302),#2078,.T.);
#41024=ADVANCED_FACE('',(#5303),#39470,.F.);
#41025=ADVANCED_FACE('',(#5304),#2079,.F.);
#41026=ADVANCED_FACE('',(#5305),#39471,.F.);
#41027=ADVANCED_FACE('',(#5306),#39472,.F.);
#41028=ADVANCED_FACE('',(#5307),#39473,.F.);
#41029=ADVANCED_FACE('',(#5308),#2080,.T.);
#41030=ADVANCED_FACE('',(#5309),#39474,.F.);
#41031=ADVANCED_FACE('',(#5310),#2081,.T.);
#41032=ADVANCED_FACE('',(#5311),#39475,.F.);
#41033=ADVANCED_FACE('',(#5312),#2082,.F.);
#41034=ADVANCED_FACE('',(#5313),#39476,.F.);
#41035=ADVANCED_FACE('',(#5314),#39477,.F.);
#41036=ADVANCED_FACE('',(#5315),#39478,.F.);
#41037=ADVANCED_FACE('',(#5316),#2083,.T.);
#41038=ADVANCED_FACE('',(#5317),#39479,.F.);
#41039=ADVANCED_FACE('',(#5318),#2084,.T.);
#41040=ADVANCED_FACE('',(#5319),#39480,.F.);
#41041=ADVANCED_FACE('',(#5320),#2085,.F.);
#41042=ADVANCED_FACE('',(#5321),#39481,.F.);
#41043=ADVANCED_FACE('',(#5322),#39482,.F.);
#41044=ADVANCED_FACE('',(#5323),#39483,.F.);
#41045=ADVANCED_FACE('',(#5324),#2086,.T.);
#41046=ADVANCED_FACE('',(#5325),#39484,.F.);
#41047=ADVANCED_FACE('',(#5326),#2087,.T.);
#41048=ADVANCED_FACE('',(#5327),#39485,.F.);
#41049=ADVANCED_FACE('',(#5328),#2088,.F.);
#41050=ADVANCED_FACE('',(#5329),#39486,.F.);
#41051=ADVANCED_FACE('',(#5330),#39487,.F.);
#41052=ADVANCED_FACE('',(#5331),#39488,.F.);
#41053=ADVANCED_FACE('',(#5332),#2089,.T.);
#41054=ADVANCED_FACE('',(#5333),#39489,.F.);
#41055=ADVANCED_FACE('',(#5334),#2090,.T.);
#41056=ADVANCED_FACE('',(#5335),#39490,.F.);
#41057=ADVANCED_FACE('',(#5336),#2091,.F.);
#41058=ADVANCED_FACE('',(#5337),#39491,.F.);
#41059=ADVANCED_FACE('',(#5338),#39492,.F.);
#41060=ADVANCED_FACE('',(#5339),#39493,.F.);
#41061=ADVANCED_FACE('',(#5340),#2092,.T.);
#41062=ADVANCED_FACE('',(#5341),#39494,.F.);
#41063=ADVANCED_FACE('',(#5342),#39495,.F.);
#41064=ADVANCED_FACE('',(#5343),#39496,.F.);
#41065=ADVANCED_FACE('',(#5344),#2093,.T.);
#41066=ADVANCED_FACE('',(#5345),#39497,.F.);
#41067=ADVANCED_FACE('',(#5346),#2094,.F.);
#41068=ADVANCED_FACE('',(#5347),#39498,.F.);
#41069=ADVANCED_FACE('',(#5348),#39499,.F.);
#41070=ADVANCED_FACE('',(#5349),#39500,.F.);
#41071=ADVANCED_FACE('',(#5350),#2095,.T.);
#41072=ADVANCED_FACE('',(#5351),#39501,.F.);
#41073=ADVANCED_FACE('',(#5352),#39502,.F.);
#41074=ADVANCED_FACE('',(#5353),#2096,.T.);
#41075=ADVANCED_FACE('',(#5354),#39503,.F.);
#41076=ADVANCED_FACE('',(#5355),#2097,.F.);
#41077=ADVANCED_FACE('',(#5356),#39504,.F.);
#41078=ADVANCED_FACE('',(#5357),#39505,.F.);
#41079=ADVANCED_FACE('',(#5358),#39506,.F.);
#41080=ADVANCED_FACE('',(#5359),#2098,.T.);
#41081=ADVANCED_FACE('',(#5360),#39507,.F.);
#41082=ADVANCED_FACE('',(#5361),#39508,.F.);
#41083=ADVANCED_FACE('',(#5362),#2099,.T.);
#41084=ADVANCED_FACE('',(#5363),#39509,.F.);
#41085=ADVANCED_FACE('',(#5364),#2100,.F.);
#41086=ADVANCED_FACE('',(#5365),#39510,.F.);
#41087=ADVANCED_FACE('',(#5366),#39511,.F.);
#41088=ADVANCED_FACE('',(#5367),#39512,.F.);
#41089=ADVANCED_FACE('',(#5368),#2101,.T.);
#41090=ADVANCED_FACE('',(#5369),#39513,.F.);
#41091=ADVANCED_FACE('',(#5370),#39514,.F.);
#41092=ADVANCED_FACE('',(#5371),#2102,.T.);
#41093=ADVANCED_FACE('',(#5372),#39515,.F.);
#41094=ADVANCED_FACE('',(#5373),#2103,.F.);
#41095=ADVANCED_FACE('',(#5374),#39516,.F.);
#41096=ADVANCED_FACE('',(#5375),#39517,.F.);
#41097=ADVANCED_FACE('',(#5376),#39518,.F.);
#41098=ADVANCED_FACE('',(#5377),#2104,.T.);
#41099=ADVANCED_FACE('',(#5378),#39519,.F.);
#41100=ADVANCED_FACE('',(#5379),#39520,.F.);
#41101=ADVANCED_FACE('',(#5380),#2105,.T.);
#41102=ADVANCED_FACE('',(#5381),#39521,.F.);
#41103=ADVANCED_FACE('',(#5382),#2106,.F.);
#41104=ADVANCED_FACE('',(#5383),#39522,.F.);
#41105=ADVANCED_FACE('',(#5384),#39523,.F.);
#41106=ADVANCED_FACE('',(#5385),#39524,.F.);
#41107=ADVANCED_FACE('',(#5386),#2107,.T.);
#41108=ADVANCED_FACE('',(#5387),#39525,.F.);
#41109=ADVANCED_FACE('',(#5388),#39526,.F.);
#41110=ADVANCED_FACE('',(#5389),#2108,.T.);
#41111=ADVANCED_FACE('',(#5390),#39527,.F.);
#41112=ADVANCED_FACE('',(#5391),#2109,.F.);
#41113=ADVANCED_FACE('',(#5392),#39528,.F.);
#41114=ADVANCED_FACE('',(#5393),#39529,.F.);
#41115=ADVANCED_FACE('',(#5394),#39530,.F.);
#41116=ADVANCED_FACE('',(#5395),#2110,.T.);
#41117=ADVANCED_FACE('',(#5396),#39531,.F.);
#41118=ADVANCED_FACE('',(#5397),#39532,.F.);
#41119=ADVANCED_FACE('',(#5398),#2111,.T.);
#41120=ADVANCED_FACE('',(#5399),#39533,.F.);
#41121=ADVANCED_FACE('',(#5400),#2112,.F.);
#41122=ADVANCED_FACE('',(#5401),#39534,.F.);
#41123=ADVANCED_FACE('',(#5402),#39535,.F.);
#41124=ADVANCED_FACE('',(#5403),#39536,.F.);
#41125=ADVANCED_FACE('',(#5404),#2113,.T.);
#41126=ADVANCED_FACE('',(#5405),#39537,.F.);
#41127=ADVANCED_FACE('',(#5406),#39538,.F.);
#41128=ADVANCED_FACE('',(#5407),#2114,.T.);
#41129=ADVANCED_FACE('',(#5408),#39539,.F.);
#41130=ADVANCED_FACE('',(#5409),#2115,.F.);
#41131=ADVANCED_FACE('',(#5410),#39540,.F.);
#41132=ADVANCED_FACE('',(#5411),#39541,.F.);
#41133=ADVANCED_FACE('',(#5412),#39542,.F.);
#41134=ADVANCED_FACE('',(#5413),#2116,.T.);
#41135=ADVANCED_FACE('',(#5414),#39543,.F.);
#41136=ADVANCED_FACE('',(#5415),#39544,.F.);
#41137=ADVANCED_FACE('',(#5416),#2117,.T.);
#41138=ADVANCED_FACE('',(#5417),#39545,.F.);
#41139=ADVANCED_FACE('',(#5418),#2118,.F.);
#41140=ADVANCED_FACE('',(#5419),#39546,.F.);
#41141=ADVANCED_FACE('',(#5420),#39547,.F.);
#41142=ADVANCED_FACE('',(#5421),#39548,.F.);
#41143=ADVANCED_FACE('',(#5422),#2119,.T.);
#41144=ADVANCED_FACE('',(#5423),#39549,.F.);
#41145=ADVANCED_FACE('',(#5424),#39550,.F.);
#41146=ADVANCED_FACE('',(#5425),#2120,.T.);
#41147=ADVANCED_FACE('',(#5426),#39551,.F.);
#41148=ADVANCED_FACE('',(#5427),#2121,.F.);
#41149=ADVANCED_FACE('',(#5428),#39552,.F.);
#41150=ADVANCED_FACE('',(#5429),#39553,.F.);
#41151=ADVANCED_FACE('',(#5430),#39554,.F.);
#41152=ADVANCED_FACE('',(#5431),#2122,.T.);
#41153=ADVANCED_FACE('',(#5432),#39555,.F.);
#41154=ADVANCED_FACE('',(#5433),#39556,.F.);
#41155=ADVANCED_FACE('',(#5434),#2123,.T.);
#41156=ADVANCED_FACE('',(#5435),#39557,.F.);
#41157=ADVANCED_FACE('',(#5436),#2124,.F.);
#41158=ADVANCED_FACE('',(#5437),#39558,.F.);
#41159=ADVANCED_FACE('',(#5438),#39559,.F.);
#41160=ADVANCED_FACE('',(#5439),#39560,.F.);
#41161=ADVANCED_FACE('',(#5440),#2125,.T.);
#41162=ADVANCED_FACE('',(#5441),#39561,.F.);
#41163=ADVANCED_FACE('',(#5442),#39562,.F.);
#41164=ADVANCED_FACE('',(#5443),#2126,.T.);
#41165=ADVANCED_FACE('',(#5444),#39563,.F.);
#41166=ADVANCED_FACE('',(#5445),#2127,.F.);
#41167=ADVANCED_FACE('',(#5446),#39564,.F.);
#41168=ADVANCED_FACE('',(#5447),#39565,.F.);
#41169=ADVANCED_FACE('',(#5448),#39566,.F.);
#41170=ADVANCED_FACE('',(#5449),#2128,.T.);
#41171=ADVANCED_FACE('',(#5450),#39567,.F.);
#41172=ADVANCED_FACE('',(#5451),#2129,.T.);
#41173=ADVANCED_FACE('',(#5452),#39568,.F.);
#41174=ADVANCED_FACE('',(#5453),#2130,.F.);
#41175=ADVANCED_FACE('',(#5454),#39569,.F.);
#41176=ADVANCED_FACE('',(#5455),#39570,.F.);
#41177=ADVANCED_FACE('',(#5456),#39571,.F.);
#41178=ADVANCED_FACE('',(#5457),#2131,.T.);
#41179=ADVANCED_FACE('',(#5458),#39572,.F.);
#41180=ADVANCED_FACE('',(#5459),#2132,.T.);
#41181=ADVANCED_FACE('',(#5460),#39573,.F.);
#41182=ADVANCED_FACE('',(#5461),#2133,.F.);
#41183=ADVANCED_FACE('',(#5462),#39574,.F.);
#41184=ADVANCED_FACE('',(#5463),#39575,.F.);
#41185=ADVANCED_FACE('',(#5464),#39576,.F.);
#41186=ADVANCED_FACE('',(#5465),#2134,.T.);
#41187=ADVANCED_FACE('',(#5466),#39577,.F.);
#41188=ADVANCED_FACE('',(#5467),#2135,.T.);
#41189=ADVANCED_FACE('',(#5468),#39578,.F.);
#41190=ADVANCED_FACE('',(#5469),#2136,.F.);
#41191=ADVANCED_FACE('',(#5470),#39579,.F.);
#41192=ADVANCED_FACE('',(#5471),#39580,.F.);
#41193=ADVANCED_FACE('',(#5472),#39581,.F.);
#41194=ADVANCED_FACE('',(#5473),#2137,.T.);
#41195=ADVANCED_FACE('',(#5474),#39582,.F.);
#41196=ADVANCED_FACE('',(#5475),#2138,.T.);
#41197=ADVANCED_FACE('',(#5476),#39583,.F.);
#41198=ADVANCED_FACE('',(#5477),#2139,.F.);
#41199=ADVANCED_FACE('',(#5478),#39584,.F.);
#41200=ADVANCED_FACE('',(#5479),#39585,.F.);
#41201=ADVANCED_FACE('',(#5480),#39586,.F.);
#41202=ADVANCED_FACE('',(#5481),#2140,.T.);
#41203=ADVANCED_FACE('',(#5482),#39587,.F.);
#41204=ADVANCED_FACE('',(#5483),#2141,.T.);
#41205=ADVANCED_FACE('',(#5484),#39588,.F.);
#41206=ADVANCED_FACE('',(#5485),#2142,.F.);
#41207=ADVANCED_FACE('',(#5486),#39589,.F.);
#41208=ADVANCED_FACE('',(#5487),#39590,.F.);
#41209=ADVANCED_FACE('',(#5488),#39591,.F.);
#41210=ADVANCED_FACE('',(#5489),#2143,.T.);
#41211=ADVANCED_FACE('',(#5490),#39592,.F.);
#41212=ADVANCED_FACE('',(#5491),#2144,.T.);
#41213=ADVANCED_FACE('',(#5492),#39593,.F.);
#41214=ADVANCED_FACE('',(#5493),#2145,.F.);
#41215=ADVANCED_FACE('',(#5494),#39594,.F.);
#41216=ADVANCED_FACE('',(#5495),#39595,.F.);
#41217=ADVANCED_FACE('',(#5496),#39596,.F.);
#41218=ADVANCED_FACE('',(#5497),#2146,.T.);
#41219=ADVANCED_FACE('',(#5498),#39597,.F.);
#41220=ADVANCED_FACE('',(#5499),#2147,.T.);
#41221=ADVANCED_FACE('',(#5500),#39598,.F.);
#41222=ADVANCED_FACE('',(#5501),#2148,.F.);
#41223=ADVANCED_FACE('',(#5502),#39599,.F.);
#41224=ADVANCED_FACE('',(#5503),#39600,.F.);
#41225=ADVANCED_FACE('',(#5504),#39601,.F.);
#41226=ADVANCED_FACE('',(#5505),#2149,.T.);
#41227=ADVANCED_FACE('',(#5506),#39602,.F.);
#41228=ADVANCED_FACE('',(#5507),#2150,.T.);
#41229=ADVANCED_FACE('',(#5508),#39603,.F.);
#41230=ADVANCED_FACE('',(#5509),#2151,.F.);
#41231=ADVANCED_FACE('',(#5510),#39604,.F.);
#41232=ADVANCED_FACE('',(#5511),#39605,.F.);
#41233=ADVANCED_FACE('',(#5512),#39606,.F.);
#41234=ADVANCED_FACE('',(#5513),#2152,.T.);
#41235=ADVANCED_FACE('',(#5514),#39607,.F.);
#41236=ADVANCED_FACE('',(#5515),#2153,.T.);
#41237=ADVANCED_FACE('',(#5516),#39608,.F.);
#41238=ADVANCED_FACE('',(#5517),#2154,.F.);
#41239=ADVANCED_FACE('',(#5518),#39609,.F.);
#41240=ADVANCED_FACE('',(#5519),#39610,.F.);
#41241=ADVANCED_FACE('',(#5520),#39611,.F.);
#41242=ADVANCED_FACE('',(#5521),#2155,.T.);
#41243=ADVANCED_FACE('',(#5522),#39612,.F.);
#41244=ADVANCED_FACE('',(#5523),#2156,.T.);
#41245=ADVANCED_FACE('',(#5524),#39613,.F.);
#41246=ADVANCED_FACE('',(#5525),#2157,.F.);
#41247=ADVANCED_FACE('',(#5526),#39614,.F.);
#41248=ADVANCED_FACE('',(#5527),#39615,.F.);
#41249=ADVANCED_FACE('',(#5528),#39616,.F.);
#41250=ADVANCED_FACE('',(#5529),#2158,.T.);
#41251=ADVANCED_FACE('',(#5530),#39617,.F.);
#41252=ADVANCED_FACE('',(#5531),#2159,.T.);
#41253=ADVANCED_FACE('',(#5532),#39618,.F.);
#41254=ADVANCED_FACE('',(#5533),#2160,.F.);
#41255=ADVANCED_FACE('',(#5534),#39619,.F.);
#41256=ADVANCED_FACE('',(#5535),#39620,.F.);
#41257=ADVANCED_FACE('',(#5536),#39621,.F.);
#41258=ADVANCED_FACE('',(#5537),#2161,.T.);
#41259=ADVANCED_FACE('',(#5538),#39622,.F.);
#41260=ADVANCED_FACE('',(#5539),#39623,.T.);
#41261=ADVANCED_FACE('',(#5540),#39624,.T.);
#41262=ADVANCED_FACE('',(#5541),#39625,.T.);
#41263=ADVANCED_FACE('',(#5542),#39626,.T.);
#41264=ADVANCED_FACE('',(#5543),#39627,.T.);
#41265=ADVANCED_FACE('',(#5544),#39628,.T.);
#41266=ADVANCED_FACE('',(#5545),#39629,.T.);
#41267=ADVANCED_FACE('',(#5546),#39630,.T.);
#41268=ADVANCED_FACE('',(#5547),#39631,.F.);
#41269=ADVANCED_FACE('',(#5548),#39632,.F.);
#41270=ADVANCED_FACE('',(#5549),#39633,.T.);
#41271=ADVANCED_FACE('',(#5550),#39634,.T.);
#41272=ADVANCED_FACE('',(#5551),#39635,.F.);
#41273=ADVANCED_FACE('',(#5552),#39636,.T.);
#41274=ADVANCED_FACE('',(#5553),#39637,.T.);
#41275=ADVANCED_FACE('',(#5554),#39638,.T.);
#41276=ADVANCED_FACE('',(#5555),#39639,.T.);
#41277=ADVANCED_FACE('',(#5556),#39640,.T.);
#41278=ADVANCED_FACE('',(#5557),#39641,.T.);
#41279=ADVANCED_FACE('',(#5558),#39642,.T.);
#41280=ADVANCED_FACE('',(#5559),#39643,.F.);
#41281=ADVANCED_FACE('',(#5560),#39644,.T.);
#41282=ADVANCED_FACE('',(#5561),#39645,.F.);
#41283=ADVANCED_FACE('',(#5562),#2162,.F.);
#41284=ADVANCED_FACE('',(#5563),#2163,.F.);
#41285=ADVANCED_FACE('',(#5564),#39646,.T.);
#41286=ADVANCED_FACE('',(#5565),#39647,.T.);
#41287=ADVANCED_FACE('',(#5566),#39648,.T.);
#41288=ADVANCED_FACE('',(#5567),#39649,.F.);
#41289=ADVANCED_FACE('',(#5568),#39650,.T.);
#41290=ADVANCED_FACE('',(#5569),#2164,.F.);
#41291=ADVANCED_FACE('',(#5570),#39651,.T.);
#41292=ADVANCED_FACE('',(#5571),#39652,.T.);
#41293=ADVANCED_FACE('',(#5572),#39653,.T.);
#41294=ADVANCED_FACE('',(#5573),#39654,.F.);
#41295=ADVANCED_FACE('',(#5574),#39655,.T.);
#41296=ADVANCED_FACE('',(#5575),#2165,.F.);
#41297=ADVANCED_FACE('',(#5576),#39656,.T.);
#41298=ADVANCED_FACE('',(#5577),#39657,.T.);
#41299=ADVANCED_FACE('',(#5578),#39658,.F.);
#41300=ADVANCED_FACE('',(#5579),#2166,.T.);
#41301=ADVANCED_FACE('',(#5580),#39659,.F.);
#41302=ADVANCED_FACE('',(#5581),#2167,.F.);
#41303=ADVANCED_FACE('',(#5582),#39660,.F.);
#41304=ADVANCED_FACE('',(#5583),#39661,.F.);
#41305=ADVANCED_FACE('',(#5584),#39662,.F.);
#41306=ADVANCED_FACE('',(#5585),#2168,.T.);
#41307=ADVANCED_FACE('',(#5586),#39663,.F.);
#41308=ADVANCED_FACE('',(#5587),#39664,.F.);
#41309=ADVANCED_FACE('',(#5588),#2169,.T.);
#41310=ADVANCED_FACE('',(#5589),#39665,.F.);
#41311=ADVANCED_FACE('',(#5590),#2170,.F.);
#41312=ADVANCED_FACE('',(#5591),#39666,.F.);
#41313=ADVANCED_FACE('',(#5592),#39667,.F.);
#41314=ADVANCED_FACE('',(#5593),#39668,.F.);
#41315=ADVANCED_FACE('',(#5594),#2171,.T.);
#41316=ADVANCED_FACE('',(#5595),#39669,.F.);
#41317=ADVANCED_FACE('',(#5596),#39670,.F.);
#41318=ADVANCED_FACE('',(#5597),#2172,.T.);
#41319=ADVANCED_FACE('',(#5598),#39671,.F.);
#41320=ADVANCED_FACE('',(#5599),#2173,.F.);
#41321=ADVANCED_FACE('',(#5600),#39672,.F.);
#41322=ADVANCED_FACE('',(#5601),#39673,.F.);
#41323=ADVANCED_FACE('',(#5602),#39674,.F.);
#41324=ADVANCED_FACE('',(#5603),#2174,.T.);
#41325=ADVANCED_FACE('',(#5604),#39675,.F.);
#41326=ADVANCED_FACE('',(#5605),#39676,.T.);
#41327=ADVANCED_FACE('',(#5606),#39677,.T.);
#41328=ADVANCED_FACE('',(#5607),#39678,.T.);
#41329=ADVANCED_FACE('',(#5608),#2175,.T.);
#41330=ADVANCED_FACE('',(#5609),#39679,.T.);
#41331=ADVANCED_FACE('',(#5610),#2176,.F.);
#41332=ADVANCED_FACE('',(#5611),#39680,.T.);
#41333=ADVANCED_FACE('',(#5612),#39681,.T.);
#41334=ADVANCED_FACE('',(#5613),#39682,.T.);
#41335=ADVANCED_FACE('',(#5614),#2177,.T.);
#41336=ADVANCED_FACE('',(#5615),#39683,.T.);
#41337=ADVANCED_FACE('',(#5616),#39684,.T.);
#41338=ADVANCED_FACE('',(#5617),#39685,.F.);
#41339=ADVANCED_FACE('',(#5618),#39686,.F.);
#41340=ADVANCED_FACE('',(#5619),#2178,.T.);
#41341=ADVANCED_FACE('',(#5620,#2930,#2931,#2932,#2933,#2934),#39687,.F.);
#41342=ADVANCED_FACE('',(#5621),#39688,.F.);
#41343=ADVANCED_FACE('',(#5622),#2179,.T.);
#41344=ADVANCED_FACE('',(#5623),#2180,.T.);
#41345=ADVANCED_FACE('',(#5624),#39689,.F.);
#41346=ADVANCED_FACE('',(#5625),#39690,.F.);
#41347=ADVANCED_FACE('',(#5626),#2181,.T.);
#41348=ADVANCED_FACE('',(#5627),#2182,.T.);
#41349=ADVANCED_FACE('',(#5628),#39691,.F.);
#41350=ADVANCED_FACE('',(#5629),#2183,.T.);
#41351=ADVANCED_FACE('',(#5630),#2184,.T.);
#41352=ADVANCED_FACE('',(#5631),#2185,.T.);
#41353=ADVANCED_FACE('',(#5632),#2186,.T.);
#41354=ADVANCED_FACE('',(#5633),#39692,.F.);
#41355=ADVANCED_FACE('',(#5634),#2187,.T.);
#41356=ADVANCED_FACE('',(#5635),#2188,.T.);
#41357=ADVANCED_FACE('',(#5636),#39693,.F.);
#41358=ADVANCED_FACE('',(#5637),#39694,.F.);
#41359=ADVANCED_FACE('',(#5638),#2189,.T.);
#41360=ADVANCED_FACE('',(#5639),#2190,.T.);
#41361=ADVANCED_FACE('',(#5640),#39695,.F.);
#41362=ADVANCED_FACE('',(#5641),#39696,.F.);
#41363=ADVANCED_FACE('',(#5642),#405,.T.);
#41364=ADVANCED_FACE('',(#5643),#39697,.F.);
#41365=ADVANCED_FACE('',(#5644),#39698,.F.);
#41366=ADVANCED_FACE('',(#5645),#39699,.T.);
#41367=ADVANCED_FACE('',(#5646),#39700,.F.);
#41368=ADVANCED_FACE('',(#5647),#39701,.F.);
#41369=ADVANCED_FACE('',(#5648),#39702,.T.);
#41370=ADVANCED_FACE('',(#5649),#39703,.T.);
#41371=ADVANCED_FACE('',(#5650),#39704,.F.);
#41372=ADVANCED_FACE('',(#5651),#39705,.F.);
#41373=ADVANCED_FACE('',(#5652),#39706,.F.);
#41374=ADVANCED_FACE('',(#5653),#39707,.T.);
#41375=ADVANCED_FACE('',(#5654),#39708,.T.);
#41376=ADVANCED_FACE('',(#5655),#39709,.T.);
#41377=ADVANCED_FACE('',(#5656),#39710,.F.);
#41378=ADVANCED_FACE('',(#5657),#39711,.T.);
#41379=ADVANCED_FACE('',(#5658),#39712,.F.);
#41380=ADVANCED_FACE('',(#5659),#39713,.F.);
#41381=ADVANCED_FACE('',(#5660),#39714,.T.);
#41382=ADVANCED_FACE('',(#5661),#39715,.F.);
#41383=ADVANCED_FACE('',(#5662),#39716,.T.);
#41384=ADVANCED_FACE('',(#5663),#39717,.T.);
#41385=ADVANCED_FACE('',(#5664),#2191,.F.);
#41386=ADVANCED_FACE('',(#5665),#2192,.T.);
#41387=ADVANCED_FACE('',(#5666),#39718,.F.);
#41388=ADVANCED_FACE('',(#5667),#39719,.T.);
#41389=ADVANCED_FACE('',(#5668),#2193,.T.);
#41390=ADVANCED_FACE('',(#5669),#2194,.F.);
#41391=ADVANCED_FACE('',(#5670),#39720,.F.);
#41392=ADVANCED_FACE('',(#5671),#39721,.F.);
#41393=ADVANCED_FACE('',(#5672),#39722,.F.);
#41394=ADVANCED_FACE('',(#5673),#2195,.T.);
#41395=ADVANCED_FACE('',(#5674),#2196,.T.);
#41396=ADVANCED_FACE('',(#5675),#39723,.T.);
#41397=ADVANCED_FACE('',(#5676),#39724,.T.);
#41398=ADVANCED_FACE('',(#5677),#2197,.F.);
#41399=ADVANCED_FACE('',(#5678),#39725,.T.);
#41400=ADVANCED_FACE('',(#5679),#2198,.F.);
#41401=ADVANCED_FACE('',(#5680),#2199,.T.);
#41402=ADVANCED_FACE('',(#5681),#39726,.F.);
#41403=ADVANCED_FACE('',(#5682),#39727,.T.);
#41404=ADVANCED_FACE('',(#5683),#2200,.T.);
#41405=ADVANCED_FACE('',(#5684),#2201,.F.);
#41406=ADVANCED_FACE('',(#5685),#39728,.F.);
#41407=ADVANCED_FACE('',(#5686),#39729,.F.);
#41408=ADVANCED_FACE('',(#5687),#39730,.F.);
#41409=ADVANCED_FACE('',(#5688),#2202,.T.);
#41410=ADVANCED_FACE('',(#5689),#2203,.T.);
#41411=ADVANCED_FACE('',(#5690),#39731,.T.);
#41412=ADVANCED_FACE('',(#5691),#39732,.T.);
#41413=ADVANCED_FACE('',(#5692),#2204,.F.);
#41414=ADVANCED_FACE('',(#5693),#39733,.F.);
#41415=ADVANCED_FACE('',(#5694),#2205,.F.);
#41416=ADVANCED_FACE('',(#5695),#2206,.T.);
#41417=ADVANCED_FACE('',(#5696),#39734,.T.);
#41418=ADVANCED_FACE('',(#5697),#39735,.F.);
#41419=ADVANCED_FACE('',(#5698),#2207,.T.);
#41420=ADVANCED_FACE('',(#5699),#2208,.F.);
#41421=ADVANCED_FACE('',(#5700),#39736,.T.);
#41422=ADVANCED_FACE('',(#5701),#39737,.T.);
#41423=ADVANCED_FACE('',(#5702),#39738,.T.);
#41424=ADVANCED_FACE('',(#5703),#2209,.T.);
#41425=ADVANCED_FACE('',(#5704),#2210,.T.);
#41426=ADVANCED_FACE('',(#5705),#39739,.F.);
#41427=ADVANCED_FACE('',(#5706),#39740,.F.);
#41428=ADVANCED_FACE('',(#5707),#2211,.F.);
#41429=ADVANCED_FACE('',(#5708),#39741,.F.);
#41430=ADVANCED_FACE('',(#5709),#2212,.F.);
#41431=ADVANCED_FACE('',(#5710),#2213,.T.);
#41432=ADVANCED_FACE('',(#5711),#39742,.T.);
#41433=ADVANCED_FACE('',(#5712),#39743,.F.);
#41434=ADVANCED_FACE('',(#5713),#2214,.T.);
#41435=ADVANCED_FACE('',(#5714),#2215,.F.);
#41436=ADVANCED_FACE('',(#5715),#39744,.T.);
#41437=ADVANCED_FACE('',(#5716),#39745,.T.);
#41438=ADVANCED_FACE('',(#5717),#39746,.T.);
#41439=ADVANCED_FACE('',(#5718),#2216,.T.);
#41440=ADVANCED_FACE('',(#5719),#2217,.T.);
#41441=ADVANCED_FACE('',(#5720),#39747,.F.);
#41442=ADVANCED_FACE('',(#5721),#39748,.F.);
#41443=ADVANCED_FACE('',(#5722),#2218,.F.);
#41444=ADVANCED_FACE('',(#5723),#39749,.F.);
#41445=ADVANCED_FACE('',(#5724),#39750,.F.);
#41446=ADVANCED_FACE('',(#5725),#2219,.T.);
#41447=ADVANCED_FACE('',(#5726),#2220,.T.);
#41448=ADVANCED_FACE('',(#5727),#39751,.T.);
#41449=ADVANCED_FACE('',(#5728),#39752,.F.);
#41450=ADVANCED_FACE('',(#5729),#2221,.T.);
#41451=ADVANCED_FACE('',(#5730),#39753,.F.);
#41452=ADVANCED_FACE('',(#5731),#39754,.T.);
#41453=ADVANCED_FACE('',(#5732),#2222,.T.);
#41454=ADVANCED_FACE('',(#5733),#39755,.T.);
#41455=ADVANCED_FACE('',(#5734),#39756,.F.);
#41456=ADVANCED_FACE('',(#5735),#39757,.F.);
#41457=ADVANCED_FACE('',(#5736),#39758,.F.);
#41458=ADVANCED_FACE('',(#5737),#39759,.F.);
#41459=ADVANCED_FACE('',(#5738),#39760,.T.);
#41460=ADVANCED_FACE('',(#5739),#39761,.T.);
#41461=ADVANCED_FACE('',(#5740),#2223,.T.);
#41462=ADVANCED_FACE('',(#5741),#39762,.F.);
#41463=ADVANCED_FACE('',(#5742),#2224,.T.);
#41464=ADVANCED_FACE('',(#5743),#39763,.T.);
#41465=ADVANCED_FACE('',(#5744),#2225,.T.);
#41466=ADVANCED_FACE('',(#5745),#39764,.T.);
#41467=ADVANCED_FACE('',(#5746),#2226,.T.);
#41468=ADVANCED_FACE('',(#5747),#39765,.T.);
#41469=ADVANCED_FACE('',(#5748),#39766,.T.);
#41470=ADVANCED_FACE('',(#5749),#39767,.F.);
#41471=ADVANCED_FACE('',(#5750),#39768,.F.);
#41472=ADVANCED_FACE('',(#5751),#39769,.F.);
#41473=ADVANCED_FACE('',(#5752,#2935,#2936,#2937,#2938,#2939),#39770,.F.);
#41474=ADVANCED_FACE('',(#5753,#2940,#2941,#2942,#2943,#2944),#39771,.T.);
#41475=ADVANCED_FACE('',(#5754),#39772,.F.);
#41476=ADVANCED_FACE('',(#5755),#39773,.F.);
#41477=ADVANCED_FACE('',(#5756),#39774,.F.);
#41478=ADVANCED_FACE('',(#5757),#39775,.F.);
#41479=ADVANCED_FACE('',(#5758),#39776,.F.);
#41480=ADVANCED_FACE('',(#5759),#39777,.F.);
#41481=ADVANCED_FACE('',(#5760),#39778,.F.);
#41482=ADVANCED_FACE('',(#5761),#39779,.F.);
#41483=ADVANCED_FACE('',(#5762),#39780,.F.);
#41484=ADVANCED_FACE('',(#5763),#39781,.F.);
#41485=ADVANCED_FACE('',(#5764),#39782,.F.);
#41486=ADVANCED_FACE('',(#5765),#39783,.F.);
#41487=ADVANCED_FACE('',(#5766),#39784,.F.);
#41488=ADVANCED_FACE('',(#5767),#39785,.F.);
#41489=ADVANCED_FACE('',(#5768),#39786,.F.);
#41490=ADVANCED_FACE('',(#5769),#39787,.F.);
#41491=ADVANCED_FACE('',(#5770),#39788,.F.);
#41492=ADVANCED_FACE('',(#5771),#39789,.F.);
#41493=ADVANCED_FACE('',(#5772),#39790,.F.);
#41494=ADVANCED_FACE('',(#5773),#39791,.F.);
#41495=ADVANCED_FACE('',(#5774),#39792,.F.);
#41496=ADVANCED_FACE('',(#5775),#39793,.F.);
#41497=ADVANCED_FACE('',(#5776),#39794,.F.);
#41498=ADVANCED_FACE('',(#5777),#39795,.F.);
#41499=ADVANCED_FACE('',(#5778),#39796,.F.);
#41500=ADVANCED_FACE('',(#5779),#39797,.F.);
#41501=ADVANCED_FACE('',(#5780),#39798,.F.);
#41502=ADVANCED_FACE('',(#5781),#39799,.F.);
#41503=ADVANCED_FACE('',(#5782),#39800,.F.);
#41504=ADVANCED_FACE('',(#5783),#39801,.T.);
#41505=ADVANCED_FACE('',(#5784),#39802,.T.);
#41506=ADVANCED_FACE('',(#5785),#39803,.F.);
#41507=ADVANCED_FACE('',(#5786),#39804,.F.);
#41508=ADVANCED_FACE('',(#5787),#39805,.T.);
#41509=ADVANCED_FACE('',(#5788),#39806,.F.);
#41510=ADVANCED_FACE('',(#5789),#39807,.F.);
#41511=ADVANCED_FACE('',(#5790),#39808,.T.);
#41512=ADVANCED_FACE('',(#5791),#39809,.T.);
#41513=ADVANCED_FACE('',(#5792),#39810,.F.);
#41514=ADVANCED_FACE('',(#5793),#39811,.F.);
#41515=ADVANCED_FACE('',(#5794),#39812,.T.);
#41516=ADVANCED_FACE('',(#5795),#39813,.T.);
#41517=ADVANCED_FACE('',(#5796),#39814,.F.);
#41518=ADVANCED_FACE('',(#5797),#39815,.F.);
#41519=ADVANCED_FACE('',(#5798),#39816,.T.);
#41520=ADVANCED_FACE('',(#5799),#39817,.F.);
#41521=ADVANCED_FACE('',(#5800),#39818,.T.);
#41522=ADVANCED_FACE('',(#5801),#39819,.T.);
#41523=ADVANCED_FACE('',(#5802),#39820,.F.);
#41524=ADVANCED_FACE('',(#5803),#39821,.T.);
#41525=ADVANCED_FACE('',(#5804),#39822,.T.);
#41526=ADVANCED_FACE('',(#5805),#39823,.F.);
#41527=ADVANCED_FACE('',(#5806),#39824,.F.);
#41528=ADVANCED_FACE('',(#5807),#39825,.T.);
#41529=ADVANCED_FACE('',(#5808),#39826,.F.);
#41530=ADVANCED_FACE('',(#5809),#39827,.F.);
#41531=ADVANCED_FACE('',(#5810),#39828,.T.);
#41532=ADVANCED_FACE('',(#5811),#39829,.T.);
#41533=ADVANCED_FACE('',(#5812),#39830,.F.);
#41534=ADVANCED_FACE('',(#5813),#39831,.F.);
#41535=ADVANCED_FACE('',(#5814),#39832,.T.);
#41536=ADVANCED_FACE('',(#5815),#39833,.T.);
#41537=ADVANCED_FACE('',(#5816),#39834,.F.);
#41538=ADVANCED_FACE('',(#5817),#39835,.F.);
#41539=ADVANCED_FACE('',(#5818),#39836,.T.);
#41540=ADVANCED_FACE('',(#5819),#39837,.F.);
#41541=ADVANCED_FACE('',(#5820),#39838,.T.);
#41542=ADVANCED_FACE('',(#5821),#39839,.T.);
#41543=ADVANCED_FACE('',(#5822),#39840,.F.);
#41544=ADVANCED_FACE('',(#5823),#39841,.F.);
#41545=ADVANCED_FACE('',(#5824),#39842,.F.);
#41546=ADVANCED_FACE('',(#5825),#39843,.T.);
#41547=ADVANCED_FACE('',(#5826),#39844,.T.);
#41548=ADVANCED_FACE('',(#5827),#39845,.F.);
#41549=ADVANCED_FACE('',(#5828),#39846,.T.);
#41550=ADVANCED_FACE('',(#5829),#39847,.T.);
#41551=ADVANCED_FACE('',(#5830),#39848,.F.);
#41552=ADVANCED_FACE('',(#5831),#39849,.F.);
#41553=ADVANCED_FACE('',(#5832),#39850,.T.);
#41554=ADVANCED_FACE('',(#5833),#39851,.T.);
#41555=ADVANCED_FACE('',(#5834),#39852,.F.);
#41556=ADVANCED_FACE('',(#5835),#39853,.F.);
#41557=ADVANCED_FACE('',(#5836),#39854,.T.);
#41558=ADVANCED_FACE('',(#5837),#39855,.T.);
#41559=ADVANCED_FACE('',(#5838),#39856,.F.);
#41560=ADVANCED_FACE('',(#5839),#39857,.T.);
#41561=ADVANCED_FACE('',(#5840),#39858,.F.);
#41562=ADVANCED_FACE('',(#5841),#39859,.F.);
#41563=ADVANCED_FACE('',(#5842),#39860,.T.);
#41564=ADVANCED_FACE('',(#5843),#39861,.T.);
#41565=ADVANCED_FACE('',(#5844),#39862,.T.);
#41566=ADVANCED_FACE('',(#5845),#39863,.F.);
#41567=ADVANCED_FACE('',(#5846),#39864,.F.);
#41568=ADVANCED_FACE('',(#5847),#39865,.T.);
#41569=ADVANCED_FACE('',(#5848),#39866,.F.);
#41570=ADVANCED_FACE('',(#5849),#39867,.F.);
#41571=ADVANCED_FACE('',(#5850),#39868,.T.);
#41572=ADVANCED_FACE('',(#5851),#39869,.T.);
#41573=ADVANCED_FACE('',(#5852),#39870,.F.);
#41574=ADVANCED_FACE('',(#5853),#39871,.F.);
#41575=ADVANCED_FACE('',(#5854),#39872,.T.);
#41576=ADVANCED_FACE('',(#5855),#39873,.T.);
#41577=ADVANCED_FACE('',(#5856),#39874,.F.);
#41578=ADVANCED_FACE('',(#5857),#39875,.F.);
#41579=ADVANCED_FACE('',(#5858),#39876,.T.);
#41580=ADVANCED_FACE('',(#5859),#39877,.F.);
#41581=ADVANCED_FACE('',(#5860),#39878,.T.);
#41582=ADVANCED_FACE('',(#5861),#39879,.T.);
#41583=ADVANCED_FACE('',(#5862),#39880,.F.);
#41584=ADVANCED_FACE('',(#5863),#39881,.F.);
#41585=ADVANCED_FACE('',(#5864),#39882,.F.);
#41586=ADVANCED_FACE('',(#5865),#39883,.F.);
#41587=ADVANCED_FACE('',(#5866),#39884,.F.);
#41588=ADVANCED_FACE('',(#5867),#39885,.F.);
#41589=ADVANCED_FACE('',(#5868),#39886,.T.);
#41590=ADVANCED_FACE('',(#5869),#39887,.T.);
#41591=ADVANCED_FACE('',(#5870),#39888,.T.);
#41592=ADVANCED_FACE('',(#5871),#39889,.T.);
#41593=ADVANCED_FACE('',(#5872),#39890,.T.);
#41594=ADVANCED_FACE('',(#5873),#39891,.F.);
#41595=ADVANCED_FACE('',(#5874),#39892,.T.);
#41596=ADVANCED_FACE('',(#5875),#39893,.F.);
#41597=ADVANCED_FACE('',(#5876),#39894,.T.);
#41598=ADVANCED_FACE('',(#5877),#39895,.T.);
#41599=ADVANCED_FACE('',(#5878),#39896,.T.);
#41600=ADVANCED_FACE('',(#5879),#39897,.T.);
#41601=ADVANCED_FACE('',(#5880),#2227,.T.);
#41602=ADVANCED_FACE('',(#5881),#39898,.T.);
#41603=ADVANCED_FACE('',(#5882),#39899,.F.);
#41604=ADVANCED_FACE('',(#5883,#2945),#39900,.F.);
#41605=ADVANCED_FACE('',(#5884),#39901,.F.);
#41606=ADVANCED_FACE('',(#5885),#39902,.T.);
#41607=ADVANCED_FACE('',(#5886),#39903,.T.);
#41608=ADVANCED_FACE('',(#5887),#2228,.T.);
#41609=ADVANCED_FACE('',(#5888),#39904,.T.);
#41610=ADVANCED_FACE('',(#5889),#39905,.F.);
#41611=ADVANCED_FACE('',(#5890),#39906,.F.);
#41612=ADVANCED_FACE('',(#5891),#39907,.F.);
#41613=ADVANCED_FACE('',(#5892),#39908,.T.);
#41614=ADVANCED_FACE('',(#5893),#39909,.F.);
#41615=ADVANCED_FACE('',(#5894),#39910,.F.);
#41616=ADVANCED_FACE('',(#5895),#2229,.T.);
#41617=ADVANCED_FACE('',(#5896),#39911,.F.);
#41618=ADVANCED_FACE('',(#5897),#39912,.F.);
#41619=ADVANCED_FACE('',(#5898),#2230,.T.);
#41620=ADVANCED_FACE('',(#5899),#39913,.F.);
#41621=ADVANCED_FACE('',(#5900),#2231,.T.);
#41622=ADVANCED_FACE('',(#5901),#39914,.F.);
#41623=ADVANCED_FACE('',(#5902),#39915,.F.);
#41624=ADVANCED_FACE('',(#5903),#39916,.F.);
#41625=ADVANCED_FACE('',(#5904),#39917,.F.);
#41626=ADVANCED_FACE('',(#5905),#2232,.T.);
#41627=ADVANCED_FACE('',(#5906),#39918,.F.);
#41628=ADVANCED_FACE('',(#5907),#39919,.F.);
#41629=ADVANCED_FACE('',(#5908),#39920,.T.);
#41630=ADVANCED_FACE('',(#5909),#39921,.T.);
#41631=ADVANCED_FACE('',(#5910),#39922,.T.);
#41632=ADVANCED_FACE('',(#5911),#39923,.F.);
#41633=ADVANCED_FACE('',(#5912),#2233,.T.);
#41634=ADVANCED_FACE('',(#5913),#39924,.T.);
#41635=ADVANCED_FACE('',(#5914),#2234,.T.);
#41636=ADVANCED_FACE('',(#5915),#39925,.T.);
#41637=ADVANCED_FACE('',(#5916),#39926,.F.);
#41638=ADVANCED_FACE('',(#5917),#39927,.F.);
#41639=ADVANCED_FACE('',(#5918),#39928,.F.);
#41640=ADVANCED_FACE('',(#5919),#39929,.F.);
#41641=ADVANCED_FACE('',(#5920),#39930,.T.);
#41642=ADVANCED_FACE('',(#5921),#39931,.T.);
#41643=ADVANCED_FACE('',(#5922),#39932,.T.);
#41644=ADVANCED_FACE('',(#5923),#39933,.F.);
#41645=ADVANCED_FACE('',(#5924),#39934,.F.);
#41646=ADVANCED_FACE('',(#5925),#2235,.T.);
#41647=ADVANCED_FACE('',(#5926),#2236,.T.);
#41648=ADVANCED_FACE('',(#5927),#39935,.F.);
#41649=ADVANCED_FACE('',(#5928),#39936,.T.);
#41650=ADVANCED_FACE('',(#5929),#2237,.T.);
#41651=ADVANCED_FACE('',(#5930),#2238,.T.);
#41652=ADVANCED_FACE('',(#5931),#39937,.T.);
#41653=ADVANCED_FACE('',(#5932,#2946),#39938,.T.);
#41654=ADVANCED_FACE('',(#5933),#2239,.T.);
#41655=ADVANCED_FACE('',(#5934),#39939,.F.);
#41656=ADVANCED_FACE('',(#5935),#2240,.T.);
#41657=ADVANCED_FACE('',(#5936),#39940,.T.);
#41658=ADVANCED_FACE('',(#5937),#2241,.T.);
#41659=ADVANCED_FACE('',(#5938),#39941,.T.);
#41660=ADVANCED_FACE('',(#5939),#2242,.T.);
#41661=ADVANCED_FACE('',(#5940),#39942,.F.);
#41662=ADVANCED_FACE('',(#5941,#2947),#39943,.F.);
#41663=ADVANCED_FACE('',(#5942),#39944,.F.);
#41664=ADVANCED_FACE('',(#5943),#2243,.T.);
#41665=ADVANCED_FACE('',(#5944),#2244,.T.);
#41666=ADVANCED_FACE('',(#5945),#39945,.F.);
#41667=ADVANCED_FACE('',(#5946),#39946,.T.);
#41668=ADVANCED_FACE('',(#5947),#2245,.T.);
#41669=ADVANCED_FACE('',(#5948),#2246,.T.);
#41670=ADVANCED_FACE('',(#5949),#39947,.T.);
#41671=ADVANCED_FACE('',(#5950),#39948,.T.);
#41672=ADVANCED_FACE('',(#5951),#39949,.F.);
#41673=ADVANCED_FACE('',(#5952),#39950,.F.);
#41674=ADVANCED_FACE('',(#5953),#39951,.F.);
#41675=ADVANCED_FACE('',(#5954,#2948,#2949,#2950,#2951,#2952,#2953,#2954,
#2955,#2956,#2957,#2958,#2959,#2960,#2961,#2962,#2963,#2964,#2965,#2966,
#2967,#2968,#2969,#2970,#2971,#2972,#2973,#2974,#2975,#2976,#2977,#2978,
#2979,#2980,#2981,#2982,#2983,#2984,#2985,#2986,#2987,#2988,#2989,#2990,
#2991,#2992,#2993,#2994,#2995,#2996,#2997,#2998,#2999,#3000,#3001,#3002,
#3003,#3004,#3005,#3006,#3007,#3008,#3009,#3010,#3011,#3012,#3013,#3014,
#3015,#3016,#3017,#3018,#3019,#3020,#3021,#3022,#3023,#3024,#3025,#3026,
#3027,#3028,#3029,#3030,#3031,#3032,#3033,#3034,#3035,#3036,#3037,#3038,
#3039,#3040,#3041,#3042,#3043,#3044,#3045,#3046,#3047,#3048,#3049,#3050,
#3051,#3052,#3053,#3054,#3055,#3056,#3057,#3058,#3059,#3060,#3061,#3062,
#3063,#3064,#3065,#3066,#3067,#3068,#3069,#3070,#3071,#3072,#3073,#3074,
#3075,#3076,#3077,#3078,#3079,#3080,#3081,#3082,#3083,#3084,#3085,#3086,
#3087,#3088,#3089,#3090,#3091,#3092,#3093,#3094,#3095,#3096,#3097),#39952,
.F.);
#41676=ADVANCED_FACE('',(#5955,#3098,#3099,#3100,#3101,#3102,#3103,#3104,
#3105,#3106,#3107,#3108,#3109,#3110,#3111,#3112,#3113,#3114,#3115,#3116,
#3117,#3118,#3119,#3120,#3121,#3122,#3123,#3124,#3125,#3126,#3127,#3128,
#3129,#3130,#3131,#3132,#3133,#3134,#3135,#3136,#3137,#3138,#3139,#3140,
#3141,#3142,#3143,#3144,#3145,#3146,#3147,#3148,#3149,#3150,#3151,#3152,
#3153,#3154,#3155,#3156,#3157,#3158,#3159,#3160,#3161,#3162,#3163,#3164,
#3165,#3166,#3167,#3168,#3169,#3170,#3171,#3172,#3173,#3174,#3175,#3176,
#3177,#3178,#3179,#3180,#3181,#3182,#3183,#3184,#3185,#3186,#3187,#3188,
#3189,#3190,#3191,#3192,#3193,#3194,#3195,#3196,#3197,#3198,#3199,#3200,
#3201,#3202,#3203,#3204,#3205,#3206,#3207,#3208,#3209,#3210,#3211,#3212,
#3213,#3214,#3215,#3216,#3217,#3218,#3219,#3220,#3221,#3222,#3223,#3224,
#3225,#3226,#3227,#3228,#3229,#3230,#3231,#3232,#3233,#3234,#3235,#3236,
#3237,#3238,#3239,#3240,#3241,#3242,#3243,#3244,#3245,#3246,#3247),#39953,
.T.);
#41677=ADVANCED_FACE('',(#5956),#39954,.F.);
#41678=ADVANCED_FACE('',(#5957),#2247,.F.);
#41679=ADVANCED_FACE('',(#5958),#2248,.F.);
#41680=ADVANCED_FACE('',(#5959),#2249,.F.);
#41681=ADVANCED_FACE('',(#5960),#2250,.F.);
#41682=ADVANCED_FACE('',(#5961),#2251,.F.);
#41683=ADVANCED_FACE('',(#5962),#39955,.T.);
#41684=ADVANCED_FACE('',(#5963),#2252,.F.);
#41685=ADVANCED_FACE('',(#5964),#2253,.F.);
#41686=ADVANCED_FACE('',(#5965),#39956,.T.);
#41687=ADVANCED_FACE('',(#5966),#2254,.F.);
#41688=ADVANCED_FACE('',(#5967),#2255,.F.);
#41689=ADVANCED_FACE('',(#5968),#2256,.F.);
#41690=ADVANCED_FACE('',(#5969),#2257,.F.);
#41691=ADVANCED_FACE('',(#5970),#2258,.F.);
#41692=ADVANCED_FACE('',(#5971),#2259,.F.);
#41693=ADVANCED_FACE('',(#5972),#2260,.F.);
#41694=ADVANCED_FACE('',(#5973),#2261,.F.);
#41695=ADVANCED_FACE('',(#5974),#2262,.F.);
#41696=ADVANCED_FACE('',(#5975),#2263,.F.);
#41697=ADVANCED_FACE('',(#5976),#2264,.F.);
#41698=ADVANCED_FACE('',(#5977),#2265,.F.);
#41699=ADVANCED_FACE('',(#5978),#2266,.F.);
#41700=ADVANCED_FACE('',(#5979),#2267,.F.);
#41701=ADVANCED_FACE('',(#5980),#2268,.F.);
#41702=ADVANCED_FACE('',(#5981),#2269,.F.);
#41703=ADVANCED_FACE('',(#5982),#2270,.F.);
#41704=ADVANCED_FACE('',(#5983),#2271,.F.);
#41705=ADVANCED_FACE('',(#5984),#2272,.F.);
#41706=ADVANCED_FACE('',(#5985),#2273,.F.);
#41707=ADVANCED_FACE('',(#5986),#2274,.F.);
#41708=ADVANCED_FACE('',(#5987),#2275,.F.);
#41709=ADVANCED_FACE('',(#5988),#2276,.F.);
#41710=ADVANCED_FACE('',(#5989),#2277,.F.);
#41711=ADVANCED_FACE('',(#5990),#2278,.F.);
#41712=ADVANCED_FACE('',(#5991),#2279,.F.);
#41713=ADVANCED_FACE('',(#5992),#2280,.F.);
#41714=ADVANCED_FACE('',(#5993),#2281,.F.);
#41715=ADVANCED_FACE('',(#5994),#2282,.F.);
#41716=ADVANCED_FACE('',(#5995),#2283,.F.);
#41717=ADVANCED_FACE('',(#5996),#2284,.F.);
#41718=ADVANCED_FACE('',(#5997),#2285,.F.);
#41719=ADVANCED_FACE('',(#5998),#2286,.F.);
#41720=ADVANCED_FACE('',(#5999),#2287,.F.);
#41721=ADVANCED_FACE('',(#6000),#2288,.F.);
#41722=ADVANCED_FACE('',(#6001),#2289,.F.);
#41723=ADVANCED_FACE('',(#6002),#2290,.F.);
#41724=ADVANCED_FACE('',(#6003),#2291,.F.);
#41725=ADVANCED_FACE('',(#6004),#2292,.F.);
#41726=ADVANCED_FACE('',(#6005),#2293,.F.);
#41727=ADVANCED_FACE('',(#6006),#2294,.F.);
#41728=ADVANCED_FACE('',(#6007),#2295,.F.);
#41729=ADVANCED_FACE('',(#6008),#2296,.F.);
#41730=ADVANCED_FACE('',(#6009),#2297,.F.);
#41731=ADVANCED_FACE('',(#6010),#2298,.F.);
#41732=ADVANCED_FACE('',(#6011),#2299,.F.);
#41733=ADVANCED_FACE('',(#6012),#2300,.F.);
#41734=ADVANCED_FACE('',(#6013),#2301,.F.);
#41735=ADVANCED_FACE('',(#6014),#2302,.F.);
#41736=ADVANCED_FACE('',(#6015),#2303,.F.);
#41737=ADVANCED_FACE('',(#6016),#2304,.F.);
#41738=ADVANCED_FACE('',(#6017),#2305,.F.);
#41739=ADVANCED_FACE('',(#6018),#2306,.F.);
#41740=ADVANCED_FACE('',(#6019),#2307,.F.);
#41741=ADVANCED_FACE('',(#6020),#2308,.F.);
#41742=ADVANCED_FACE('',(#6021),#2309,.F.);
#41743=ADVANCED_FACE('',(#6022),#2310,.F.);
#41744=ADVANCED_FACE('',(#6023),#2311,.F.);
#41745=ADVANCED_FACE('',(#6024),#2312,.F.);
#41746=ADVANCED_FACE('',(#6025),#2313,.F.);
#41747=ADVANCED_FACE('',(#6026),#2314,.F.);
#41748=ADVANCED_FACE('',(#6027),#2315,.F.);
#41749=ADVANCED_FACE('',(#6028),#2316,.F.);
#41750=ADVANCED_FACE('',(#6029),#2317,.F.);
#41751=ADVANCED_FACE('',(#6030),#2318,.F.);
#41752=ADVANCED_FACE('',(#6031),#2319,.F.);
#41753=ADVANCED_FACE('',(#6032),#2320,.F.);
#41754=ADVANCED_FACE('',(#6033),#2321,.F.);
#41755=ADVANCED_FACE('',(#6034),#2322,.F.);
#41756=ADVANCED_FACE('',(#6035),#2323,.F.);
#41757=ADVANCED_FACE('',(#6036),#2324,.F.);
#41758=ADVANCED_FACE('',(#6037),#2325,.F.);
#41759=ADVANCED_FACE('',(#6038),#2326,.F.);
#41760=ADVANCED_FACE('',(#6039),#2327,.F.);
#41761=ADVANCED_FACE('',(#6040),#2328,.F.);
#41762=ADVANCED_FACE('',(#6041),#2329,.F.);
#41763=ADVANCED_FACE('',(#6042),#2330,.F.);
#41764=ADVANCED_FACE('',(#6043),#2331,.F.);
#41765=ADVANCED_FACE('',(#6044),#2332,.F.);
#41766=ADVANCED_FACE('',(#6045),#2333,.F.);
#41767=ADVANCED_FACE('',(#6046),#2334,.F.);
#41768=ADVANCED_FACE('',(#6047),#2335,.F.);
#41769=ADVANCED_FACE('',(#6048),#2336,.F.);
#41770=ADVANCED_FACE('',(#6049),#2337,.F.);
#41771=ADVANCED_FACE('',(#6050),#2338,.F.);
#41772=ADVANCED_FACE('',(#6051),#2339,.F.);
#41773=ADVANCED_FACE('',(#6052),#2340,.F.);
#41774=ADVANCED_FACE('',(#6053),#2341,.F.);
#41775=ADVANCED_FACE('',(#6054),#2342,.F.);
#41776=ADVANCED_FACE('',(#6055),#2343,.F.);
#41777=ADVANCED_FACE('',(#6056),#39957,.T.);
#41778=ADVANCED_FACE('',(#6057),#39958,.T.);
#41779=ADVANCED_FACE('',(#6058),#2344,.F.);
#41780=ADVANCED_FACE('',(#6059),#2345,.F.);
#41781=ADVANCED_FACE('',(#6060),#39959,.T.);
#41782=ADVANCED_FACE('',(#6061),#39960,.T.);
#41783=ADVANCED_FACE('',(#6062),#2346,.F.);
#41784=ADVANCED_FACE('',(#6063),#2347,.F.);
#41785=ADVANCED_FACE('',(#6064),#2348,.F.);
#41786=ADVANCED_FACE('',(#6065),#2349,.F.);
#41787=ADVANCED_FACE('',(#6066),#2350,.F.);
#41788=ADVANCED_FACE('',(#6067),#2351,.F.);
#41789=ADVANCED_FACE('',(#6068),#2352,.F.);
#41790=ADVANCED_FACE('',(#6069),#2353,.F.);
#41791=ADVANCED_FACE('',(#6070),#2354,.F.);
#41792=ADVANCED_FACE('',(#6071),#2355,.F.);
#41793=ADVANCED_FACE('',(#6072),#2356,.F.);
#41794=ADVANCED_FACE('',(#6073),#2357,.F.);
#41795=ADVANCED_FACE('',(#6074),#2358,.F.);
#41796=ADVANCED_FACE('',(#6075),#2359,.F.);
#41797=ADVANCED_FACE('',(#6076),#2360,.F.);
#41798=ADVANCED_FACE('',(#6077),#2361,.F.);
#41799=ADVANCED_FACE('',(#6078),#2362,.F.);
#41800=ADVANCED_FACE('',(#6079),#2363,.F.);
#41801=ADVANCED_FACE('',(#6080),#2364,.F.);
#41802=ADVANCED_FACE('',(#6081),#2365,.F.);
#41803=ADVANCED_FACE('',(#6082),#2366,.F.);
#41804=ADVANCED_FACE('',(#6083),#2367,.F.);
#41805=ADVANCED_FACE('',(#6084),#2368,.F.);
#41806=ADVANCED_FACE('',(#6085),#2369,.F.);
#41807=ADVANCED_FACE('',(#6086),#2370,.F.);
#41808=ADVANCED_FACE('',(#6087),#2371,.F.);
#41809=ADVANCED_FACE('',(#6088),#2372,.F.);
#41810=ADVANCED_FACE('',(#6089),#2373,.F.);
#41811=ADVANCED_FACE('',(#6090),#2374,.F.);
#41812=ADVANCED_FACE('',(#6091),#2375,.F.);
#41813=ADVANCED_FACE('',(#6092),#2376,.F.);
#41814=ADVANCED_FACE('',(#6093),#2377,.F.);
#41815=ADVANCED_FACE('',(#6094),#2378,.F.);
#41816=ADVANCED_FACE('',(#6095),#2379,.F.);
#41817=ADVANCED_FACE('',(#6096),#2380,.F.);
#41818=ADVANCED_FACE('',(#6097),#2381,.F.);
#41819=ADVANCED_FACE('',(#6098),#2382,.F.);
#41820=ADVANCED_FACE('',(#6099),#2383,.F.);
#41821=ADVANCED_FACE('',(#6100),#2384,.F.);
#41822=ADVANCED_FACE('',(#6101),#2385,.F.);
#41823=ADVANCED_FACE('',(#6102),#2386,.F.);
#41824=ADVANCED_FACE('',(#6103),#2387,.F.);
#41825=ADVANCED_FACE('',(#6104),#2388,.F.);
#41826=ADVANCED_FACE('',(#6105),#2389,.F.);
#41827=ADVANCED_FACE('',(#6106),#2390,.F.);
#41828=ADVANCED_FACE('',(#6107),#2391,.F.);
#41829=ADVANCED_FACE('',(#6108),#2392,.F.);
#41830=ADVANCED_FACE('',(#6109),#2393,.F.);
#41831=ADVANCED_FACE('',(#6110),#2394,.F.);
#41832=ADVANCED_FACE('',(#6111),#2395,.F.);
#41833=ADVANCED_FACE('',(#6112),#2396,.F.);
#41834=ADVANCED_FACE('',(#6113),#2397,.F.);
#41835=ADVANCED_FACE('',(#6114),#2398,.F.);
#41836=ADVANCED_FACE('',(#6115),#2399,.F.);
#41837=ADVANCED_FACE('',(#6116),#39961,.T.);
#41838=ADVANCED_FACE('',(#6117),#39962,.T.);
#41839=ADVANCED_FACE('',(#6118),#39963,.T.);
#41840=ADVANCED_FACE('',(#6119),#39964,.T.);
#41841=ADVANCED_FACE('',(#6120),#39965,.T.);
#41842=ADVANCED_FACE('',(#6121),#39966,.T.);
#41843=ADVANCED_FACE('',(#6122,#3248),#39967,.F.);
#41844=ADVANCED_FACE('',(#6123),#2400,.T.);
#41845=ADVANCED_FACE('',(#6124),#39968,.T.);
#41846=ADVANCED_FACE('',(#6125),#39969,.T.);
#41847=ADVANCED_FACE('',(#6126),#2401,.T.);
#41848=ADVANCED_FACE('',(#6127),#2402,.T.);
#41849=ADVANCED_FACE('',(#6128),#39970,.T.);
#41850=ADVANCED_FACE('',(#6129),#39971,.T.);
#41851=ADVANCED_FACE('',(#6130),#2403,.T.);
#41852=ADVANCED_FACE('',(#6131),#39972,.T.);
#41853=ADVANCED_FACE('',(#6132),#39973,.T.);
#41854=ADVANCED_FACE('',(#6133),#39974,.T.);
#41855=ADVANCED_FACE('',(#6134),#39975,.T.);
#41856=ADVANCED_FACE('',(#6135),#39976,.F.);
#41857=ADVANCED_FACE('',(#6136),#39977,.T.);
#41858=ADVANCED_FACE('',(#6137),#39978,.T.);
#41859=ADVANCED_FACE('',(#6138),#39979,.T.);
#41860=ADVANCED_FACE('',(#6139),#39980,.T.);
#41861=ADVANCED_FACE('',(#6140),#39981,.T.);
#41862=ADVANCED_FACE('',(#6141),#39982,.T.);
#41863=ADVANCED_FACE('',(#6142),#39983,.T.);
#41864=ADVANCED_FACE('',(#6143),#39984,.T.);
#41865=ADVANCED_FACE('',(#6144),#2404,.F.);
#41866=ADVANCED_FACE('',(#6145),#39985,.T.);
#41867=ADVANCED_FACE('',(#6146),#39986,.T.);
#41868=ADVANCED_FACE('',(#6147),#2405,.F.);
#41869=ADVANCED_FACE('',(#6148),#39987,.T.);
#41870=ADVANCED_FACE('',(#6149),#2406,.F.);
#41871=ADVANCED_FACE('',(#6150),#39988,.T.);
#41872=ADVANCED_FACE('',(#6151),#2407,.F.);
#41873=ADVANCED_FACE('',(#6152),#39989,.T.);
#41874=ADVANCED_FACE('',(#6153),#2408,.F.);
#41875=ADVANCED_FACE('',(#6154),#39990,.T.);
#41876=ADVANCED_FACE('',(#6155),#119,.T.);
#41877=ADVANCED_FACE('',(#6156),#39991,.T.);
#41878=ADVANCED_FACE('',(#6157),#120,.T.);
#41879=ADVANCED_FACE('',(#6158),#39992,.T.);
#41880=ADVANCED_FACE('',(#6159),#2409,.T.);
#41881=ADVANCED_FACE('',(#6160),#39993,.T.);
#41882=ADVANCED_FACE('',(#6161),#2410,.T.);
#41883=ADVANCED_FACE('',(#6162),#39994,.T.);
#41884=ADVANCED_FACE('',(#6163),#2411,.F.);
#41885=ADVANCED_FACE('',(#6164),#39995,.T.);
#41886=ADVANCED_FACE('',(#6165),#121,.T.);
#41887=ADVANCED_FACE('',(#6166),#39996,.T.);
#41888=ADVANCED_FACE('',(#6167),#122,.T.);
#41889=ADVANCED_FACE('',(#6168),#39997,.T.);
#41890=ADVANCED_FACE('',(#6169),#39998,.F.);
#41891=ADVANCED_FACE('',(#6170),#123,.F.);
#41892=ADVANCED_FACE('',(#6171),#2412,.F.);
#41893=ADVANCED_FACE('',(#6172),#124,.F.);
#41894=ADVANCED_FACE('',(#6173),#2413,.F.);
#41895=ADVANCED_FACE('',(#6174),#125,.F.);
#41896=ADVANCED_FACE('',(#6175),#2414,.F.);
#41897=ADVANCED_FACE('',(#6176),#126,.F.);
#41898=ADVANCED_FACE('',(#6177),#2415,.F.);
#41899=ADVANCED_FACE('',(#6178),#2416,.T.);
#41900=ADVANCED_FACE('',(#6179),#39999,.T.);
#41901=ADVANCED_FACE('',(#6180,#3249,#3250),#40000,.F.);
#41902=ADVANCED_FACE('',(#6181),#2417,.T.);
#41903=ADVANCED_FACE('',(#6182),#40001,.T.);
#41904=ADVANCED_FACE('',(#6183),#2418,.T.);
#41905=ADVANCED_FACE('',(#6184),#40002,.T.);
#41906=ADVANCED_FACE('',(#6185,#3251,#3252),#40003,.F.);
#41907=ADVANCED_FACE('',(#6186),#2419,.T.);
#41908=ADVANCED_FACE('',(#6187),#40004,.T.);
#41909=ADVANCED_FACE('',(#6188),#2420,.T.);
#41910=ADVANCED_FACE('',(#6189),#40005,.T.);
#41911=ADVANCED_FACE('',(#6190),#2421,.T.);
#41912=ADVANCED_FACE('',(#6191),#40006,.T.);
#41913=ADVANCED_FACE('',(#6192),#2422,.T.);
#41914=ADVANCED_FACE('',(#6193),#2423,.T.);
#41915=ADVANCED_FACE('',(#6194),#40007,.T.);
#41916=ADVANCED_FACE('',(#6195),#40008,.F.);
#41917=ADVANCED_FACE('',(#6196),#40009,.F.);
#41918=ADVANCED_FACE('',(#6197),#40010,.F.);
#41919=ADVANCED_FACE('',(#6198),#40011,.F.);
#41920=ADVANCED_FACE('',(#6199),#40012,.F.);
#41921=ADVANCED_FACE('',(#6200),#40013,.F.);
#41922=ADVANCED_FACE('',(#6201),#40014,.F.);
#41923=ADVANCED_FACE('',(#6202),#40015,.F.);
#41924=ADVANCED_FACE('',(#6203),#40016,.T.);
#41925=ADVANCED_FACE('',(#6204),#40017,.T.);
#41926=ADVANCED_FACE('',(#6205),#40018,.T.);
#41927=ADVANCED_FACE('',(#6206),#40019,.F.);
#41928=ADVANCED_FACE('',(#6207),#40020,.F.);
#41929=ADVANCED_FACE('',(#6208),#40021,.F.);
#41930=ADVANCED_FACE('',(#6209),#40022,.F.);
#41931=ADVANCED_FACE('',(#6210),#40023,.F.);
#41932=ADVANCED_FACE('',(#6211),#40024,.F.);
#41933=ADVANCED_FACE('',(#6212),#40025,.F.);
#41934=ADVANCED_FACE('',(#6213),#40026,.F.);
#41935=ADVANCED_FACE('',(#6214),#40027,.F.);
#41936=ADVANCED_FACE('',(#6215),#40028,.F.);
#41937=ADVANCED_FACE('',(#6216),#40029,.F.);
#41938=ADVANCED_FACE('',(#6217),#40030,.F.);
#41939=ADVANCED_FACE('',(#6218),#40031,.T.);
#41940=ADVANCED_FACE('',(#6219),#40032,.T.);
#41941=ADVANCED_FACE('',(#6220),#40033,.T.);
#41942=ADVANCED_FACE('',(#6221),#40034,.T.);
#41943=ADVANCED_FACE('',(#6222),#40035,.T.);
#41944=ADVANCED_FACE('',(#6223),#40036,.T.);
#41945=ADVANCED_FACE('',(#6224),#2424,.F.);
#41946=ADVANCED_FACE('',(#6225),#40037,.F.);
#41947=ADVANCED_FACE('',(#6226),#40038,.F.);
#41948=ADVANCED_FACE('',(#6227),#40039,.F.);
#41949=ADVANCED_FACE('',(#6228),#40040,.F.);
#41950=ADVANCED_FACE('',(#6229),#40041,.F.);
#41951=ADVANCED_FACE('',(#6230),#40042,.T.);
#41952=ADVANCED_FACE('',(#6231),#40043,.T.);
#41953=ADVANCED_FACE('',(#6232),#40044,.T.);
#41954=ADVANCED_FACE('',(#6233),#40045,.T.);
#41955=ADVANCED_FACE('',(#6234),#2425,.F.);
#41956=ADVANCED_FACE('',(#6235),#2426,.F.);
#41957=ADVANCED_FACE('',(#6236),#2427,.F.);
#41958=ADVANCED_FACE('',(#6237),#2428,.F.);
#41959=ADVANCED_FACE('',(#6238),#40046,.T.);
#41960=ADVANCED_FACE('',(#6239),#40047,.T.);
#41961=ADVANCED_FACE('',(#6240),#40048,.T.);
#41962=ADVANCED_FACE('',(#6241),#40049,.T.);
#41963=ADVANCED_FACE('',(#6242),#40050,.T.);
#41964=ADVANCED_FACE('',(#6243,#3253),#40051,.T.);
#41965=ADVANCED_FACE('',(#6244,#3254),#40052,.T.);
#41966=ADVANCED_FACE('',(#6245,#3255),#40053,.T.);
#41967=ADVANCED_FACE('',(#6246,#3256),#40054,.T.);
#41968=ADVANCED_FACE('',(#6247),#40055,.T.);
#41969=ADVANCED_FACE('',(#6248),#40056,.T.);
#41970=ADVANCED_FACE('',(#6249),#40057,.T.);
#41971=ADVANCED_FACE('',(#6250),#40058,.T.);
#41972=ADVANCED_FACE('',(#6251,#3257),#40059,.T.);
#41973=ADVANCED_FACE('',(#6252),#2429,.T.);
#41974=ADVANCED_FACE('',(#6253),#40060,.T.);
#41975=ADVANCED_FACE('',(#6254),#2430,.T.);
#41976=ADVANCED_FACE('',(#6255,#3258,#3259,#3260,#3261),#40061,.T.);
#41977=ADVANCED_FACE('',(#6256),#40062,.F.);
#41978=ADVANCED_FACE('',(#6257),#40063,.F.);
#41979=ADVANCED_FACE('',(#6258),#40064,.F.);
#41980=ADVANCED_FACE('',(#6259),#40065,.T.);
#41981=ADVANCED_FACE('',(#6260),#40066,.F.);
#41982=ADVANCED_FACE('',(#6261),#40067,.T.);
#41983=ADVANCED_FACE('',(#6262),#40068,.T.);
#41984=ADVANCED_FACE('',(#6263),#2431,.T.);
#41985=ADVANCED_FACE('',(#6264),#40069,.T.);
#41986=ADVANCED_FACE('',(#6265),#2432,.F.);
#41987=ADVANCED_FACE('',(#6266),#40070,.T.);
#41988=ADVANCED_FACE('',(#6267),#40071,.T.);
#41989=ADVANCED_FACE('',(#6268),#2433,.F.);
#41990=ADVANCED_FACE('',(#6269),#2434,.T.);
#41991=ADVANCED_FACE('',(#6270),#2435,.F.);
#41992=ADVANCED_FACE('',(#6271),#40072,.F.);
#41993=ADVANCED_FACE('',(#6272),#40073,.F.);
#41994=ADVANCED_FACE('',(#6273),#40074,.F.);
#41995=ADVANCED_FACE('',(#6274),#40075,.F.);
#41996=ADVANCED_FACE('',(#6275),#40076,.F.);
#41997=ADVANCED_FACE('',(#6276),#40077,.F.);
#41998=ADVANCED_FACE('',(#6277),#40078,.F.);
#41999=ADVANCED_FACE('',(#6278),#40079,.T.);
#42000=ADVANCED_FACE('',(#6279),#40080,.T.);
#42001=ADVANCED_FACE('',(#6280),#40081,.T.);
#42002=ADVANCED_FACE('',(#6281),#40082,.T.);
#42003=ADVANCED_FACE('',(#6282),#40083,.T.);
#42004=ADVANCED_FACE('',(#6283),#40084,.T.);
#42005=ADVANCED_FACE('',(#6284),#40085,.T.);
#42006=ADVANCED_FACE('',(#6285),#40086,.T.);
#42007=ADVANCED_FACE('',(#6286),#40087,.T.);
#42008=ADVANCED_FACE('',(#6287),#40088,.T.);
#42009=ADVANCED_FACE('',(#6288),#40089,.T.);
#42010=ADVANCED_FACE('',(#6289),#40090,.T.);
#42011=ADVANCED_FACE('',(#6290),#40091,.T.);
#42012=ADVANCED_FACE('',(#6291),#40092,.T.);
#42013=ADVANCED_FACE('',(#6292),#40093,.T.);
#42014=ADVANCED_FACE('',(#6293),#40094,.T.);
#42015=ADVANCED_FACE('',(#6294),#40095,.T.);
#42016=ADVANCED_FACE('',(#6295),#40096,.T.);
#42017=ADVANCED_FACE('',(#6296),#40097,.T.);
#42018=ADVANCED_FACE('',(#6297),#40098,.T.);
#42019=ADVANCED_FACE('',(#6298),#40099,.F.);
#42020=ADVANCED_FACE('',(#6299),#2436,.T.);
#42021=ADVANCED_FACE('',(#6300),#40100,.T.);
#42022=ADVANCED_FACE('',(#6301),#2437,.T.);
#42023=ADVANCED_FACE('',(#6302),#40101,.T.);
#42024=ADVANCED_FACE('',(#6303),#2438,.T.);
#42025=ADVANCED_FACE('',(#6304),#40102,.T.);
#42026=ADVANCED_FACE('',(#6305),#2439,.T.);
#42027=ADVANCED_FACE('',(#6306),#40103,.T.);
#42028=ADVANCED_FACE('',(#6307),#2440,.T.);
#42029=ADVANCED_FACE('',(#6308),#40104,.T.);
#42030=ADVANCED_FACE('',(#6309),#2441,.T.);
#42031=ADVANCED_FACE('',(#6310),#40105,.T.);
#42032=ADVANCED_FACE('',(#6311),#40106,.T.);
#42033=ADVANCED_FACE('',(#6312),#2442,.F.);
#42034=ADVANCED_FACE('',(#6313),#40107,.T.);
#42035=ADVANCED_FACE('',(#6314),#2443,.T.);
#42036=ADVANCED_FACE('',(#6315),#40108,.T.);
#42037=ADVANCED_FACE('',(#6316),#2444,.F.);
#42038=ADVANCED_FACE('',(#6317),#40109,.T.);
#42039=ADVANCED_FACE('',(#6318),#2445,.T.);
#42040=ADVANCED_FACE('',(#6319),#2446,.F.);
#42041=ADVANCED_FACE('',(#6320),#40110,.T.);
#42042=ADVANCED_FACE('',(#6321),#40111,.T.);
#42043=ADVANCED_FACE('',(#6322),#2447,.T.);
#42044=ADVANCED_FACE('',(#6323),#40112,.T.);
#42045=ADVANCED_FACE('',(#6324),#2448,.F.);
#42046=ADVANCED_FACE('',(#6325),#40113,.T.);
#42047=ADVANCED_FACE('',(#6326),#2449,.T.);
#42048=ADVANCED_FACE('',(#6327),#40114,.T.);
#42049=ADVANCED_FACE('',(#6328),#2450,.F.);
#42050=ADVANCED_FACE('',(#6329),#40115,.T.);
#42051=ADVANCED_FACE('',(#6330),#2451,.T.);
#42052=ADVANCED_FACE('',(#6331),#40116,.T.);
#42053=ADVANCED_FACE('',(#6332),#40117,.T.);
#42054=ADVANCED_FACE('',(#6333),#2452,.T.);
#42055=ADVANCED_FACE('',(#6334),#2453,.F.);
#42056=ADVANCED_FACE('',(#6335),#40118,.T.);
#42057=ADVANCED_FACE('',(#6336),#40119,.T.);
#42058=ADVANCED_FACE('',(#6337),#40120,.T.);
#42059=ADVANCED_FACE('',(#6338),#40121,.T.);
#42060=ADVANCED_FACE('',(#6339),#40122,.T.);
#42061=ADVANCED_FACE('',(#6340),#40123,.T.);
#42062=ADVANCED_FACE('',(#6341),#40124,.T.);
#42063=ADVANCED_FACE('',(#6342),#40125,.T.);
#42064=ADVANCED_FACE('',(#6343),#40126,.T.);
#42065=ADVANCED_FACE('',(#6344),#40127,.T.);
#42066=ADVANCED_FACE('',(#6345),#40128,.T.);
#42067=ADVANCED_FACE('',(#6346),#40129,.T.);
#42068=ADVANCED_FACE('',(#6347),#127,.T.);
#42069=ADVANCED_FACE('',(#6348),#40130,.T.);
#42070=ADVANCED_FACE('',(#6349),#128,.T.);
#42071=ADVANCED_FACE('',(#6350),#40131,.T.);
#42072=ADVANCED_FACE('',(#6351),#40132,.T.);
#42073=ADVANCED_FACE('',(#6352),#40133,.T.);
#42074=ADVANCED_FACE('',(#6353),#40134,.T.);
#42075=ADVANCED_FACE('',(#6354),#40135,.T.);
#42076=ADVANCED_FACE('',(#6355),#40136,.T.);
#42077=ADVANCED_FACE('',(#6356),#40137,.T.);
#42078=ADVANCED_FACE('',(#6357),#40138,.T.);
#42079=ADVANCED_FACE('',(#6358),#129,.T.);
#42080=ADVANCED_FACE('',(#6359),#40139,.T.);
#42081=ADVANCED_FACE('',(#6360),#130,.T.);
#42082=ADVANCED_FACE('',(#6361),#40140,.T.);
#42083=ADVANCED_FACE('',(#6362),#40141,.T.);
#42084=ADVANCED_FACE('',(#6363),#40142,.T.);
#42085=ADVANCED_FACE('',(#6364),#40143,.F.);
#42086=ADVANCED_FACE('',(#6365),#40144,.T.);
#42087=ADVANCED_FACE('',(#6366),#40145,.F.);
#42088=ADVANCED_FACE('',(#6367),#40146,.T.);
#42089=ADVANCED_FACE('',(#6368),#40147,.T.);
#42090=ADVANCED_FACE('',(#6369),#40148,.F.);
#42091=ADVANCED_FACE('',(#6370),#40149,.T.);
#42092=ADVANCED_FACE('',(#6371),#40150,.T.);
#42093=ADVANCED_FACE('',(#6372),#40151,.F.);
#42094=ADVANCED_FACE('',(#6373),#40152,.T.);
#42095=ADVANCED_FACE('',(#6374),#40153,.T.);
#42096=ADVANCED_FACE('',(#6375),#40154,.F.);
#42097=ADVANCED_FACE('',(#6376),#40155,.T.);
#42098=ADVANCED_FACE('',(#6377),#40156,.T.);
#42099=ADVANCED_FACE('',(#6378),#40157,.F.);
#42100=ADVANCED_FACE('',(#6379),#40158,.T.);
#42101=ADVANCED_FACE('',(#6380),#40159,.T.);
#42102=ADVANCED_FACE('',(#6381),#40160,.F.);
#42103=ADVANCED_FACE('',(#6382),#40161,.T.);
#42104=ADVANCED_FACE('',(#6383),#40162,.F.);
#42105=ADVANCED_FACE('',(#6384),#40163,.F.);
#42106=ADVANCED_FACE('',(#6385),#40164,.T.);
#42107=ADVANCED_FACE('',(#6386),#40165,.F.);
#42108=ADVANCED_FACE('',(#6387),#2454,.F.);
#42109=ADVANCED_FACE('',(#6388),#40166,.F.);
#42110=ADVANCED_FACE('',(#6389),#2455,.F.);
#42111=ADVANCED_FACE('',(#6390),#40167,.F.);
#42112=ADVANCED_FACE('',(#6391),#2456,.F.);
#42113=ADVANCED_FACE('',(#6392),#40168,.F.);
#42114=ADVANCED_FACE('',(#6393),#2457,.F.);
#42115=ADVANCED_FACE('',(#6394),#40169,.F.);
#42116=ADVANCED_FACE('',(#6395),#2458,.F.);
#42117=ADVANCED_FACE('',(#6396),#40170,.F.);
#42118=ADVANCED_FACE('',(#6397),#2459,.F.);
#42119=ADVANCED_FACE('',(#6398),#40171,.T.);
#42120=ADVANCED_FACE('',(#6399),#2460,.F.);
#42121=ADVANCED_FACE('',(#6400),#40172,.F.);
#42122=ADVANCED_FACE('',(#6401),#40173,.F.);
#42123=ADVANCED_FACE('',(#6402),#40174,.T.);
#42124=ADVANCED_FACE('',(#6403),#2461,.T.);
#42125=ADVANCED_FACE('',(#6404),#40175,.T.);
#42126=ADVANCED_FACE('',(#6405),#2462,.T.);
#42127=ADVANCED_FACE('',(#6406),#40176,.T.);
#42128=ADVANCED_FACE('',(#6407,#3262,#3263,#3264,#3265,#3266,#3267,#3268,
#3269,#3270,#3271,#3272,#3273),#40177,.T.);
#42129=ADVANCED_FACE('',(#6408),#40178,.T.);
#42130=ADVANCED_FACE('',(#6409),#2463,.T.);
#42131=ADVANCED_FACE('',(#6410),#2464,.T.);
#42132=ADVANCED_FACE('',(#6411),#40179,.T.);
#42133=ADVANCED_FACE('',(#6412),#40180,.T.);
#42134=ADVANCED_FACE('',(#6413),#40181,.F.);
#42135=ADVANCED_FACE('',(#6414),#40182,.F.);
#42136=ADVANCED_FACE('',(#6415),#19,.F.);
#42137=ADVANCED_FACE('',(#6416),#20,.F.);
#42138=ADVANCED_FACE('',(#6417),#21,.F.);
#42139=ADVANCED_FACE('',(#6418),#22,.F.);
#42140=ADVANCED_FACE('',(#6419),#23,.F.);
#42141=ADVANCED_FACE('',(#6420),#40183,.F.);
#42142=ADVANCED_FACE('',(#6421),#24,.F.);
#42143=ADVANCED_FACE('',(#6422),#25,.F.);
#42144=ADVANCED_FACE('',(#6423),#26,.F.);
#42145=ADVANCED_FACE('',(#6424),#27,.F.);
#42146=ADVANCED_FACE('',(#6425),#28,.F.);
#42147=ADVANCED_FACE('',(#6426),#40184,.F.);
#42148=ADVANCED_FACE('',(#6427),#40185,.F.);
#42149=ADVANCED_FACE('',(#6428),#40186,.F.);
#42150=ADVANCED_FACE('',(#6429),#29,.F.);
#42151=ADVANCED_FACE('',(#6430),#30,.F.);
#42152=ADVANCED_FACE('',(#6431),#31,.F.);
#42153=ADVANCED_FACE('',(#6432),#32,.F.);
#42154=ADVANCED_FACE('',(#6433),#33,.F.);
#42155=ADVANCED_FACE('',(#6434),#34,.F.);
#42156=ADVANCED_FACE('',(#6435),#35,.F.);
#42157=ADVANCED_FACE('',(#6436),#40187,.F.);
#42158=ADVANCED_FACE('',(#6437),#36,.F.);
#42159=ADVANCED_FACE('',(#6438),#37,.F.);
#42160=ADVANCED_FACE('',(#6439),#38,.F.);
#42161=ADVANCED_FACE('',(#6440),#40188,.F.);
#42162=ADVANCED_FACE('',(#6441),#40189,.F.);
#42163=ADVANCED_FACE('',(#6442),#40190,.F.);
#42164=ADVANCED_FACE('',(#6443),#40191,.F.);
#42165=ADVANCED_FACE('',(#6444),#40192,.T.);
#42166=ADVANCED_FACE('',(#6445),#39,.F.);
#42167=ADVANCED_FACE('',(#6446),#40,.F.);
#42168=ADVANCED_FACE('',(#6447),#41,.F.);
#42169=ADVANCED_FACE('',(#6448),#42,.F.);
#42170=ADVANCED_FACE('',(#6449),#43,.F.);
#42171=ADVANCED_FACE('',(#6450),#40193,.F.);
#42172=ADVANCED_FACE('',(#6451),#40194,.F.);
#42173=ADVANCED_FACE('',(#6452),#40195,.F.);
#42174=ADVANCED_FACE('',(#6453),#44,.F.);
#42175=ADVANCED_FACE('',(#6454),#45,.F.);
#42176=ADVANCED_FACE('',(#6455),#46,.F.);
#42177=ADVANCED_FACE('',(#6456),#47,.F.);
#42178=ADVANCED_FACE('',(#6457),#40196,.F.);
#42179=ADVANCED_FACE('',(#6458),#48,.F.);
#42180=ADVANCED_FACE('',(#6459),#49,.F.);
#42181=ADVANCED_FACE('',(#6460),#50,.F.);
#42182=ADVANCED_FACE('',(#6461),#40197,.F.);
#42183=ADVANCED_FACE('',(#6462),#51,.F.);
#42184=ADVANCED_FACE('',(#6463),#52,.F.);
#42185=ADVANCED_FACE('',(#6464),#53,.F.);
#42186=ADVANCED_FACE('',(#6465),#40198,.F.);
#42187=ADVANCED_FACE('',(#6466),#54,.F.);
#42188=ADVANCED_FACE('',(#6467),#55,.F.);
#42189=ADVANCED_FACE('',(#6468),#56,.F.);
#42190=ADVANCED_FACE('',(#6469),#57,.F.);
#42191=ADVANCED_FACE('',(#6470),#40199,.F.);
#42192=ADVANCED_FACE('',(#6471),#40200,.F.);
#42193=ADVANCED_FACE('',(#6472),#40201,.F.);
#42194=ADVANCED_FACE('',(#6473),#58,.F.);
#42195=ADVANCED_FACE('',(#6474),#59,.F.);
#42196=ADVANCED_FACE('',(#6475),#60,.F.);
#42197=ADVANCED_FACE('',(#6476),#61,.F.);
#42198=ADVANCED_FACE('',(#6477),#62,.F.);
#42199=ADVANCED_FACE('',(#6478),#63,.F.);
#42200=ADVANCED_FACE('',(#6479),#64,.F.);
#42201=ADVANCED_FACE('',(#6480),#65,.F.);
#42202=ADVANCED_FACE('',(#6481),#66,.F.);
#42203=ADVANCED_FACE('',(#6482),#40202,.T.);
#42204=ADVANCED_FACE('',(#6483),#67,.F.);
#42205=ADVANCED_FACE('',(#6484),#40203,.F.);
#42206=ADVANCED_FACE('',(#6485),#40204,.F.);
#42207=ADVANCED_FACE('',(#6486),#68,.F.);
#42208=ADVANCED_FACE('',(#6487),#69,.F.);
#42209=ADVANCED_FACE('',(#6488),#70,.F.);
#42210=ADVANCED_FACE('',(#6489),#40205,.F.);
#42211=ADVANCED_FACE('',(#6490),#40206,.F.);
#42212=ADVANCED_FACE('',(#6491),#40207,.F.);
#42213=ADVANCED_FACE('',(#6492),#40208,.F.);
#42214=ADVANCED_FACE('',(#6493),#40209,.T.);
#42215=ADVANCED_FACE('',(#6494),#71,.F.);
#42216=ADVANCED_FACE('',(#6495),#72,.F.);
#42217=ADVANCED_FACE('',(#6496),#73,.F.);
#42218=ADVANCED_FACE('',(#6497),#74,.F.);
#42219=ADVANCED_FACE('',(#6498),#75,.F.);
#42220=ADVANCED_FACE('',(#6499),#76,.F.);
#42221=ADVANCED_FACE('',(#6500),#77,.F.);
#42222=ADVANCED_FACE('',(#6501),#78,.F.);
#42223=ADVANCED_FACE('',(#6502),#40210,.F.);
#42224=ADVANCED_FACE('',(#6503),#40211,.F.);
#42225=ADVANCED_FACE('',(#6504),#40212,.F.);
#42226=ADVANCED_FACE('',(#6505),#79,.F.);
#42227=ADVANCED_FACE('',(#6506),#80,.F.);
#42228=ADVANCED_FACE('',(#6507),#81,.F.);
#42229=ADVANCED_FACE('',(#6508),#82,.F.);
#42230=ADVANCED_FACE('',(#6509),#83,.F.);
#42231=ADVANCED_FACE('',(#6510),#84,.F.);
#42232=ADVANCED_FACE('',(#6511),#40213,.F.);
#42233=ADVANCED_FACE('',(#6512),#40214,.F.);
#42234=ADVANCED_FACE('',(#6513),#40215,.F.);
#42235=ADVANCED_FACE('',(#6514),#40216,.F.);
#42236=ADVANCED_FACE('',(#6515),#40217,.T.);
#42237=ADVANCED_FACE('',(#6516),#40218,.F.);
#42238=ADVANCED_FACE('',(#6517),#40219,.F.);
#42239=ADVANCED_FACE('',(#6518),#40220,.F.);
#42240=ADVANCED_FACE('',(#6519),#40221,.F.);
#42241=ADVANCED_FACE('',(#6520),#40222,.F.);
#42242=ADVANCED_FACE('',(#6521),#40223,.F.);
#42243=ADVANCED_FACE('',(#6522),#40224,.F.);
#42244=ADVANCED_FACE('',(#6523),#40225,.F.);
#42245=ADVANCED_FACE('',(#6524),#40226,.F.);
#42246=ADVANCED_FACE('',(#6525),#40227,.F.);
#42247=ADVANCED_FACE('',(#6526),#40228,.F.);
#42248=ADVANCED_FACE('',(#6527),#40229,.F.);
#42249=ADVANCED_FACE('',(#6528),#40230,.F.);
#42250=ADVANCED_FACE('',(#6529),#40231,.F.);
#42251=ADVANCED_FACE('',(#6530,#3274),#40232,.F.);
#42252=ADVANCED_FACE('',(#6531),#85,.F.);
#42253=ADVANCED_FACE('',(#6532),#86,.F.);
#42254=ADVANCED_FACE('',(#6533),#87,.F.);
#42255=ADVANCED_FACE('',(#6534),#88,.F.);
#42256=ADVANCED_FACE('',(#6535),#40233,.F.);
#42257=ADVANCED_FACE('',(#6536),#89,.F.);
#42258=ADVANCED_FACE('',(#6537),#90,.F.);
#42259=ADVANCED_FACE('',(#6538),#91,.F.);
#42260=ADVANCED_FACE('',(#6539),#92,.F.);
#42261=ADVANCED_FACE('',(#6540),#40234,.F.);
#42262=ADVANCED_FACE('',(#6541),#93,.F.);
#42263=ADVANCED_FACE('',(#6542),#94,.F.);
#42264=ADVANCED_FACE('',(#6543),#95,.F.);
#42265=ADVANCED_FACE('',(#6544),#96,.F.);
#42266=ADVANCED_FACE('',(#6545),#97,.F.);
#42267=ADVANCED_FACE('',(#6546),#98,.F.);
#42268=ADVANCED_FACE('',(#6547),#40235,.F.);
#42269=ADVANCED_FACE('',(#6548),#99,.F.);
#42270=ADVANCED_FACE('',(#6549),#100,.F.);
#42271=ADVANCED_FACE('',(#6550),#101,.F.);
#42272=ADVANCED_FACE('',(#6551),#102,.F.);
#42273=ADVANCED_FACE('',(#6552),#103,.F.);
#42274=ADVANCED_FACE('',(#6553),#104,.F.);
#42275=ADVANCED_FACE('',(#6554),#105,.F.);
#42276=ADVANCED_FACE('',(#6555),#106,.F.);
#42277=ADVANCED_FACE('',(#6556),#40236,.F.);
#42278=ADVANCED_FACE('',(#6557),#107,.F.);
#42279=ADVANCED_FACE('',(#6558),#108,.F.);
#42280=ADVANCED_FACE('',(#6559),#109,.F.);
#42281=ADVANCED_FACE('',(#6560),#110,.F.);
#42282=ADVANCED_FACE('',(#6561),#111,.F.);
#42283=ADVANCED_FACE('',(#6562),#40237,.F.);
#42284=ADVANCED_FACE('',(#6563),#112,.F.);
#42285=ADVANCED_FACE('',(#6564),#113,.F.);
#42286=ADVANCED_FACE('',(#6565),#114,.F.);
#42287=ADVANCED_FACE('',(#6566),#115,.F.);
#42288=ADVANCED_FACE('',(#6567),#116,.F.);
#42289=ADVANCED_FACE('',(#6568),#117,.F.);
#42290=ADVANCED_FACE('',(#6569),#40238,.F.);
#42291=ADVANCED_FACE('',(#6570),#118,.F.);
#42292=ADVANCED_FACE('',(#6571,#3275),#40239,.T.);
#42293=ADVANCED_FACE('',(#6572),#40240,.T.);
#42294=ADVANCED_FACE('',(#6573),#40241,.F.);
#42295=ADVANCED_FACE('',(#6574),#40242,.F.);
#42296=ADVANCED_FACE('',(#6575),#40243,.F.);
#42297=ADVANCED_FACE('',(#6576),#40244,.F.);
#42298=ADVANCED_FACE('',(#6577),#40245,.F.);
#42299=ADVANCED_FACE('',(#6578),#40246,.F.);
#42300=ADVANCED_FACE('',(#6579),#2465,.F.);
#42301=ADVANCED_FACE('',(#6580),#2466,.F.);
#42302=ADVANCED_FACE('',(#6581),#2467,.F.);
#42303=ADVANCED_FACE('',(#6582,#3276,#3277,#3278,#3279,#3280,#3281,#3282,
#3283,#3284,#3285,#3286,#3287),#40247,.F.);
#42304=ADVANCED_FACE('',(#6583),#2468,.F.);
#42305=ADVANCED_FACE('',(#6584),#2469,.F.);
#42306=ADVANCED_FACE('',(#6585),#2470,.F.);
#42307=ADVANCED_FACE('',(#6586),#2471,.F.);
#42308=ADVANCED_FACE('',(#6587),#2472,.F.);
#42309=ADVANCED_FACE('',(#6588),#2473,.F.);
#42310=ADVANCED_FACE('',(#6589),#2474,.F.);
#42311=ADVANCED_FACE('',(#6590),#2475,.F.);
#42312=ADVANCED_FACE('',(#6591),#2476,.F.);
#42313=ADVANCED_FACE('',(#6592),#2477,.F.);
#42314=ADVANCED_FACE('',(#6593),#2478,.F.);
#42315=ADVANCED_FACE('',(#6594),#2479,.F.);
#42316=ADVANCED_FACE('',(#6595),#2480,.F.);
#42317=ADVANCED_FACE('',(#6596),#2481,.F.);
#42318=ADVANCED_FACE('',(#6597),#2482,.F.);
#42319=ADVANCED_FACE('',(#6598),#2483,.F.);
#42320=ADVANCED_FACE('',(#6599),#40248,.T.);
#42321=ADVANCED_FACE('',(#6600),#40249,.T.);
#42322=ADVANCED_FACE('',(#6601),#40250,.T.);
#42323=ADVANCED_FACE('',(#6602),#40251,.T.);
#42324=ADVANCED_FACE('',(#6603,#3288),#40252,.F.);
#42325=ADVANCED_FACE('',(#6604),#2484,.F.);
#42326=ADVANCED_FACE('',(#6605),#40253,.T.);
#42327=ADVANCED_FACE('',(#6606),#40254,.T.);
#42328=ADVANCED_FACE('',(#6607),#40255,.T.);
#42329=ADVANCED_FACE('',(#6608),#40256,.T.);
#42330=ADVANCED_FACE('',(#6609,#3289),#40257,.F.);
#42331=ADVANCED_FACE('',(#6610),#2485,.F.);
#42332=ADVANCED_FACE('',(#6611),#40258,.T.);
#42333=ADVANCED_FACE('',(#6612),#40259,.T.);
#42334=ADVANCED_FACE('',(#6613),#40260,.T.);
#42335=ADVANCED_FACE('',(#6614),#40261,.T.);
#42336=ADVANCED_FACE('',(#6615,#3290),#40262,.F.);
#42337=ADVANCED_FACE('',(#6616),#2486,.F.);
#42338=ADVANCED_FACE('',(#6617),#40263,.T.);
#42339=ADVANCED_FACE('',(#6618),#40264,.T.);
#42340=ADVANCED_FACE('',(#6619),#40265,.T.);
#42341=ADVANCED_FACE('',(#6620),#40266,.T.);
#42342=ADVANCED_FACE('',(#6621,#3291),#40267,.F.);
#42343=ADVANCED_FACE('',(#6622),#2487,.F.);
#42344=ADVANCED_FACE('',(#6623),#40268,.T.);
#42345=ADVANCED_FACE('',(#6624),#40269,.T.);
#42346=ADVANCED_FACE('',(#6625),#40270,.T.);
#42347=ADVANCED_FACE('',(#6626),#40271,.T.);
#42348=ADVANCED_FACE('',(#6627,#3292),#40272,.F.);
#42349=ADVANCED_FACE('',(#6628),#2488,.F.);
#42350=ADVANCED_FACE('',(#6629),#40273,.T.);
#42351=ADVANCED_FACE('',(#6630),#40274,.T.);
#42352=ADVANCED_FACE('',(#6631),#40275,.T.);
#42353=ADVANCED_FACE('',(#6632),#40276,.T.);
#42354=ADVANCED_FACE('',(#6633,#3293),#40277,.F.);
#42355=ADVANCED_FACE('',(#6634),#40278,.T.);
#42356=ADVANCED_FACE('',(#6635),#2489,.T.);
#42357=ADVANCED_FACE('',(#6636),#2490,.F.);
#42358=ADVANCED_FACE('',(#6637),#40279,.T.);
#42359=ADVANCED_FACE('',(#6638,#3294),#40280,.F.);
#42360=ADVANCED_FACE('',(#6639),#2491,.F.);
#42361=ADVANCED_FACE('',(#6640),#2492,.T.);
#42362=ADVANCED_FACE('',(#6641),#2493,.F.);
#42363=ADVANCED_FACE('',(#6642),#2494,.F.);
#42364=ADVANCED_FACE('',(#6643,#3295),#40281,.F.);
#42365=ADVANCED_FACE('',(#6644),#40282,.T.);
#42366=ADVANCED_FACE('',(#6645),#40283,.F.);
#42367=ADVANCED_FACE('',(#6646),#40284,.T.);
#42368=ADVANCED_FACE('',(#6647),#2495,.T.);
#42369=ADVANCED_FACE('',(#6648),#2496,.F.);
#42370=ADVANCED_FACE('',(#6649),#40285,.T.);
#42371=ADVANCED_FACE('',(#6650,#3296),#40286,.F.);
#42372=ADVANCED_FACE('',(#6651),#40287,.T.);
#42373=ADVANCED_FACE('',(#6652),#40288,.F.);
#42374=ADVANCED_FACE('',(#6653),#2497,.F.);
#42375=ADVANCED_FACE('',(#6654),#2498,.T.);
#42376=ADVANCED_FACE('',(#6655),#2499,.F.);
#42377=ADVANCED_FACE('',(#6656),#2500,.F.);
#42378=ADVANCED_FACE('',(#6657,#3297),#40289,.F.);
#42379=ADVANCED_FACE('',(#6658),#40290,.F.);
#42380=ADVANCED_FACE('',(#6659),#40291,.T.);
#42381=ADVANCED_FACE('',(#6660),#2501,.F.);
#42382=ADVANCED_FACE('',(#6661),#40292,.T.);
#42383=ADVANCED_FACE('',(#6662),#2502,.F.);
#42384=ADVANCED_FACE('',(#6663),#40293,.T.);
#42385=ADVANCED_FACE('',(#6664),#2503,.F.);
#42386=ADVANCED_FACE('',(#6665),#40294,.T.);
#42387=ADVANCED_FACE('',(#6666),#2504,.F.);
#42388=ADVANCED_FACE('',(#6667),#40295,.T.);
#42389=ADVANCED_FACE('',(#6668),#2505,.F.);
#42390=ADVANCED_FACE('',(#6669),#40296,.T.);
#42391=ADVANCED_FACE('',(#6670),#2506,.F.);
#42392=ADVANCED_FACE('',(#6671),#40297,.T.);
#42393=ADVANCED_FACE('',(#6672),#2507,.F.);
#42394=ADVANCED_FACE('',(#6673),#40298,.T.);
#42395=ADVANCED_FACE('',(#6674),#2508,.F.);
#42396=ADVANCED_FACE('',(#6675),#40299,.T.);
#42397=ADVANCED_FACE('',(#6676),#2509,.F.);
#42398=ADVANCED_FACE('',(#6677),#40300,.T.);
#42399=ADVANCED_FACE('',(#6678),#2510,.F.);
#42400=ADVANCED_FACE('',(#6679),#40301,.T.);
#42401=ADVANCED_FACE('',(#6680),#2511,.F.);
#42402=ADVANCED_FACE('',(#6681),#40302,.T.);
#42403=ADVANCED_FACE('',(#6682),#2512,.F.);
#42404=ADVANCED_FACE('',(#6683),#40303,.T.);
#42405=ADVANCED_FACE('',(#6684),#2513,.F.);
#42406=ADVANCED_FACE('',(#6685),#40304,.T.);
#42407=ADVANCED_FACE('',(#6686),#2514,.F.);
#42408=ADVANCED_FACE('',(#6687),#40305,.T.);
#42409=ADVANCED_FACE('',(#6688),#2515,.F.);
#42410=ADVANCED_FACE('',(#6689),#40306,.T.);
#42411=ADVANCED_FACE('',(#6690),#2516,.F.);
#42412=ADVANCED_FACE('',(#6691),#40307,.T.);
#42413=ADVANCED_FACE('',(#6692),#2517,.F.);
#42414=ADVANCED_FACE('',(#6693),#40308,.T.);
#42415=ADVANCED_FACE('',(#6694),#2518,.F.);
#42416=ADVANCED_FACE('',(#6695),#40309,.T.);
#42417=ADVANCED_FACE('',(#6696),#2519,.F.);
#42418=ADVANCED_FACE('',(#6697),#40310,.T.);
#42419=ADVANCED_FACE('',(#6698),#2520,.F.);
#42420=ADVANCED_FACE('',(#6699),#40311,.T.);
#42421=ADVANCED_FACE('',(#6700),#2521,.F.);
#42422=ADVANCED_FACE('',(#6701),#40312,.T.);
#42423=ADVANCED_FACE('',(#6702),#2522,.F.);
#42424=ADVANCED_FACE('',(#6703),#40313,.T.);
#42425=ADVANCED_FACE('',(#6704),#2523,.F.);
#42426=ADVANCED_FACE('',(#6705),#40314,.T.);
#42427=ADVANCED_FACE('',(#6706),#2524,.F.);
#42428=ADVANCED_FACE('',(#6707),#40315,.T.);
#42429=ADVANCED_FACE('',(#6708),#40316,.T.);
#42430=ADVANCED_FACE('',(#6709),#40317,.F.);
#42431=CLOSED_SHELL('',(#40318,#40319,#40320,#40321,#40322,#40323));
#42432=CLOSED_SHELL('',(#40324,#40325,#40326,#40327,#40328,#40329,#40330,
#40331,#40332,#40333,#40334));
#42433=CLOSED_SHELL('',(#40335,#40336,#40337,#40338,#40339,#40340));
#42434=CLOSED_SHELL('',(#40341,#40342,#40343,#40344,#40345,#40346));
#42435=CLOSED_SHELL('',(#40347,#40348,#40349,#40350,#40351,#40352));
#42436=CLOSED_SHELL('',(#40353,#40354,#40355,#40356,#40357,#40358));
#42437=CLOSED_SHELL('',(#40359,#40360,#40361,#40362,#40363,#40364));
#42438=CLOSED_SHELL('',(#40365,#40366,#40367,#40368,#40369,#40370));
#42439=CLOSED_SHELL('',(#40371,#40372,#40373,#40374,#40375,#40376,#40377,
#40378,#40379,#40380,#40381,#40382,#40383,#40384,#40385,#40386,#40387,#40388,
#40389,#40390,#40391,#40392,#40393,#40394,#40395,#40396));
#42440=CLOSED_SHELL('',(#40397,#40398,#40399,#40400,#40401,#40402));
#42441=CLOSED_SHELL('',(#40403,#40404,#40405,#40406,#40407,#40408));
#42442=CLOSED_SHELL('',(#40409,#40410,#40411,#40412,#40413,#40414));
#42443=CLOSED_SHELL('',(#40415,#40416,#40417,#40418,#40419,#40420));
#42444=CLOSED_SHELL('',(#40421,#40422,#40423,#40424,#40425,#40426));
#42445=CLOSED_SHELL('',(#40427,#40428,#40429,#40430));
#42446=CLOSED_SHELL('',(#40431,#40432,#40433,#40434,#40435,#40436,#40437,
#40438,#40439,#40440,#40441,#40442,#40443,#40444,#40445,#40446,#40447,#40448,
#40449,#40450,#40451,#40452,#40453,#40454,#40455,#40456,#40457,#40458,#40459,
#40460,#40461,#40462,#40463,#40464,#40465,#40466,#40467,#40468,#40469,#40470,
#40471,#40472,#40473,#40474));
#42447=CLOSED_SHELL('',(#40475,#40476,#40477,#40478,#40479,#40480,#40481,
#40482,#40483,#40484,#40485,#40486,#40487,#40488,#40489,#40490,#40491,#40492,
#40493,#40494,#40495,#40496));
#42448=CLOSED_SHELL('',(#40497,#40498,#40499,#40500,#40501,#40502,#40503,
#40504,#40505,#40506,#40507,#40508,#40509,#40510,#40511,#40512,#40513,#40514,
#40515,#40516,#40517,#40518,#40519,#40520,#40521,#40522,#40523,#40524,#40525,
#40526,#40527,#40528,#40529,#40530,#40531,#40532,#40533,#40534,#40535,#40536,
#40537,#40538,#40539,#40540,#40541,#40542,#40543,#40544,#40545,#40546,#40547,
#40548,#40549,#40550,#40551,#40552,#40553,#40554,#40555,#40556,#40557,#40558,
#40559,#40560,#40561,#40562,#40563,#40564,#40565,#40566,#40567,#40568,#40569,
#40570,#40571,#40572,#40573,#40574,#40575,#40576,#40577,#40578,#40579,#40580,
#40581,#40582,#40583,#40584,#40585,#40586,#40587,#40588,#40589,#40590,#40591,
#40592,#40593,#40594,#40595,#40596,#40597,#40598,#40599,#40600,#40601,#40602,
#40603,#40604,#40605,#40606,#40607,#40608,#40609,#40610,#40611,#40612,#40613,
#40614,#40615,#40616,#40617,#40618,#40619,#40620,#40621,#40622,#40623,#40624,
#40625,#40626,#40627,#40628,#40629,#40630,#40631,#40632,#40633,#40634,#40635,
#40636,#40637,#40638,#40639,#40640,#40641,#40642,#40643,#40644,#40645,#40646,
#40647,#40648,#40649,#40650,#40651,#40652,#40653,#40654,#40655,#40656,#40657,
#40658,#40659,#40660,#40661,#40662,#40663,#40664,#40665,#40666,#40667,#40668,
#40669,#40670,#40671,#40672,#40673,#40674,#40675,#40676,#40677,#40678,#40679,
#40680,#40681,#40682,#40683,#40684,#40685,#40686,#40687,#40688,#40689,#40690,
#40691,#40692,#40693,#40694,#40695,#40696,#40697,#40698,#40699,#40700,#40701,
#40702,#40703,#40704,#40705,#40706,#40707,#40708,#40709,#40710,#40711,#40712,
#40713,#40714,#40715,#40716,#40717,#40718,#40719,#40720,#40721,#40722,#40723,
#40724,#40725,#40726,#40727,#40728,#40729,#40730,#40731,#40732,#40733,#40734,
#40735,#40736,#40737,#40738,#40739,#40740,#40741,#40742,#40743,#40744,#40745,
#40746,#40747,#40748,#40749,#40750,#40751,#40752,#40753,#40754,#40755,#40756,
#40757,#40758,#40759,#40760,#40761,#40762,#40763,#40764,#40765,#40766,#40767,
#40768,#40769,#40770,#40771,#40772,#40773,#40774,#40775,#40776,#40777,#40778,
#40779,#40780,#40781,#40782,#40783,#40784,#40785,#40786,#40787,#40788,#40789,
#40790,#40791,#40792,#40793,#40794,#40795,#40796,#40797,#40798,#40799,#40800,
#40801,#40802,#40803,#40804,#40805,#40806,#40807,#40808,#40809,#40810,#40811,
#40812,#40813,#40814,#40815,#40816,#40817,#40818,#40819,#40820,#40821,#40822,
#40823,#40824,#40825,#40826,#40827,#40828,#40829,#40830,#40831,#40832,#40833,
#40834,#40835,#40836,#40837,#40838,#40839,#40840,#40841,#40842,#40843,#40844,
#40845,#40846,#40847,#40848,#40849,#40850,#40851,#40852,#40853,#40854,#40855,
#40856,#40857,#40858,#40859,#40860,#40861,#40862,#40863,#40864,#40865,#40866,
#40867,#40868,#40869,#40870,#40871,#40872,#40873,#40874,#40875,#40876,#40877,
#40878,#40879,#40880,#40881,#40882,#40883,#40884,#40885,#40886,#40887,#40888,
#40889,#40890,#40891,#40892,#40893,#40894,#40895,#40896,#40897,#40898,#40899,
#40900,#40901,#40902,#40903,#40904,#40905,#40906,#40907,#40908,#40909,#40910,
#40911,#40912,#40913,#40914,#40915,#40916,#40917,#40918,#40919,#40920,#40921,
#40922,#40923,#40924,#40925,#40926,#40927,#40928,#40929,#40930,#40931,#40932,
#40933,#40934,#40935,#40936,#40937,#40938,#40939,#40940,#40941,#40942,#40943,
#40944,#40945,#40946,#40947,#40948,#40949,#40950,#40951,#40952,#40953,#40954,
#40955,#40956,#40957,#40958,#40959,#40960,#40961,#40962,#40963,#40964,#40965,
#40966,#40967,#40968,#40969,#40970,#40971,#40972,#40973,#40974,#40975,#40976,
#40977,#40978,#40979,#40980,#40981,#40982,#40983,#40984,#40985,#40986,#40987,
#40988,#40989,#40990,#40991,#40992,#40993,#40994,#40995,#40996,#40997,#40998,
#40999,#41000,#41001,#41002,#41003,#41004,#41005,#41006,#41007,#41008,#41009,
#41010,#41011,#41012,#41013,#41014,#41015,#41016,#41017,#41018,#41019,#41020,
#41021,#41022,#41023,#41024,#41025,#41026,#41027,#41028,#41029,#41030,#41031,
#41032,#41033,#41034,#41035,#41036,#41037,#41038,#41039,#41040,#41041,#41042,
#41043,#41044,#41045,#41046,#41047,#41048,#41049,#41050,#41051,#41052,#41053,
#41054,#41055,#41056,#41057,#41058,#41059,#41060,#41061,#41062,#41063,#41064,
#41065,#41066,#41067,#41068,#41069,#41070,#41071,#41072,#41073,#41074,#41075,
#41076,#41077,#41078,#41079,#41080,#41081,#41082,#41083,#41084,#41085,#41086,
#41087,#41088,#41089,#41090,#41091,#41092,#41093,#41094,#41095,#41096,#41097,
#41098,#41099,#41100,#41101,#41102,#41103,#41104,#41105,#41106,#41107,#41108,
#41109,#41110,#41111,#41112,#41113,#41114,#41115,#41116,#41117,#41118,#41119,
#41120,#41121,#41122,#41123,#41124,#41125,#41126,#41127,#41128,#41129,#41130,
#41131,#41132,#41133,#41134,#41135,#41136,#41137,#41138,#41139,#41140,#41141,
#41142,#41143,#41144,#41145,#41146,#41147,#41148,#41149,#41150,#41151,#41152,
#41153,#41154,#41155,#41156,#41157,#41158,#41159,#41160,#41161,#41162,#41163,
#41164,#41165,#41166,#41167,#41168,#41169,#41170,#41171,#41172,#41173,#41174,
#41175,#41176,#41177,#41178,#41179,#41180,#41181,#41182,#41183,#41184,#41185,
#41186,#41187,#41188,#41189,#41190,#41191,#41192,#41193,#41194,#41195,#41196,
#41197,#41198,#41199,#41200,#41201,#41202,#41203,#41204,#41205,#41206,#41207,
#41208,#41209,#41210,#41211,#41212,#41213,#41214,#41215,#41216,#41217,#41218,
#41219,#41220,#41221,#41222,#41223,#41224,#41225,#41226,#41227,#41228,#41229,
#41230,#41231,#41232,#41233,#41234,#41235,#41236,#41237,#41238,#41239,#41240,
#41241,#41242,#41243,#41244,#41245,#41246,#41247,#41248,#41249,#41250,#41251,
#41252,#41253,#41254,#41255,#41256,#41257,#41258,#41259,#41260));
#42449=CLOSED_SHELL('',(#41261,#41262,#41263,#41264,#41265,#41266,#41267,
#41268,#41269,#41270,#41271,#41272,#41273,#41274,#41275,#41276,#41277,#41278,
#41279,#41280,#41281,#41282,#41283,#41284,#41285,#41286,#41287,#41288,#41289,
#41290,#41291,#41292,#41293,#41294,#41295,#41296,#41297,#41298,#41299,#41300,
#41301,#41302,#41303,#41304,#41305,#41306,#41307,#41308,#41309,#41310,#41311,
#41312,#41313,#41314,#41315,#41316,#41317,#41318,#41319,#41320,#41321,#41322,
#41323,#41324,#41325,#41326,#41327,#41328,#41329,#41330,#41331,#41332,#41333,
#41334,#41335,#41336,#41337,#41338));
#42450=CLOSED_SHELL('',(#41339,#41340,#41341,#41342,#41343,#41344,#41345,
#41346,#41347,#41348,#41349,#41350,#41351,#41352,#41353,#41354,#41355,#41356,
#41357,#41358,#41359,#41360,#41361,#41362,#41363,#41364,#41365,#41366,#41367,
#41368,#41369,#41370,#41371,#41372,#41373,#41374,#41375,#41376,#41377,#41378,
#41379,#41380,#41381,#41382,#41383,#41384,#41385,#41386,#41387,#41388,#41389,
#41390,#41391,#41392,#41393,#41394,#41395,#41396,#41397,#41398,#41399,#41400,
#41401,#41402,#41403,#41404,#41405,#41406,#41407,#41408,#41409,#41410,#41411,
#41412,#41413,#41414,#41415,#41416,#41417,#41418,#41419,#41420,#41421,#41422,
#41423,#41424,#41425,#41426,#41427,#41428,#41429,#41430,#41431,#41432,#41433,
#41434,#41435,#41436,#41437,#41438,#41439,#41440,#41441,#41442,#41443));
#42451=CLOSED_SHELL('',(#41444,#41445,#41446,#41447,#41448,#41449,#41450,
#41451,#41452,#41453,#41454,#41455,#41456,#41457,#41458,#41459,#41460,#41461,
#41462,#41463,#41464,#41465,#41466,#41467,#41468,#41469));
#42452=CLOSED_SHELL('',(#41470,#41471,#41472,#41473,#41474,#41475,#41476,
#41477,#41478,#41479,#41480,#41481,#41482,#41483,#41484,#41485,#41486,#41487,
#41488,#41489,#41490,#41491,#41492,#41493,#41494,#41495,#41496,#41497,#41498,
#41499,#41500,#41501,#41502,#41503,#41504,#41505,#41506,#41507,#41508,#41509,
#41510,#41511,#41512,#41513,#41514,#41515,#41516,#41517,#41518,#41519,#41520,
#41521,#41522,#41523,#41524,#41525,#41526,#41527,#41528,#41529,#41530,#41531,
#41532,#41533,#41534,#41535,#41536,#41537,#41538,#41539,#41540,#41541,#41542,
#41543,#41544,#41545,#41546,#41547,#41548,#41549,#41550,#41551,#41552,#41553,
#41554,#41555,#41556,#41557,#41558,#41559,#41560,#41561,#41562,#41563,#41564,
#41565,#41566,#41567,#41568,#41569,#41570,#41571,#41572,#41573,#41574,#41575,
#41576,#41577,#41578,#41579,#41580,#41581,#41582,#41583,#41584,#41585,#41586,
#41587,#41588,#41589,#41590,#41591,#41592,#41593));
#42453=CLOSED_SHELL('',(#41594,#41595,#41596,#41597,#41598,#41599,#41600,
#41601,#41602,#41603,#41604,#41605,#41606,#41607,#41608,#41609,#41610,#41611,
#41612,#41613,#41614,#41615,#41616,#41617,#41618,#41619,#41620,#41621,#41622,
#41623,#41624,#41625,#41626,#41627,#41628,#41629,#41630,#41631,#41632,#41633,
#41634,#41635,#41636,#41637,#41638,#41639,#41640,#41641,#41642,#41643));
#42454=CLOSED_SHELL('',(#41644,#41645,#41646,#41647,#41648,#41649,#41650,
#41651,#41652,#41653,#41654,#41655,#41656,#41657,#41658,#41659,#41660,#41661,
#41662,#41663,#41664,#41665,#41666,#41667,#41668,#41669,#41670,#41671));
#42455=CLOSED_SHELL('',(#41672,#41673,#41674,#41675,#41676,#41677,#41678,
#41679,#41680,#41681,#41682,#41683,#41684,#41685,#41686,#41687,#41688,#41689,
#41690,#41691,#41692,#41693,#41694,#41695,#41696,#41697,#41698,#41699,#41700,
#41701,#41702,#41703,#41704,#41705,#41706,#41707,#41708,#41709,#41710,#41711,
#41712,#41713,#41714,#41715,#41716,#41717,#41718,#41719,#41720,#41721,#41722,
#41723,#41724,#41725,#41726,#41727,#41728,#41729,#41730,#41731,#41732,#41733,
#41734,#41735,#41736,#41737,#41738,#41739,#41740,#41741,#41742,#41743,#41744,
#41745,#41746,#41747,#41748,#41749,#41750,#41751,#41752,#41753,#41754,#41755,
#41756,#41757,#41758,#41759,#41760,#41761,#41762,#41763,#41764,#41765,#41766,
#41767,#41768,#41769,#41770,#41771,#41772,#41773,#41774,#41775,#41776,#41777,
#41778,#41779,#41780,#41781,#41782,#41783,#41784,#41785,#41786,#41787,#41788,
#41789,#41790,#41791,#41792,#41793,#41794,#41795,#41796,#41797,#41798,#41799,
#41800,#41801,#41802,#41803,#41804,#41805,#41806,#41807,#41808,#41809,#41810,
#41811,#41812,#41813,#41814,#41815,#41816,#41817,#41818,#41819,#41820,#41821,
#41822,#41823,#41824,#41825,#41826,#41827,#41828,#41829,#41830,#41831,#41832,
#41833,#41834,#41835,#41836));
#42456=CLOSED_SHELL('',(#41837,#41838,#41839,#41840,#41841,#41842,#41843,
#41844,#41845,#41846,#41847,#41848,#41849,#41850,#41851,#41852,#41853,#41854,
#41855,#41856,#41857,#41858,#41859,#41860,#41861,#41862,#41863));
#42457=CLOSED_SHELL('',(#41864,#41865,#41866,#41867,#41868,#41869,#41870,
#41871,#41872,#41873,#41874,#41875,#41876,#41877,#41878,#41879,#41880,#41881,
#41882,#41883,#41884,#41885,#41886,#41887,#41888,#41889,#41890,#41891,#41892,
#41893,#41894,#41895,#41896,#41897,#41898,#41899,#41900,#41901,#41902,#41903,
#41904,#41905,#41906,#41907,#41908,#41909,#41910,#41911,#41912,#41913,#41914,
#41915,#41916,#41917,#41918,#41919,#41920,#41921,#41922,#41923,#41924,#41925,
#41926,#41927,#41928,#41929,#41930,#41931,#41932,#41933,#41934,#41935,#41936,
#41937,#41938,#41939,#41940,#41941,#41942,#41943,#41944,#41945,#41946,#41947,
#41948,#41949,#41950,#41951,#41952,#41953,#41954,#41955,#41956,#41957,#41958,
#41959,#41960,#41961,#41962,#41963,#41964,#41965,#41966,#41967,#41968,#41969,
#41970,#41971,#41972,#41973,#41974,#41975,#41976,#41977));
#42458=CLOSED_SHELL('',(#41978,#41979,#41980,#41981,#41982,#41983,#41984,
#41985,#41986,#41987,#41988,#41989,#41990,#41991));
#42459=CLOSED_SHELL('',(#41992,#41993,#41994,#41995,#41996,#41997,#41998,
#41999,#42000,#42001,#42002,#42003,#42004,#42005,#42006,#42007,#42008,#42009,
#42010,#42011,#42012,#42013,#42014,#42015,#42016,#42017,#42018,#42019,#42020,
#42021,#42022,#42023,#42024,#42025,#42026,#42027,#42028,#42029,#42030,#42031,
#42032,#42033,#42034,#42035,#42036,#42037,#42038,#42039,#42040,#42041,#42042,
#42043,#42044,#42045,#42046,#42047,#42048,#42049,#42050,#42051,#42052,#42053,
#42054,#42055));
#42460=CLOSED_SHELL('',(#42056,#42057,#42058,#42059,#42060,#42061,#42062,
#42063,#42064,#42065,#42066,#42067,#42068,#42069,#42070,#42071,#42072,#42073,
#42074,#42075,#42076,#42077,#42078,#42079,#42080,#42081,#42082,#42083,#42084,
#42085,#42086,#42087,#42088,#42089,#42090,#42091,#42092,#42093,#42094,#42095,
#42096,#42097,#42098,#42099,#42100,#42101,#42102,#42103,#42104,#42105,#42106,
#42107,#42108,#42109,#42110,#42111,#42112,#42113,#42114,#42115,#42116,#42117,
#42118,#42119,#42120,#42121,#42122,#42123,#42124,#42125,#42126,#42127,#42128,
#42129,#42130,#42131,#42132,#42133,#42134,#42135,#42136,#42137,#42138,#42139,
#42140,#42141,#42142,#42143,#42144,#42145,#42146,#42147,#42148,#42149,#42150,
#42151,#42152,#42153,#42154,#42155,#42156,#42157,#42158,#42159,#42160,#42161,
#42162,#42163,#42164,#42165,#42166,#42167,#42168,#42169,#42170,#42171,#42172,
#42173,#42174,#42175,#42176,#42177,#42178,#42179,#42180,#42181,#42182,#42183,
#42184,#42185,#42186,#42187,#42188,#42189,#42190,#42191,#42192,#42193,#42194,
#42195,#42196,#42197,#42198,#42199,#42200,#42201,#42202,#42203,#42204,#42205,
#42206,#42207,#42208,#42209,#42210,#42211,#42212,#42213,#42214,#42215,#42216,
#42217,#42218,#42219,#42220,#42221,#42222,#42223,#42224,#42225,#42226,#42227,
#42228,#42229,#42230,#42231,#42232,#42233,#42234,#42235,#42236,#42237,#42238,
#42239,#42240,#42241,#42242,#42243,#42244,#42245,#42246,#42247,#42248,#42249,
#42250,#42251,#42252,#42253,#42254,#42255,#42256,#42257,#42258,#42259,#42260,
#42261,#42262,#42263,#42264,#42265,#42266,#42267,#42268,#42269,#42270,#42271,
#42272,#42273,#42274,#42275,#42276,#42277,#42278,#42279,#42280,#42281,#42282,
#42283,#42284,#42285,#42286,#42287,#42288,#42289,#42290,#42291,#42292,#42293,
#42294,#42295,#42296,#42297,#42298,#42299,#42300,#42301,#42302,#42303,#42304,
#42305,#42306,#42307,#42308,#42309,#42310,#42311,#42312,#42313,#42314,#42315,
#42316,#42317,#42318,#42319,#42320,#42321,#42322,#42323,#42324,#42325,#42326,
#42327,#42328,#42329,#42330,#42331,#42332,#42333,#42334,#42335,#42336,#42337,
#42338,#42339,#42340,#42341,#42342,#42343,#42344,#42345,#42346,#42347,#42348,
#42349,#42350,#42351,#42352,#42353,#42354,#42355,#42356,#42357,#42358,#42359,
#42360,#42361,#42362,#42363,#42364,#42365,#42366,#42367,#42368,#42369,#42370,
#42371,#42372,#42373,#42374,#42375,#42376,#42377,#42378,#42379,#42380,#42381,
#42382,#42383,#42384,#42385,#42386,#42387,#42388,#42389,#42390,#42391,#42392,
#42393,#42394,#42395,#42396,#42397,#42398,#42399,#42400,#42401,#42402,#42403,
#42404,#42405,#42406,#42407,#42408,#42409,#42410,#42411,#42412,#42413,#42414,
#42415,#42416,#42417,#42418,#42419,#42420,#42421,#42422,#42423,#42424,#42425,
#42426,#42427,#42428,#42429,#42430));
#42461=DERIVED_UNIT_ELEMENT(#42491,1.);
#42462=DERIVED_UNIT_ELEMENT(#72169,3.);
#42463=DERIVED_UNIT_ELEMENT(#42491,1.);
#42464=DERIVED_UNIT_ELEMENT(#72169,3.);
#42465=DERIVED_UNIT_ELEMENT(#42491,1.);
#42466=DERIVED_UNIT_ELEMENT(#72169,3.);
#42467=DERIVED_UNIT_ELEMENT(#42491,1.);
#42468=DERIVED_UNIT_ELEMENT(#72169,3.);
#42469=DERIVED_UNIT_ELEMENT(#42491,1.);
#42470=DERIVED_UNIT_ELEMENT(#72169,3.);
#42471=DERIVED_UNIT_ELEMENT(#42491,1.);
#42472=DERIVED_UNIT_ELEMENT(#72169,3.);
#42473=DERIVED_UNIT_ELEMENT(#42491,1.);
#42474=DERIVED_UNIT_ELEMENT(#72169,3.);
#42475=DERIVED_UNIT_ELEMENT(#42491,1.);
#42476=DERIVED_UNIT_ELEMENT(#72169,3.);
#42477=DERIVED_UNIT_ELEMENT(#42491,1.);
#42478=DERIVED_UNIT_ELEMENT(#72169,3.);
#42479=DERIVED_UNIT_ELEMENT(#42491,1.);
#42480=DERIVED_UNIT_ELEMENT(#72169,3.);
#42481=DERIVED_UNIT_ELEMENT(#42491,1.);
#42482=DERIVED_UNIT_ELEMENT(#72169,3.);
#42483=DERIVED_UNIT_ELEMENT(#42491,1.);
#42484=DERIVED_UNIT_ELEMENT(#72169,3.);
#42485=DERIVED_UNIT_ELEMENT(#42491,1.);
#42486=DERIVED_UNIT_ELEMENT(#72169,3.);
#42487=DERIVED_UNIT_ELEMENT(#42491,1.);
#42488=DERIVED_UNIT_ELEMENT(#72169,3.);
#42489=DERIVED_UNIT_ELEMENT(#42491,1.);
#42490=DERIVED_UNIT_ELEMENT(#72169,3.);
#42491=(
MASS_UNIT()
NAMED_UNIT(*)
SI_UNIT(.KILO.,.GRAM.)
);
#42492=DERIVED_UNIT((#42461,#42462));
#42493=DERIVED_UNIT((#42463,#42464));
#42494=DERIVED_UNIT((#42465,#42466));
#42495=DERIVED_UNIT((#42467,#42468));
#42496=DERIVED_UNIT((#42469,#42470));
#42497=DERIVED_UNIT((#42471,#42472));
#42498=DERIVED_UNIT((#42473,#42474));
#42499=DERIVED_UNIT((#42475,#42476));
#42500=DERIVED_UNIT((#42477,#42478));
#42501=DERIVED_UNIT((#42479,#42480));
#42502=DERIVED_UNIT((#42481,#42482));
#42503=DERIVED_UNIT((#42483,#42484));
#42504=DERIVED_UNIT((#42485,#42486));
#42505=DERIVED_UNIT((#42487,#42488));
#42506=DERIVED_UNIT((#42489,#42490));
#42507=MEASURE_REPRESENTATION_ITEM('density measure',
POSITIVE_RATIO_MEASURE(7850.),#42492);
#42508=MEASURE_REPRESENTATION_ITEM('density measure',
POSITIVE_RATIO_MEASURE(7850.),#42493);
#42509=MEASURE_REPRESENTATION_ITEM('density measure',
POSITIVE_RATIO_MEASURE(7850.),#42494);
#42510=MEASURE_REPRESENTATION_ITEM('density measure',
POSITIVE_RATIO_MEASURE(7850.),#42495);
#42511=MEASURE_REPRESENTATION_ITEM('density measure',
POSITIVE_RATIO_MEASURE(7850.),#42496);
#42512=MEASURE_REPRESENTATION_ITEM('density measure',
POSITIVE_RATIO_MEASURE(7850.),#42497);
#42513=MEASURE_REPRESENTATION_ITEM('density measure',
POSITIVE_RATIO_MEASURE(7850.),#42498);
#42514=MEASURE_REPRESENTATION_ITEM('density measure',
POSITIVE_RATIO_MEASURE(7850.),#42499);
#42515=MEASURE_REPRESENTATION_ITEM('density measure',
POSITIVE_RATIO_MEASURE(7850.),#42500);
#42516=MEASURE_REPRESENTATION_ITEM('density measure',
POSITIVE_RATIO_MEASURE(7850.),#42501);
#42517=MEASURE_REPRESENTATION_ITEM('density measure',
POSITIVE_RATIO_MEASURE(7850.),#42502);
#42518=MEASURE_REPRESENTATION_ITEM('density measure',
POSITIVE_RATIO_MEASURE(7850.),#42503);
#42519=MEASURE_REPRESENTATION_ITEM('density measure',
POSITIVE_RATIO_MEASURE(7850.),#42504);
#42520=MEASURE_REPRESENTATION_ITEM('density measure',
POSITIVE_RATIO_MEASURE(7850.),#42505);
#42521=MEASURE_REPRESENTATION_ITEM('density measure',
POSITIVE_RATIO_MEASURE(7850.),#42506);
#42522=PROPERTY_DEFINITION_REPRESENTATION(#42597,#42552);
#42523=PROPERTY_DEFINITION_REPRESENTATION(#42598,#42553);
#42524=PROPERTY_DEFINITION_REPRESENTATION(#42599,#42554);
#42525=PROPERTY_DEFINITION_REPRESENTATION(#42600,#42555);
#42526=PROPERTY_DEFINITION_REPRESENTATION(#42601,#42556);
#42527=PROPERTY_DEFINITION_REPRESENTATION(#42602,#42557);
#42528=PROPERTY_DEFINITION_REPRESENTATION(#42603,#42558);
#42529=PROPERTY_DEFINITION_REPRESENTATION(#42604,#42559);
#42530=PROPERTY_DEFINITION_REPRESENTATION(#42605,#42560);
#42531=PROPERTY_DEFINITION_REPRESENTATION(#42606,#42561);
#42532=PROPERTY_DEFINITION_REPRESENTATION(#42607,#42562);
#42533=PROPERTY_DEFINITION_REPRESENTATION(#42608,#42563);
#42534=PROPERTY_DEFINITION_REPRESENTATION(#42609,#42564);
#42535=PROPERTY_DEFINITION_REPRESENTATION(#42610,#42565);
#42536=PROPERTY_DEFINITION_REPRESENTATION(#42611,#42566);
#42537=PROPERTY_DEFINITION_REPRESENTATION(#42612,#42567);
#42538=PROPERTY_DEFINITION_REPRESENTATION(#42613,#42568);
#42539=PROPERTY_DEFINITION_REPRESENTATION(#42614,#42569);
#42540=PROPERTY_DEFINITION_REPRESENTATION(#42615,#42570);
#42541=PROPERTY_DEFINITION_REPRESENTATION(#42616,#42571);
#42542=PROPERTY_DEFINITION_REPRESENTATION(#42617,#42572);
#42543=PROPERTY_DEFINITION_REPRESENTATION(#42618,#42573);
#42544=PROPERTY_DEFINITION_REPRESENTATION(#42619,#42574);
#42545=PROPERTY_DEFINITION_REPRESENTATION(#42620,#42575);
#42546=PROPERTY_DEFINITION_REPRESENTATION(#42621,#42576);
#42547=PROPERTY_DEFINITION_REPRESENTATION(#42622,#42577);
#42548=PROPERTY_DEFINITION_REPRESENTATION(#42623,#42578);
#42549=PROPERTY_DEFINITION_REPRESENTATION(#42624,#42579);
#42550=PROPERTY_DEFINITION_REPRESENTATION(#42625,#42580);
#42551=PROPERTY_DEFINITION_REPRESENTATION(#42626,#42581);
#42552=REPRESENTATION('material name',(#42582),#72142);
#42553=REPRESENTATION('density',(#42507),#72142);
#42554=REPRESENTATION('material name',(#42583),#72144);
#42555=REPRESENTATION('density',(#42508),#72144);
#42556=REPRESENTATION('material name',(#42584),#72146);
#42557=REPRESENTATION('density',(#42509),#72146);
#42558=REPRESENTATION('material name',(#42585),#72148);
#42559=REPRESENTATION('density',(#42510),#72148);
#42560=REPRESENTATION('material name',(#42586),#72150);
#42561=REPRESENTATION('density',(#42511),#72150);
#42562=REPRESENTATION('material name',(#42587),#72152);
#42563=REPRESENTATION('density',(#42512),#72152);
#42564=REPRESENTATION('material name',(#42588),#72154);
#42565=REPRESENTATION('density',(#42513),#72154);
#42566=REPRESENTATION('material name',(#42589),#72156);
#42567=REPRESENTATION('density',(#42514),#72156);
#42568=REPRESENTATION('material name',(#42590),#72158);
#42569=REPRESENTATION('density',(#42515),#72158);
#42570=REPRESENTATION('material name',(#42591),#72160);
#42571=REPRESENTATION('density',(#42516),#72160);
#42572=REPRESENTATION('material name',(#42592),#72162);
#42573=REPRESENTATION('density',(#42517),#72162);
#42574=REPRESENTATION('material name',(#42593),#72163);
#42575=REPRESENTATION('density',(#42518),#72163);
#42576=REPRESENTATION('material name',(#42594),#72164);
#42577=REPRESENTATION('density',(#42519),#72164);
#42578=REPRESENTATION('material name',(#42595),#72165);
#42579=REPRESENTATION('density',(#42520),#72165);
#42580=REPRESENTATION('material name',(#42596),#72166);
#42581=REPRESENTATION('density',(#42521),#72166);
#42582=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl','Stahl');
#42583=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl','Stahl');
#42584=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl','Stahl');
#42585=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl','Stahl');
#42586=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl','Stahl');
#42587=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl','Stahl');
#42588=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl','Stahl');
#42589=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl','Stahl');
#42590=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl','Stahl');
#42591=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl','Stahl');
#42592=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl','Stahl');
#42593=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl','Stahl');
#42594=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl','Stahl');
#42595=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl','Stahl');
#42596=DESCRIPTIVE_REPRESENTATION_ITEM('Stahl','Stahl');
#42597=PROPERTY_DEFINITION('material property','material name',#72350);
#42598=PROPERTY_DEFINITION('material property','density of part',#72350);
#42599=PROPERTY_DEFINITION('material property','material name',#72352);
#42600=PROPERTY_DEFINITION('material property','density of part',#72352);
#42601=PROPERTY_DEFINITION('material property','material name',#72354);
#42602=PROPERTY_DEFINITION('material property','density of part',#72354);
#42603=PROPERTY_DEFINITION('material property','material name',#72356);
#42604=PROPERTY_DEFINITION('material property','density of part',#72356);
#42605=PROPERTY_DEFINITION('material property','material name',#72358);
#42606=PROPERTY_DEFINITION('material property','density of part',#72358);
#42607=PROPERTY_DEFINITION('material property','material name',#72360);
#42608=PROPERTY_DEFINITION('material property','density of part',#72360);
#42609=PROPERTY_DEFINITION('material property','material name',#72362);
#42610=PROPERTY_DEFINITION('material property','density of part',#72362);
#42611=PROPERTY_DEFINITION('material property','material name',#72364);
#42612=PROPERTY_DEFINITION('material property','density of part',#72364);
#42613=PROPERTY_DEFINITION('material property','material name',#72366);
#42614=PROPERTY_DEFINITION('material property','density of part',#72366);
#42615=PROPERTY_DEFINITION('material property','material name',#72368);
#42616=PROPERTY_DEFINITION('material property','density of part',#72368);
#42617=PROPERTY_DEFINITION('material property','material name',#72370);
#42618=PROPERTY_DEFINITION('material property','density of part',#72370);
#42619=PROPERTY_DEFINITION('material property','material name',#72371);
#42620=PROPERTY_DEFINITION('material property','density of part',#72371);
#42621=PROPERTY_DEFINITION('material property','material name',#72372);
#42622=PROPERTY_DEFINITION('material property','density of part',#72372);
#42623=PROPERTY_DEFINITION('material property','material name',#72373);
#42624=PROPERTY_DEFINITION('material property','density of part',#72373);
#42625=PROPERTY_DEFINITION('material property','material name',#72374);
#42626=PROPERTY_DEFINITION('material property','density of part',#72374);
#42627=AXIS2_PLACEMENT_3D('placement',#57832,#46329,#46330);
#42628=AXIS2_PLACEMENT_3D('placement',#57833,#46331,#46332);
#42629=AXIS2_PLACEMENT_3D('placement',#57834,#46333,#46334);
#42630=AXIS2_PLACEMENT_3D('placement',#57835,#46335,#46336);
#42631=AXIS2_PLACEMENT_3D('',#57836,#46337,#46338);
#42632=AXIS2_PLACEMENT_3D('',#57845,#46343,#46344);
#42633=AXIS2_PLACEMENT_3D('',#57851,#46348,#46349);
#42634=AXIS2_PLACEMENT_3D('',#57857,#46353,#46354);
#42635=AXIS2_PLACEMENT_3D('',#57860,#46357,#46358);
#42636=AXIS2_PLACEMENT_3D('',#57861,#46359,#46360);
#42637=AXIS2_PLACEMENT_3D('',#57862,#46361,#46362);
#42638=AXIS2_PLACEMENT_3D('',#57871,#46367,#46368);
#42639=AXIS2_PLACEMENT_3D('',#57877,#46372,#46373);
#42640=AXIS2_PLACEMENT_3D('',#57883,#46377,#46378);
#42641=AXIS2_PLACEMENT_3D('',#57886,#46381,#46382);
#42642=AXIS2_PLACEMENT_3D('',#57887,#46383,#46384);
#42643=AXIS2_PLACEMENT_3D('',#57896,#46389,#46390);
#42644=AXIS2_PLACEMENT_3D('',#57902,#46394,#46395);
#42645=AXIS2_PLACEMENT_3D('',#57908,#46399,#46400);
#42646=AXIS2_PLACEMENT_3D('',#57911,#46403,#46404);
#42647=AXIS2_PLACEMENT_3D('',#57912,#46405,#46406);
#42648=AXIS2_PLACEMENT_3D('',#57913,#46407,#46408);
#42649=AXIS2_PLACEMENT_3D('',#57922,#46413,#46414);
#42650=AXIS2_PLACEMENT_3D('',#57928,#46418,#46419);
#42651=AXIS2_PLACEMENT_3D('',#57934,#46423,#46424);
#42652=AXIS2_PLACEMENT_3D('',#57937,#46427,#46428);
#42653=AXIS2_PLACEMENT_3D('',#57938,#46429,#46430);
#42654=AXIS2_PLACEMENT_3D('',#57939,#46431,#46432);
#42655=AXIS2_PLACEMENT_3D('',#57948,#46437,#46438);
#42656=AXIS2_PLACEMENT_3D('',#57954,#46442,#46443);
#42657=AXIS2_PLACEMENT_3D('',#57960,#46447,#46448);
#42658=AXIS2_PLACEMENT_3D('',#57963,#46451,#46452);
#42659=AXIS2_PLACEMENT_3D('',#57964,#46453,#46454);
#42660=AXIS2_PLACEMENT_3D('',#57965,#46455,#46456);
#42661=AXIS2_PLACEMENT_3D('',#57974,#46461,#46462);
#42662=AXIS2_PLACEMENT_3D('',#57980,#46466,#46467);
#42663=AXIS2_PLACEMENT_3D('',#57986,#46471,#46472);
#42664=AXIS2_PLACEMENT_3D('',#57989,#46475,#46476);
#42665=AXIS2_PLACEMENT_3D('',#57990,#46477,#46478);
#42666=AXIS2_PLACEMENT_3D('',#57991,#46479,#46480);
#42667=AXIS2_PLACEMENT_3D('',#58000,#46485,#46486);
#42668=AXIS2_PLACEMENT_3D('',#58006,#46490,#46491);
#42669=AXIS2_PLACEMENT_3D('',#58012,#46495,#46496);
#42670=AXIS2_PLACEMENT_3D('',#58015,#46499,#46500);
#42671=AXIS2_PLACEMENT_3D('',#58016,#46501,#46502);
#42672=AXIS2_PLACEMENT_3D('',#58017,#46503,#46504);
#42673=AXIS2_PLACEMENT_3D('',#58026,#46509,#46510);
#42674=AXIS2_PLACEMENT_3D('',#58032,#46514,#46515);
#42675=AXIS2_PLACEMENT_3D('',#58038,#46519,#46520);
#42676=AXIS2_PLACEMENT_3D('',#58041,#46523,#46524);
#42677=AXIS2_PLACEMENT_3D('',#58042,#46525,#46526);
#42678=AXIS2_PLACEMENT_3D('',#58043,#46527,#46528);
#42679=AXIS2_PLACEMENT_3D('',#58052,#46533,#46534);
#42680=AXIS2_PLACEMENT_3D('',#58058,#46538,#46539);
#42681=AXIS2_PLACEMENT_3D('',#58064,#46543,#46544);
#42682=AXIS2_PLACEMENT_3D('',#58067,#46547,#46548);
#42683=AXIS2_PLACEMENT_3D('',#58068,#46549,#46550);
#42684=AXIS2_PLACEMENT_3D('',#58069,#46551,#46552);
#42685=AXIS2_PLACEMENT_3D('placement',#58070,#46553,#46554);
#42686=AXIS2_PLACEMENT_3D('placement',#58071,#46555,#46556);
#42687=AXIS2_PLACEMENT_3D('',#58072,#46557,#46558);
#42688=AXIS2_PLACEMENT_3D('',#58074,#46559,#46560);
#42689=AXIS2_PLACEMENT_3D('',#58077,#46562,#46563);
#42690=AXIS2_PLACEMENT_3D('',#58078,#46564,#46565);
#42691=AXIS2_PLACEMENT_3D('',#58079,#46566,#46567);
#42692=AXIS2_PLACEMENT_3D('',#58081,#46568,#46569);
#42693=AXIS2_PLACEMENT_3D('',#58084,#46571,#46572);
#42694=AXIS2_PLACEMENT_3D('',#58085,#46573,#46574);
#42695=AXIS2_PLACEMENT_3D('',#58086,#46575,#46576);
#42696=AXIS2_PLACEMENT_3D('',#58088,#46577,#46578);
#42697=AXIS2_PLACEMENT_3D('',#58091,#46580,#46581);
#42698=AXIS2_PLACEMENT_3D('',#58092,#46582,#46583);
#42699=AXIS2_PLACEMENT_3D('',#58093,#46584,#46585);
#42700=AXIS2_PLACEMENT_3D('',#58095,#46586,#46587);
#42701=AXIS2_PLACEMENT_3D('',#58098,#46589,#46590);
#42702=AXIS2_PLACEMENT_3D('',#58099,#46591,#46592);
#42703=AXIS2_PLACEMENT_3D('',#58100,#46593,#46594);
#42704=AXIS2_PLACEMENT_3D('',#58102,#46595,#46596);
#42705=AXIS2_PLACEMENT_3D('',#58105,#46598,#46599);
#42706=AXIS2_PLACEMENT_3D('',#58106,#46600,#46601);
#42707=AXIS2_PLACEMENT_3D('',#58107,#46602,#46603);
#42708=AXIS2_PLACEMENT_3D('',#58109,#46604,#46605);
#42709=AXIS2_PLACEMENT_3D('',#58112,#46607,#46608);
#42710=AXIS2_PLACEMENT_3D('',#58113,#46609,#46610);
#42711=AXIS2_PLACEMENT_3D('',#58116,#46611,#46612);
#42712=AXIS2_PLACEMENT_3D('',#58118,#46614,#46615);
#42713=AXIS2_PLACEMENT_3D('',#58121,#46616,#46617);
#42714=AXIS2_PLACEMENT_3D('',#58123,#46619,#46620);
#42715=AXIS2_PLACEMENT_3D('',#58125,#46621,#46622);
#42716=AXIS2_PLACEMENT_3D('',#58128,#46624,#46625);
#42717=AXIS2_PLACEMENT_3D('',#58129,#46626,#46627);
#42718=AXIS2_PLACEMENT_3D('',#58130,#46628,#46629);
#42719=AXIS2_PLACEMENT_3D('',#58131,#46630,#46631);
#42720=AXIS2_PLACEMENT_3D('',#58132,#46632,#46633);
#42721=AXIS2_PLACEMENT_3D('',#58138,#46637,#46638);
#42722=AXIS2_PLACEMENT_3D('',#58144,#46642,#46643);
#42723=AXIS2_PLACEMENT_3D('',#58153,#46648,#46649);
#42724=AXIS2_PLACEMENT_3D('',#58159,#46653,#46654);
#42725=AXIS2_PLACEMENT_3D('',#58165,#46658,#46659);
#42726=AXIS2_PLACEMENT_3D('',#58171,#46663,#46664);
#42727=AXIS2_PLACEMENT_3D('',#58177,#46668,#46669);
#42728=AXIS2_PLACEMENT_3D('',#58180,#46672,#46673);
#42729=AXIS2_PLACEMENT_3D('',#58183,#46676,#46677);
#42730=AXIS2_PLACEMENT_3D('',#58184,#46678,#46679);
#42731=AXIS2_PLACEMENT_3D('',#58185,#46680,#46681);
#42732=AXIS2_PLACEMENT_3D('',#58194,#46686,#46687);
#42733=AXIS2_PLACEMENT_3D('',#58200,#46691,#46692);
#42734=AXIS2_PLACEMENT_3D('',#58206,#46696,#46697);
#42735=AXIS2_PLACEMENT_3D('',#58209,#46700,#46701);
#42736=AXIS2_PLACEMENT_3D('',#58210,#46702,#46703);
#42737=AXIS2_PLACEMENT_3D('',#58211,#46704,#46705);
#42738=AXIS2_PLACEMENT_3D('',#58220,#46710,#46711);
#42739=AXIS2_PLACEMENT_3D('',#58226,#46715,#46716);
#42740=AXIS2_PLACEMENT_3D('',#58232,#46720,#46721);
#42741=AXIS2_PLACEMENT_3D('',#58235,#46724,#46725);
#42742=AXIS2_PLACEMENT_3D('',#58236,#46726,#46727);
#42743=AXIS2_PLACEMENT_3D('',#58237,#46728,#46729);
#42744=AXIS2_PLACEMENT_3D('',#58246,#46734,#46735);
#42745=AXIS2_PLACEMENT_3D('',#58252,#46739,#46740);
#42746=AXIS2_PLACEMENT_3D('',#58258,#46744,#46745);
#42747=AXIS2_PLACEMENT_3D('',#58261,#46748,#46749);
#42748=AXIS2_PLACEMENT_3D('',#58262,#46750,#46751);
#42749=AXIS2_PLACEMENT_3D('',#58263,#46752,#46753);
#42750=AXIS2_PLACEMENT_3D('',#58272,#46758,#46759);
#42751=AXIS2_PLACEMENT_3D('',#58278,#46763,#46764);
#42752=AXIS2_PLACEMENT_3D('',#58284,#46768,#46769);
#42753=AXIS2_PLACEMENT_3D('',#58287,#46772,#46773);
#42754=AXIS2_PLACEMENT_3D('',#58288,#46774,#46775);
#42755=AXIS2_PLACEMENT_3D('',#58289,#46776,#46777);
#42756=AXIS2_PLACEMENT_3D('',#58298,#46782,#46783);
#42757=AXIS2_PLACEMENT_3D('',#58304,#46787,#46788);
#42758=AXIS2_PLACEMENT_3D('',#58310,#46792,#46793);
#42759=AXIS2_PLACEMENT_3D('',#58313,#46796,#46797);
#42760=AXIS2_PLACEMENT_3D('',#58314,#46798,#46799);
#42761=AXIS2_PLACEMENT_3D('',#58315,#46800,#46801);
#42762=AXIS2_PLACEMENT_3D('placement',#58316,#46802,#46803);
#42763=AXIS2_PLACEMENT_3D('placement',#58317,#46804,#46805);
#42764=AXIS2_PLACEMENT_3D('',#58318,#46806,#46807);
#42765=AXIS2_PLACEMENT_3D('',#58321,#46808,#46809);
#42766=AXIS2_PLACEMENT_3D('',#58325,#46812,#46813);
#42767=AXIS2_PLACEMENT_3D('',#58328,#46814,#46815);
#42768=AXIS2_PLACEMENT_3D('',#58331,#46817,#46818);
#42769=AXIS2_PLACEMENT_3D('',#58332,#46819,#46820);
#42770=AXIS2_PLACEMENT_3D('',#58333,#46821,#46822);
#42771=AXIS2_PLACEMENT_3D('',#58334,#46823,#46824);
#42772=AXIS2_PLACEMENT_3D('',#58335,#46825,#46826);
#42773=AXIS2_PLACEMENT_3D('',#58336,#46827,#46828);
#42774=AXIS2_PLACEMENT_3D('',#58339,#46829,#46830);
#42775=AXIS2_PLACEMENT_3D('',#58340,#46831,#46832);
#42776=AXIS2_PLACEMENT_3D('',#58341,#46833,#46834);
#42777=AXIS2_PLACEMENT_3D('',#58344,#46835,#46836);
#42778=AXIS2_PLACEMENT_3D('',#58345,#46837,#46838);
#42779=AXIS2_PLACEMENT_3D('',#58347,#46840,#46841);
#42780=AXIS2_PLACEMENT_3D('',#58350,#46842,#46843);
#42781=AXIS2_PLACEMENT_3D('',#58351,#46844,#46845);
#42782=AXIS2_PLACEMENT_3D('',#58354,#46846,#46847);
#42783=AXIS2_PLACEMENT_3D('',#58355,#46848,#46849);
#42784=AXIS2_PLACEMENT_3D('',#58356,#46850,#46851);
#42785=AXIS2_PLACEMENT_3D('',#58360,#46853,#46854);
#42786=AXIS2_PLACEMENT_3D('',#58362,#46856,#46857);
#42787=AXIS2_PLACEMENT_3D('',#58363,#46858,#46859);
#42788=AXIS2_PLACEMENT_3D('',#58364,#46860,#46861);
#42789=AXIS2_PLACEMENT_3D('',#58368,#46863,#46864);
#42790=AXIS2_PLACEMENT_3D('',#58369,#46865,#46866);
#42791=AXIS2_PLACEMENT_3D('',#58370,#46867,#46868);
#42792=AXIS2_PLACEMENT_3D('',#58374,#46870,#46871);
#42793=AXIS2_PLACEMENT_3D('',#58376,#46873,#46874);
#42794=AXIS2_PLACEMENT_3D('',#58377,#46875,#46876);
#42795=AXIS2_PLACEMENT_3D('',#58378,#46877,#46878);
#42796=AXIS2_PLACEMENT_3D('',#58379,#46879,#46880);
#42797=AXIS2_PLACEMENT_3D('',#58381,#46881,#46882);
#42798=AXIS2_PLACEMENT_3D('',#58383,#46883,#46884);
#42799=AXIS2_PLACEMENT_3D('',#58384,#46885,#46886);
#42800=AXIS2_PLACEMENT_3D('',#58385,#46887,#46888);
#42801=AXIS2_PLACEMENT_3D('',#58386,#46889,#46890);
#42802=AXIS2_PLACEMENT_3D('',#58387,#46891,#46892);
#42803=AXIS2_PLACEMENT_3D('',#58390,#46893,#46894);
#42804=AXIS2_PLACEMENT_3D('',#58393,#46897,#46898);
#42805=AXIS2_PLACEMENT_3D('',#58394,#46899,#46900);
#42806=AXIS2_PLACEMENT_3D('',#58492,#46901,#46902);
#42807=AXIS2_PLACEMENT_3D('',#58506,#46903,#46904);
#42808=AXIS2_PLACEMENT_3D('',#58549,#46905,#46906);
#42809=AXIS2_PLACEMENT_3D('',#58550,#46907,#46908);
#42810=AXIS2_PLACEMENT_3D('',#58552,#46909,#46910);
#42811=AXIS2_PLACEMENT_3D('',#58566,#46911,#46912);
#42812=AXIS2_PLACEMENT_3D('',#58567,#46913,#46914);
#42813=AXIS2_PLACEMENT_3D('',#58569,#46915,#46916);
#42814=AXIS2_PLACEMENT_3D('',#58571,#46917,#46918);
#42815=AXIS2_PLACEMENT_3D('',#58639,#46920,#46921);
#42816=AXIS2_PLACEMENT_3D('',#58640,#46922,#46923);
#42817=AXIS2_PLACEMENT_3D('',#58738,#46924,#46925);
#42818=AXIS2_PLACEMENT_3D('',#58740,#46926,#46927);
#42819=AXIS2_PLACEMENT_3D('',#58741,#46928,#46929);
#42820=AXIS2_PLACEMENT_3D('',#58785,#46930,#46931);
#42821=AXIS2_PLACEMENT_3D('',#58786,#46932,#46933);
#42822=AXIS2_PLACEMENT_3D('',#58787,#46934,#46935);
#42823=AXIS2_PLACEMENT_3D('',#58788,#46936,#46937);
#42824=AXIS2_PLACEMENT_3D('',#58832,#46938,#46939);
#42825=AXIS2_PLACEMENT_3D('',#58833,#46940,#46941);
#42826=AXIS2_PLACEMENT_3D('',#58834,#46942,#46943);
#42827=AXIS2_PLACEMENT_3D('',#58837,#46944,#46945);
#42828=AXIS2_PLACEMENT_3D('',#58882,#46948,#46949);
#42829=AXIS2_PLACEMENT_3D('',#58883,#46950,#46951);
#42830=AXIS2_PLACEMENT_3D('',#58884,#46952,#46953);
#42831=AXIS2_PLACEMENT_3D('',#59030,#46954,#46955);
#42832=AXIS2_PLACEMENT_3D('',#59032,#46956,#46957);
#42833=AXIS2_PLACEMENT_3D('',#59034,#46958,#46959);
#42834=AXIS2_PLACEMENT_3D('',#59035,#46960,#46961);
#42835=AXIS2_PLACEMENT_3D('',#59036,#46962,#46963);
#42836=AXIS2_PLACEMENT_3D('',#59037,#46964,#46965);
#42837=AXIS2_PLACEMENT_3D('',#59038,#46966,#46967);
#42838=AXIS2_PLACEMENT_3D('',#59039,#46968,#46969);
#42839=AXIS2_PLACEMENT_3D('',#59043,#46971,#46972);
#42840=AXIS2_PLACEMENT_3D('',#59045,#46974,#46975);
#42841=AXIS2_PLACEMENT_3D('',#59046,#46976,#46977);
#42842=AXIS2_PLACEMENT_3D('',#59047,#46978,#46979);
#42843=AXIS2_PLACEMENT_3D('',#59051,#46981,#46982);
#42844=AXIS2_PLACEMENT_3D('',#59055,#46984,#46985);
#42845=AXIS2_PLACEMENT_3D('',#59059,#46987,#46988);
#42846=AXIS2_PLACEMENT_3D('',#59063,#46990,#46991);
#42847=AXIS2_PLACEMENT_3D('',#59067,#46993,#46994);
#42848=AXIS2_PLACEMENT_3D('',#59071,#46996,#46997);
#42849=AXIS2_PLACEMENT_3D('',#59075,#46999,#47000);
#42850=AXIS2_PLACEMENT_3D('',#59077,#47002,#47003);
#42851=AXIS2_PLACEMENT_3D('',#59079,#47004,#47005);
#42852=AXIS2_PLACEMENT_3D('',#59083,#47007,#47008);
#42853=AXIS2_PLACEMENT_3D('',#59086,#47010,#47011);
#42854=AXIS2_PLACEMENT_3D('',#59087,#47012,#47013);
#42855=AXIS2_PLACEMENT_3D('',#59091,#47016,#47017);
#42856=AXIS2_PLACEMENT_3D('',#59095,#47020,#47021);
#42857=AXIS2_PLACEMENT_3D('',#59099,#47024,#47025);
#42858=AXIS2_PLACEMENT_3D('',#59110,#47033,#47034);
#42859=AXIS2_PLACEMENT_3D('',#59112,#47036,#47037);
#42860=AXIS2_PLACEMENT_3D('',#59116,#47040,#47041);
#42861=AXIS2_PLACEMENT_3D('',#59118,#47043,#47044);
#42862=AXIS2_PLACEMENT_3D('',#59122,#47047,#47048);
#42863=AXIS2_PLACEMENT_3D('',#59123,#47049,#47050);
#42864=AXIS2_PLACEMENT_3D('',#59125,#47052,#47053);
#42865=AXIS2_PLACEMENT_3D('',#59126,#47054,#47055);
#42866=AXIS2_PLACEMENT_3D('',#59127,#47056,#47057);
#42867=AXIS2_PLACEMENT_3D('',#59128,#47058,#47059);
#42868=AXIS2_PLACEMENT_3D('placement',#59129,#47060,#47061);
#42869=AXIS2_PLACEMENT_3D('placement',#59130,#47062,#47063);
#42870=AXIS2_PLACEMENT_3D('',#59131,#47064,#47065);
#42871=AXIS2_PLACEMENT_3D('',#59140,#47070,#47071);
#42872=AXIS2_PLACEMENT_3D('',#59142,#47072,#47073);
#42873=AXIS2_PLACEMENT_3D('',#59145,#47075,#47076);
#42874=AXIS2_PLACEMENT_3D('',#59146,#47077,#47078);
#42875=AXIS2_PLACEMENT_3D('',#59150,#47080,#47081);
#42876=AXIS2_PLACEMENT_3D('',#59151,#47082,#47083);
#42877=AXIS2_PLACEMENT_3D('',#59152,#47084,#47085);
#42878=AXIS2_PLACEMENT_3D('',#59154,#47086,#47087);
#42879=AXIS2_PLACEMENT_3D('',#59158,#47089,#47090);
#42880=AXIS2_PLACEMENT_3D('',#59162,#47092,#47093);
#42881=AXIS2_PLACEMENT_3D('',#59166,#47095,#47096);
#42882=AXIS2_PLACEMENT_3D('',#59167,#47097,#47098);
#42883=AXIS2_PLACEMENT_3D('',#59170,#47099,#47100);
#42884=AXIS2_PLACEMENT_3D('',#59171,#47101,#47102);
#42885=AXIS2_PLACEMENT_3D('',#59172,#47103,#47104);
#42886=AXIS2_PLACEMENT_3D('',#59174,#47105,#47106);
#42887=AXIS2_PLACEMENT_3D('',#59176,#47108,#47109);
#42888=AXIS2_PLACEMENT_3D('',#59177,#47110,#47111);
#42889=AXIS2_PLACEMENT_3D('',#59178,#47112,#47113);
#42890=AXIS2_PLACEMENT_3D('',#59182,#47116,#47117);
#42891=AXIS2_PLACEMENT_3D('',#59188,#47121,#47122);
#42892=AXIS2_PLACEMENT_3D('',#59189,#47123,#47124);
#42893=AXIS2_PLACEMENT_3D('',#59190,#47125,#47126);
#42894=AXIS2_PLACEMENT_3D('',#59194,#47129,#47130);
#42895=AXIS2_PLACEMENT_3D('',#59196,#47131,#47132);
#42896=AXIS2_PLACEMENT_3D('',#59198,#47134,#47135);
#42897=AXIS2_PLACEMENT_3D('',#59201,#47137,#47138);
#42898=AXIS2_PLACEMENT_3D('',#59202,#47139,#47140);
#42899=AXIS2_PLACEMENT_3D('',#59204,#47142,#47143);
#42900=AXIS2_PLACEMENT_3D('',#59208,#47145,#47146);
#42901=AXIS2_PLACEMENT_3D('',#59209,#47147,#47148);
#42902=AXIS2_PLACEMENT_3D('',#59210,#47149,#47150);
#42903=AXIS2_PLACEMENT_3D('',#59214,#47152,#47153);
#42904=AXIS2_PLACEMENT_3D('',#59215,#47154,#47155);
#42905=AXIS2_PLACEMENT_3D('',#59216,#47156,#47157);
#42906=AXIS2_PLACEMENT_3D('',#59217,#47158,#47159);
#42907=AXIS2_PLACEMENT_3D('',#59218,#47160,#47161);
#42908=AXIS2_PLACEMENT_3D('',#59219,#47162,#47163);
#42909=AXIS2_PLACEMENT_3D('',#59220,#47164,#47165);
#42910=AXIS2_PLACEMENT_3D('',#59221,#47166,#47167);
#42911=AXIS2_PLACEMENT_3D('',#59222,#47168,#47169);
#42912=AXIS2_PLACEMENT_3D('',#59223,#47170,#47171);
#42913=AXIS2_PLACEMENT_3D('',#59224,#47172,#47173);
#42914=AXIS2_PLACEMENT_3D('',#59225,#47174,#47175);
#42915=AXIS2_PLACEMENT_3D('',#59226,#47176,#47177);
#42916=AXIS2_PLACEMENT_3D('',#59227,#47178,#47179);
#42917=AXIS2_PLACEMENT_3D('placement',#59228,#47180,#47181);
#42918=AXIS2_PLACEMENT_3D('placement',#59229,#47182,#47183);
#42919=AXIS2_PLACEMENT_3D('',#59230,#47184,#47185);
#42920=AXIS2_PLACEMENT_3D('',#59235,#47187,#47188);
#42921=AXIS2_PLACEMENT_3D('',#59238,#47190,#47191);
#42922=AXIS2_PLACEMENT_3D('',#59239,#47192,#47193);
#42923=AXIS2_PLACEMENT_3D('',#59245,#47197,#47198);
#42924=AXIS2_PLACEMENT_3D('',#59251,#47202,#47203);
#42925=AXIS2_PLACEMENT_3D('',#59257,#47206,#47207);
#42926=AXIS2_PLACEMENT_3D('',#59261,#47209,#47210);
#42927=AXIS2_PLACEMENT_3D('',#59268,#47214,#47215);
#42928=AXIS2_PLACEMENT_3D('',#59269,#47216,#47217);
#42929=AXIS2_PLACEMENT_3D('',#59273,#47219,#47220);
#42930=AXIS2_PLACEMENT_3D('',#59281,#47224,#47225);
#42931=AXIS2_PLACEMENT_3D('',#59285,#47227,#47228);
#42932=AXIS2_PLACEMENT_3D('',#59287,#47230,#47231);
#42933=AXIS2_PLACEMENT_3D('',#59341,#47259,#47260);
#42934=AXIS2_PLACEMENT_3D('',#59343,#47262,#47263);
#42935=AXIS2_PLACEMENT_3D('',#59347,#47266,#47267);
#42936=AXIS2_PLACEMENT_3D('',#59354,#47272,#47273);
#42937=AXIS2_PLACEMENT_3D('',#59356,#47275,#47276);
#42938=AXIS2_PLACEMENT_3D('',#59358,#47278,#47279);
#42939=AXIS2_PLACEMENT_3D('',#59360,#47281,#47282);
#42940=AXIS2_PLACEMENT_3D('',#59362,#47284,#47285);
#42941=AXIS2_PLACEMENT_3D('',#59364,#47287,#47288);
#42942=AXIS2_PLACEMENT_3D('',#59365,#47289,#47290);
#42943=AXIS2_PLACEMENT_3D('',#59369,#47293,#47294);
#42944=AXIS2_PLACEMENT_3D('',#59383,#47302,#47303);
#42945=AXIS2_PLACEMENT_3D('',#59387,#47306,#47307);
#42946=AXIS2_PLACEMENT_3D('',#59391,#47310,#47311);
#42947=AXIS2_PLACEMENT_3D('',#59397,#47315,#47316);
#42948=AXIS2_PLACEMENT_3D('',#59403,#47320,#47321);
#42949=AXIS2_PLACEMENT_3D('',#59409,#47325,#47326);
#42950=AXIS2_PLACEMENT_3D('',#59415,#47330,#47331);
#42951=AXIS2_PLACEMENT_3D('',#59421,#47335,#47336);
#42952=AXIS2_PLACEMENT_3D('',#59427,#47340,#47341);
#42953=AXIS2_PLACEMENT_3D('',#59433,#47345,#47346);
#42954=AXIS2_PLACEMENT_3D('',#59439,#47350,#47351);
#42955=AXIS2_PLACEMENT_3D('',#59445,#47355,#47356);
#42956=AXIS2_PLACEMENT_3D('',#59451,#47360,#47361);
#42957=AXIS2_PLACEMENT_3D('',#59457,#47365,#47366);
#42958=AXIS2_PLACEMENT_3D('',#59463,#47370,#47371);
#42959=AXIS2_PLACEMENT_3D('',#59469,#47375,#47376);
#42960=AXIS2_PLACEMENT_3D('',#59475,#47380,#47381);
#42961=AXIS2_PLACEMENT_3D('',#59481,#47385,#47386);
#42962=AXIS2_PLACEMENT_3D('',#59487,#47390,#47391);
#42963=AXIS2_PLACEMENT_3D('',#59493,#47395,#47396);
#42964=AXIS2_PLACEMENT_3D('',#59499,#47400,#47401);
#42965=AXIS2_PLACEMENT_3D('',#59505,#47405,#47406);
#42966=AXIS2_PLACEMENT_3D('',#59511,#47410,#47411);
#42967=AXIS2_PLACEMENT_3D('',#59517,#47415,#47416);
#42968=AXIS2_PLACEMENT_3D('',#59523,#47420,#47421);
#42969=AXIS2_PLACEMENT_3D('',#59527,#47424,#47425);
#42970=AXIS2_PLACEMENT_3D('',#59531,#47428,#47429);
#42971=AXIS2_PLACEMENT_3D('',#59583,#47456,#47457);
#42972=AXIS2_PLACEMENT_3D('',#59585,#47459,#47460);
#42973=AXIS2_PLACEMENT_3D('',#59589,#47462,#47463);
#42974=AXIS2_PLACEMENT_3D('',#59593,#47465,#47466);
#42975=AXIS2_PLACEMENT_3D('',#59601,#47470,#47471);
#42976=AXIS2_PLACEMENT_3D('',#59604,#47473,#47474);
#42977=AXIS2_PLACEMENT_3D('',#59605,#47475,#47476);
#42978=AXIS2_PLACEMENT_3D('',#59657,#47503,#47504);
#42979=AXIS2_PLACEMENT_3D('',#59661,#47507,#47508);
#42980=AXIS2_PLACEMENT_3D('',#59713,#47535,#47536);
#42981=AXIS2_PLACEMENT_3D('',#59717,#47539,#47540);
#42982=AXIS2_PLACEMENT_3D('',#59767,#47566,#47567);
#42983=AXIS2_PLACEMENT_3D('',#59771,#47570,#47571);
#42984=AXIS2_PLACEMENT_3D('',#59773,#47572,#47573);
#42985=AXIS2_PLACEMENT_3D('',#59777,#47575,#47576);
#42986=AXIS2_PLACEMENT_3D('',#59785,#47580,#47581);
#42987=AXIS2_PLACEMENT_3D('',#59789,#47583,#47584);
#42988=AXIS2_PLACEMENT_3D('',#59791,#47586,#47587);
#42989=AXIS2_PLACEMENT_3D('',#59794,#47590,#47591);
#42990=AXIS2_PLACEMENT_3D('',#59798,#47593,#47594);
#42991=AXIS2_PLACEMENT_3D('',#59802,#47596,#47597);
#42992=AXIS2_PLACEMENT_3D('',#59810,#47601,#47602);
#42993=AXIS2_PLACEMENT_3D('',#59813,#47604,#47605);
#42994=AXIS2_PLACEMENT_3D('',#59814,#47606,#47607);
#42995=AXIS2_PLACEMENT_3D('',#59816,#47609,#47610);
#42996=AXIS2_PLACEMENT_3D('',#59818,#47611,#47612);
#42997=AXIS2_PLACEMENT_3D('',#59822,#47614,#47615);
#42998=AXIS2_PLACEMENT_3D('',#59830,#47619,#47620);
#42999=AXIS2_PLACEMENT_3D('',#59834,#47622,#47623);
#43000=AXIS2_PLACEMENT_3D('',#59836,#47625,#47626);
#43001=AXIS2_PLACEMENT_3D('',#59839,#47629,#47630);
#43002=AXIS2_PLACEMENT_3D('',#59842,#47632,#47633);
#43003=AXIS2_PLACEMENT_3D('',#59843,#47634,#47635);
#43004=AXIS2_PLACEMENT_3D('',#59847,#47637,#47638);
#43005=AXIS2_PLACEMENT_3D('',#59855,#47642,#47643);
#43006=AXIS2_PLACEMENT_3D('',#59859,#47645,#47646);
#43007=AXIS2_PLACEMENT_3D('',#59861,#47648,#47649);
#43008=AXIS2_PLACEMENT_3D('',#59865,#47651,#47652);
#43009=AXIS2_PLACEMENT_3D('',#59869,#47654,#47655);
#43010=AXIS2_PLACEMENT_3D('',#59877,#47659,#47660);
#43011=AXIS2_PLACEMENT_3D('',#59880,#47662,#47663);
#43012=AXIS2_PLACEMENT_3D('',#59881,#47664,#47665);
#43013=AXIS2_PLACEMENT_3D('',#59883,#47667,#47668);
#43014=AXIS2_PLACEMENT_3D('',#59886,#47671,#47672);
#43015=AXIS2_PLACEMENT_3D('',#59890,#47674,#47675);
#43016=AXIS2_PLACEMENT_3D('',#59894,#47677,#47678);
#43017=AXIS2_PLACEMENT_3D('',#59902,#47682,#47683);
#43018=AXIS2_PLACEMENT_3D('',#59905,#47685,#47686);
#43019=AXIS2_PLACEMENT_3D('',#59906,#47687,#47688);
#43020=AXIS2_PLACEMENT_3D('',#59908,#47689,#47690);
#43021=AXIS2_PLACEMENT_3D('',#59912,#47692,#47693);
#43022=AXIS2_PLACEMENT_3D('',#59920,#47697,#47698);
#43023=AXIS2_PLACEMENT_3D('',#59924,#47700,#47701);
#43024=AXIS2_PLACEMENT_3D('',#59926,#47703,#47704);
#43025=AXIS2_PLACEMENT_3D('',#59929,#47707,#47708);
#43026=AXIS2_PLACEMENT_3D('',#59932,#47710,#47711);
#43027=AXIS2_PLACEMENT_3D('',#59933,#47712,#47713);
#43028=AXIS2_PLACEMENT_3D('',#59937,#47715,#47716);
#43029=AXIS2_PLACEMENT_3D('',#59945,#47720,#47721);
#43030=AXIS2_PLACEMENT_3D('',#59949,#47723,#47724);
#43031=AXIS2_PLACEMENT_3D('',#59951,#47726,#47727);
#43032=AXIS2_PLACEMENT_3D('',#59955,#47729,#47730);
#43033=AXIS2_PLACEMENT_3D('',#59959,#47732,#47733);
#43034=AXIS2_PLACEMENT_3D('',#59967,#47737,#47738);
#43035=AXIS2_PLACEMENT_3D('',#59970,#47740,#47741);
#43036=AXIS2_PLACEMENT_3D('',#59971,#47742,#47743);
#43037=AXIS2_PLACEMENT_3D('',#59973,#47745,#47746);
#43038=AXIS2_PLACEMENT_3D('',#59976,#47749,#47750);
#43039=AXIS2_PLACEMENT_3D('',#59980,#47752,#47753);
#43040=AXIS2_PLACEMENT_3D('',#59984,#47755,#47756);
#43041=AXIS2_PLACEMENT_3D('',#59992,#47760,#47761);
#43042=AXIS2_PLACEMENT_3D('',#59995,#47763,#47764);
#43043=AXIS2_PLACEMENT_3D('',#59996,#47765,#47766);
#43044=AXIS2_PLACEMENT_3D('',#59998,#47767,#47768);
#43045=AXIS2_PLACEMENT_3D('',#60002,#47770,#47771);
#43046=AXIS2_PLACEMENT_3D('',#60010,#47775,#47776);
#43047=AXIS2_PLACEMENT_3D('',#60014,#47778,#47779);
#43048=AXIS2_PLACEMENT_3D('',#60016,#47781,#47782);
#43049=AXIS2_PLACEMENT_3D('',#60019,#47785,#47786);
#43050=AXIS2_PLACEMENT_3D('',#60022,#47788,#47789);
#43051=AXIS2_PLACEMENT_3D('',#60023,#47790,#47791);
#43052=AXIS2_PLACEMENT_3D('',#60027,#47793,#47794);
#43053=AXIS2_PLACEMENT_3D('',#60035,#47798,#47799);
#43054=AXIS2_PLACEMENT_3D('',#60039,#47801,#47802);
#43055=AXIS2_PLACEMENT_3D('',#60041,#47804,#47805);
#43056=AXIS2_PLACEMENT_3D('',#60045,#47807,#47808);
#43057=AXIS2_PLACEMENT_3D('',#60049,#47810,#47811);
#43058=AXIS2_PLACEMENT_3D('',#60057,#47815,#47816);
#43059=AXIS2_PLACEMENT_3D('',#60060,#47818,#47819);
#43060=AXIS2_PLACEMENT_3D('',#60061,#47820,#47821);
#43061=AXIS2_PLACEMENT_3D('',#60063,#47823,#47824);
#43062=AXIS2_PLACEMENT_3D('',#60066,#47827,#47828);
#43063=AXIS2_PLACEMENT_3D('',#60070,#47830,#47831);
#43064=AXIS2_PLACEMENT_3D('',#60074,#47833,#47834);
#43065=AXIS2_PLACEMENT_3D('',#60082,#47838,#47839);
#43066=AXIS2_PLACEMENT_3D('',#60085,#47841,#47842);
#43067=AXIS2_PLACEMENT_3D('',#60086,#47843,#47844);
#43068=AXIS2_PLACEMENT_3D('',#60088,#47845,#47846);
#43069=AXIS2_PLACEMENT_3D('',#60092,#47848,#47849);
#43070=AXIS2_PLACEMENT_3D('',#60100,#47853,#47854);
#43071=AXIS2_PLACEMENT_3D('',#60104,#47856,#47857);
#43072=AXIS2_PLACEMENT_3D('',#60106,#47859,#47860);
#43073=AXIS2_PLACEMENT_3D('',#60109,#47863,#47864);
#43074=AXIS2_PLACEMENT_3D('',#60112,#47866,#47867);
#43075=AXIS2_PLACEMENT_3D('',#60113,#47868,#47869);
#43076=AXIS2_PLACEMENT_3D('',#60117,#47871,#47872);
#43077=AXIS2_PLACEMENT_3D('',#60125,#47876,#47877);
#43078=AXIS2_PLACEMENT_3D('',#60129,#47879,#47880);
#43079=AXIS2_PLACEMENT_3D('',#60131,#47882,#47883);
#43080=AXIS2_PLACEMENT_3D('',#60135,#47885,#47886);
#43081=AXIS2_PLACEMENT_3D('',#60139,#47888,#47889);
#43082=AXIS2_PLACEMENT_3D('',#60147,#47893,#47894);
#43083=AXIS2_PLACEMENT_3D('',#60150,#47896,#47897);
#43084=AXIS2_PLACEMENT_3D('',#60151,#47898,#47899);
#43085=AXIS2_PLACEMENT_3D('',#60153,#47901,#47902);
#43086=AXIS2_PLACEMENT_3D('',#60156,#47905,#47906);
#43087=AXIS2_PLACEMENT_3D('',#60160,#47908,#47909);
#43088=AXIS2_PLACEMENT_3D('',#60164,#47911,#47912);
#43089=AXIS2_PLACEMENT_3D('',#60172,#47916,#47917);
#43090=AXIS2_PLACEMENT_3D('',#60175,#47919,#47920);
#43091=AXIS2_PLACEMENT_3D('',#60176,#47921,#47922);
#43092=AXIS2_PLACEMENT_3D('',#60178,#47923,#47924);
#43093=AXIS2_PLACEMENT_3D('',#60182,#47926,#47927);
#43094=AXIS2_PLACEMENT_3D('',#60190,#47931,#47932);
#43095=AXIS2_PLACEMENT_3D('',#60194,#47934,#47935);
#43096=AXIS2_PLACEMENT_3D('',#60196,#47937,#47938);
#43097=AXIS2_PLACEMENT_3D('',#60199,#47941,#47942);
#43098=AXIS2_PLACEMENT_3D('',#60202,#47944,#47945);
#43099=AXIS2_PLACEMENT_3D('',#60203,#47946,#47947);
#43100=AXIS2_PLACEMENT_3D('',#60207,#47949,#47950);
#43101=AXIS2_PLACEMENT_3D('',#60215,#47954,#47955);
#43102=AXIS2_PLACEMENT_3D('',#60219,#47957,#47958);
#43103=AXIS2_PLACEMENT_3D('',#60221,#47960,#47961);
#43104=AXIS2_PLACEMENT_3D('',#60225,#47963,#47964);
#43105=AXIS2_PLACEMENT_3D('',#60229,#47966,#47967);
#43106=AXIS2_PLACEMENT_3D('',#60237,#47971,#47972);
#43107=AXIS2_PLACEMENT_3D('',#60240,#47974,#47975);
#43108=AXIS2_PLACEMENT_3D('',#60241,#47976,#47977);
#43109=AXIS2_PLACEMENT_3D('',#60243,#47979,#47980);
#43110=AXIS2_PLACEMENT_3D('',#60246,#47983,#47984);
#43111=AXIS2_PLACEMENT_3D('',#60250,#47987,#47988);
#43112=AXIS2_PLACEMENT_3D('',#60254,#47991,#47992);
#43113=AXIS2_PLACEMENT_3D('',#60260,#47996,#47997);
#43114=AXIS2_PLACEMENT_3D('',#60262,#47998,#47999);
#43115=AXIS2_PLACEMENT_3D('',#60265,#48001,#48002);
#43116=AXIS2_PLACEMENT_3D('',#60266,#48003,#48004);
#43117=AXIS2_PLACEMENT_3D('',#60270,#48007,#48008);
#43118=AXIS2_PLACEMENT_3D('',#60272,#48010,#48011);
#43119=AXIS2_PLACEMENT_3D('',#60274,#48013,#48014);
#43120=AXIS2_PLACEMENT_3D('',#60276,#48016,#48017);
#43121=AXIS2_PLACEMENT_3D('',#60278,#48019,#48020);
#43122=AXIS2_PLACEMENT_3D('',#60280,#48022,#48023);
#43123=AXIS2_PLACEMENT_3D('',#60282,#48025,#48026);
#43124=AXIS2_PLACEMENT_3D('',#60284,#48028,#48029);
#43125=AXIS2_PLACEMENT_3D('',#60286,#48031,#48032);
#43126=AXIS2_PLACEMENT_3D('',#60288,#48034,#48035);
#43127=AXIS2_PLACEMENT_3D('',#60290,#48037,#48038);
#43128=AXIS2_PLACEMENT_3D('',#60292,#48040,#48041);
#43129=AXIS2_PLACEMENT_3D('',#60294,#48043,#48044);
#43130=AXIS2_PLACEMENT_3D('',#60296,#48046,#48047);
#43131=AXIS2_PLACEMENT_3D('',#60298,#48049,#48050);
#43132=AXIS2_PLACEMENT_3D('',#60300,#48052,#48053);
#43133=AXIS2_PLACEMENT_3D('',#60302,#48055,#48056);
#43134=AXIS2_PLACEMENT_3D('',#60304,#48058,#48059);
#43135=AXIS2_PLACEMENT_3D('',#60306,#48061,#48062);
#43136=AXIS2_PLACEMENT_3D('',#60307,#48063,#48064);
#43137=AXIS2_PLACEMENT_3D('',#60311,#48067,#48068);
#43138=AXIS2_PLACEMENT_3D('',#60317,#48072,#48073);
#43139=AXIS2_PLACEMENT_3D('',#60323,#48077,#48078);
#43140=AXIS2_PLACEMENT_3D('',#60329,#48082,#48083);
#43141=AXIS2_PLACEMENT_3D('',#60335,#48087,#48088);
#43142=AXIS2_PLACEMENT_3D('',#60341,#48092,#48093);
#43143=AXIS2_PLACEMENT_3D('',#60347,#48097,#48098);
#43144=AXIS2_PLACEMENT_3D('',#60353,#48102,#48103);
#43145=AXIS2_PLACEMENT_3D('',#60359,#48107,#48108);
#43146=AXIS2_PLACEMENT_3D('',#60365,#48112,#48113);
#43147=AXIS2_PLACEMENT_3D('',#60371,#48117,#48118);
#43148=AXIS2_PLACEMENT_3D('',#60377,#48122,#48123);
#43149=AXIS2_PLACEMENT_3D('',#60383,#48127,#48128);
#43150=AXIS2_PLACEMENT_3D('',#60389,#48132,#48133);
#43151=AXIS2_PLACEMENT_3D('',#60395,#48137,#48138);
#43152=AXIS2_PLACEMENT_3D('',#60401,#48142,#48143);
#43153=AXIS2_PLACEMENT_3D('',#60407,#48147,#48148);
#43154=AXIS2_PLACEMENT_3D('',#60413,#48152,#48153);
#43155=AXIS2_PLACEMENT_3D('',#60419,#48157,#48158);
#43156=AXIS2_PLACEMENT_3D('',#60425,#48162,#48163);
#43157=AXIS2_PLACEMENT_3D('',#60431,#48167,#48168);
#43158=AXIS2_PLACEMENT_3D('',#60437,#48172,#48173);
#43159=AXIS2_PLACEMENT_3D('',#60443,#48177,#48178);
#43160=AXIS2_PLACEMENT_3D('',#60449,#48182,#48183);
#43161=AXIS2_PLACEMENT_3D('',#60455,#48187,#48188);
#43162=AXIS2_PLACEMENT_3D('',#60459,#48191,#48192);
#43163=AXIS2_PLACEMENT_3D('',#60463,#48195,#48196);
#43164=AXIS2_PLACEMENT_3D('',#60469,#48200,#48201);
#43165=AXIS2_PLACEMENT_3D('',#60475,#48205,#48206);
#43166=AXIS2_PLACEMENT_3D('',#60481,#48210,#48211);
#43167=AXIS2_PLACEMENT_3D('',#60487,#48215,#48216);
#43168=AXIS2_PLACEMENT_3D('',#60493,#48220,#48221);
#43169=AXIS2_PLACEMENT_3D('',#60499,#48225,#48226);
#43170=AXIS2_PLACEMENT_3D('',#60505,#48230,#48231);
#43171=AXIS2_PLACEMENT_3D('',#60511,#48235,#48236);
#43172=AXIS2_PLACEMENT_3D('',#60517,#48240,#48241);
#43173=AXIS2_PLACEMENT_3D('',#60523,#48245,#48246);
#43174=AXIS2_PLACEMENT_3D('',#60529,#48250,#48251);
#43175=AXIS2_PLACEMENT_3D('',#60535,#48255,#48256);
#43176=AXIS2_PLACEMENT_3D('',#60541,#48260,#48261);
#43177=AXIS2_PLACEMENT_3D('',#60547,#48265,#48266);
#43178=AXIS2_PLACEMENT_3D('',#60553,#48270,#48271);
#43179=AXIS2_PLACEMENT_3D('',#60559,#48275,#48276);
#43180=AXIS2_PLACEMENT_3D('',#60565,#48280,#48281);
#43181=AXIS2_PLACEMENT_3D('',#60571,#48285,#48286);
#43182=AXIS2_PLACEMENT_3D('',#60577,#48290,#48291);
#43183=AXIS2_PLACEMENT_3D('',#60583,#48295,#48296);
#43184=AXIS2_PLACEMENT_3D('',#60589,#48300,#48301);
#43185=AXIS2_PLACEMENT_3D('',#60595,#48305,#48306);
#43186=AXIS2_PLACEMENT_3D('',#60601,#48310,#48311);
#43187=AXIS2_PLACEMENT_3D('',#60607,#48315,#48316);
#43188=AXIS2_PLACEMENT_3D('',#60611,#48319,#48320);
#43189=AXIS2_PLACEMENT_3D('',#60615,#48323,#48324);
#43190=AXIS2_PLACEMENT_3D('',#60621,#48328,#48329);
#43191=AXIS2_PLACEMENT_3D('',#60627,#48333,#48334);
#43192=AXIS2_PLACEMENT_3D('',#60633,#48338,#48339);
#43193=AXIS2_PLACEMENT_3D('',#60639,#48343,#48344);
#43194=AXIS2_PLACEMENT_3D('',#60645,#48348,#48349);
#43195=AXIS2_PLACEMENT_3D('',#60651,#48353,#48354);
#43196=AXIS2_PLACEMENT_3D('',#60657,#48358,#48359);
#43197=AXIS2_PLACEMENT_3D('',#60663,#48363,#48364);
#43198=AXIS2_PLACEMENT_3D('',#60669,#48368,#48369);
#43199=AXIS2_PLACEMENT_3D('',#60675,#48373,#48374);
#43200=AXIS2_PLACEMENT_3D('',#60681,#48378,#48379);
#43201=AXIS2_PLACEMENT_3D('',#60687,#48383,#48384);
#43202=AXIS2_PLACEMENT_3D('',#60693,#48388,#48389);
#43203=AXIS2_PLACEMENT_3D('',#60699,#48393,#48394);
#43204=AXIS2_PLACEMENT_3D('',#60705,#48398,#48399);
#43205=AXIS2_PLACEMENT_3D('',#60711,#48403,#48404);
#43206=AXIS2_PLACEMENT_3D('',#60717,#48408,#48409);
#43207=AXIS2_PLACEMENT_3D('',#60723,#48413,#48414);
#43208=AXIS2_PLACEMENT_3D('',#60729,#48418,#48419);
#43209=AXIS2_PLACEMENT_3D('',#60735,#48423,#48424);
#43210=AXIS2_PLACEMENT_3D('',#60741,#48428,#48429);
#43211=AXIS2_PLACEMENT_3D('',#60747,#48433,#48434);
#43212=AXIS2_PLACEMENT_3D('',#60753,#48438,#48439);
#43213=AXIS2_PLACEMENT_3D('',#60755,#48441,#48442);
#43214=AXIS2_PLACEMENT_3D('',#60757,#48444,#48445);
#43215=AXIS2_PLACEMENT_3D('',#60759,#48447,#48448);
#43216=AXIS2_PLACEMENT_3D('',#60761,#48450,#48451);
#43217=AXIS2_PLACEMENT_3D('',#60763,#48453,#48454);
#43218=AXIS2_PLACEMENT_3D('',#60765,#48456,#48457);
#43219=AXIS2_PLACEMENT_3D('',#60767,#48459,#48460);
#43220=AXIS2_PLACEMENT_3D('',#60769,#48462,#48463);
#43221=AXIS2_PLACEMENT_3D('',#60770,#48464,#48465);
#43222=AXIS2_PLACEMENT_3D('',#60772,#48467,#48468);
#43223=AXIS2_PLACEMENT_3D('',#60774,#48470,#48471);
#43224=AXIS2_PLACEMENT_3D('',#60776,#48473,#48474);
#43225=AXIS2_PLACEMENT_3D('',#60778,#48476,#48477);
#43226=AXIS2_PLACEMENT_3D('',#60780,#48479,#48480);
#43227=AXIS2_PLACEMENT_3D('',#60782,#48482,#48483);
#43228=AXIS2_PLACEMENT_3D('',#60784,#48485,#48486);
#43229=AXIS2_PLACEMENT_3D('',#60785,#48487,#48488);
#43230=AXIS2_PLACEMENT_3D('',#60787,#48490,#48491);
#43231=AXIS2_PLACEMENT_3D('',#60789,#48493,#48494);
#43232=AXIS2_PLACEMENT_3D('',#60791,#48496,#48497);
#43233=AXIS2_PLACEMENT_3D('',#60793,#48499,#48500);
#43234=AXIS2_PLACEMENT_3D('',#60795,#48502,#48503);
#43235=AXIS2_PLACEMENT_3D('',#60797,#48505,#48506);
#43236=AXIS2_PLACEMENT_3D('',#60799,#48508,#48509);
#43237=AXIS2_PLACEMENT_3D('',#60800,#48510,#48511);
#43238=AXIS2_PLACEMENT_3D('',#60802,#48513,#48514);
#43239=AXIS2_PLACEMENT_3D('',#60804,#48516,#48517);
#43240=AXIS2_PLACEMENT_3D('',#60806,#48519,#48520);
#43241=AXIS2_PLACEMENT_3D('',#60808,#48522,#48523);
#43242=AXIS2_PLACEMENT_3D('',#60810,#48525,#48526);
#43243=AXIS2_PLACEMENT_3D('',#60812,#48528,#48529);
#43244=AXIS2_PLACEMENT_3D('',#60814,#48531,#48532);
#43245=AXIS2_PLACEMENT_3D('',#60815,#48533,#48534);
#43246=AXIS2_PLACEMENT_3D('',#60817,#48536,#48537);
#43247=AXIS2_PLACEMENT_3D('',#60819,#48539,#48540);
#43248=AXIS2_PLACEMENT_3D('',#60821,#48542,#48543);
#43249=AXIS2_PLACEMENT_3D('',#60823,#48545,#48546);
#43250=AXIS2_PLACEMENT_3D('',#60825,#48548,#48549);
#43251=AXIS2_PLACEMENT_3D('',#60827,#48551,#48552);
#43252=AXIS2_PLACEMENT_3D('',#60829,#48554,#48555);
#43253=AXIS2_PLACEMENT_3D('',#60830,#48556,#48557);
#43254=AXIS2_PLACEMENT_3D('',#60832,#48559,#48560);
#43255=AXIS2_PLACEMENT_3D('',#60834,#48562,#48563);
#43256=AXIS2_PLACEMENT_3D('',#60836,#48565,#48566);
#43257=AXIS2_PLACEMENT_3D('',#60838,#48568,#48569);
#43258=AXIS2_PLACEMENT_3D('',#60840,#48571,#48572);
#43259=AXIS2_PLACEMENT_3D('',#60842,#48574,#48575);
#43260=AXIS2_PLACEMENT_3D('',#60844,#48577,#48578);
#43261=AXIS2_PLACEMENT_3D('',#60845,#48579,#48580);
#43262=AXIS2_PLACEMENT_3D('',#60847,#48582,#48583);
#43263=AXIS2_PLACEMENT_3D('',#60849,#48585,#48586);
#43264=AXIS2_PLACEMENT_3D('',#60851,#48588,#48589);
#43265=AXIS2_PLACEMENT_3D('',#60853,#48591,#48592);
#43266=AXIS2_PLACEMENT_3D('',#60855,#48594,#48595);
#43267=AXIS2_PLACEMENT_3D('',#60857,#48597,#48598);
#43268=AXIS2_PLACEMENT_3D('',#60859,#48600,#48601);
#43269=AXIS2_PLACEMENT_3D('',#60860,#48602,#48603);
#43270=AXIS2_PLACEMENT_3D('',#60862,#48605,#48606);
#43271=AXIS2_PLACEMENT_3D('',#60864,#48608,#48609);
#43272=AXIS2_PLACEMENT_3D('',#60866,#48611,#48612);
#43273=AXIS2_PLACEMENT_3D('',#60868,#48614,#48615);
#43274=AXIS2_PLACEMENT_3D('',#60870,#48617,#48618);
#43275=AXIS2_PLACEMENT_3D('',#60872,#48620,#48621);
#43276=AXIS2_PLACEMENT_3D('',#60874,#48623,#48624);
#43277=AXIS2_PLACEMENT_3D('',#60875,#48625,#48626);
#43278=AXIS2_PLACEMENT_3D('',#60877,#48628,#48629);
#43279=AXIS2_PLACEMENT_3D('',#60879,#48631,#48632);
#43280=AXIS2_PLACEMENT_3D('',#60881,#48634,#48635);
#43281=AXIS2_PLACEMENT_3D('',#60883,#48637,#48638);
#43282=AXIS2_PLACEMENT_3D('',#60885,#48640,#48641);
#43283=AXIS2_PLACEMENT_3D('',#60887,#48643,#48644);
#43284=AXIS2_PLACEMENT_3D('',#60889,#48646,#48647);
#43285=AXIS2_PLACEMENT_3D('',#60890,#48648,#48649);
#43286=AXIS2_PLACEMENT_3D('',#60892,#48651,#48652);
#43287=AXIS2_PLACEMENT_3D('',#60894,#48654,#48655);
#43288=AXIS2_PLACEMENT_3D('',#60896,#48657,#48658);
#43289=AXIS2_PLACEMENT_3D('',#60898,#48660,#48661);
#43290=AXIS2_PLACEMENT_3D('',#60900,#48663,#48664);
#43291=AXIS2_PLACEMENT_3D('',#60902,#48666,#48667);
#43292=AXIS2_PLACEMENT_3D('',#60904,#48669,#48670);
#43293=AXIS2_PLACEMENT_3D('',#60905,#48671,#48672);
#43294=AXIS2_PLACEMENT_3D('',#60909,#48675,#48676);
#43295=AXIS2_PLACEMENT_3D('',#60911,#48677,#48678);
#43296=AXIS2_PLACEMENT_3D('',#60915,#48680,#48681);
#43297=AXIS2_PLACEMENT_3D('',#60923,#48685,#48686);
#43298=AXIS2_PLACEMENT_3D('',#60927,#48688,#48689);
#43299=AXIS2_PLACEMENT_3D('',#60929,#48691,#48692);
#43300=AXIS2_PLACEMENT_3D('',#60983,#48720,#48721);
#43301=AXIS2_PLACEMENT_3D('',#60986,#48723,#48724);
#43302=AXIS2_PLACEMENT_3D('',#60987,#48725,#48726);
#43303=AXIS2_PLACEMENT_3D('',#60989,#48727,#48728);
#43304=AXIS2_PLACEMENT_3D('',#60991,#48730,#48731);
#43305=AXIS2_PLACEMENT_3D('',#61003,#48737,#48738);
#43306=AXIS2_PLACEMENT_3D('',#61004,#48739,#48740);
#43307=AXIS2_PLACEMENT_3D('',#61005,#48741,#48742);
#43308=AXIS2_PLACEMENT_3D('',#61057,#48769,#48770);
#43309=AXIS2_PLACEMENT_3D('',#61059,#48772,#48773);
#43310=AXIS2_PLACEMENT_3D('',#61063,#48775,#48776);
#43311=AXIS2_PLACEMENT_3D('',#61067,#48778,#48779);
#43312=AXIS2_PLACEMENT_3D('',#61075,#48783,#48784);
#43313=AXIS2_PLACEMENT_3D('',#61078,#48786,#48787);
#43314=AXIS2_PLACEMENT_3D('',#61079,#48788,#48789);
#43315=AXIS2_PLACEMENT_3D('',#61081,#48790,#48791);
#43316=AXIS2_PLACEMENT_3D('',#61085,#48793,#48794);
#43317=AXIS2_PLACEMENT_3D('',#61093,#48798,#48799);
#43318=AXIS2_PLACEMENT_3D('',#61097,#48801,#48802);
#43319=AXIS2_PLACEMENT_3D('',#61099,#48804,#48805);
#43320=AXIS2_PLACEMENT_3D('',#61102,#48808,#48809);
#43321=AXIS2_PLACEMENT_3D('',#61105,#48811,#48812);
#43322=AXIS2_PLACEMENT_3D('',#61106,#48813,#48814);
#43323=AXIS2_PLACEMENT_3D('',#61110,#48816,#48817);
#43324=AXIS2_PLACEMENT_3D('',#61118,#48821,#48822);
#43325=AXIS2_PLACEMENT_3D('',#61122,#48824,#48825);
#43326=AXIS2_PLACEMENT_3D('',#61124,#48827,#48828);
#43327=AXIS2_PLACEMENT_3D('',#61128,#48830,#48831);
#43328=AXIS2_PLACEMENT_3D('',#61132,#48833,#48834);
#43329=AXIS2_PLACEMENT_3D('',#61140,#48838,#48839);
#43330=AXIS2_PLACEMENT_3D('',#61143,#48841,#48842);
#43331=AXIS2_PLACEMENT_3D('',#61144,#48843,#48844);
#43332=AXIS2_PLACEMENT_3D('',#61146,#48846,#48847);
#43333=AXIS2_PLACEMENT_3D('',#61149,#48850,#48851);
#43334=AXIS2_PLACEMENT_3D('',#61153,#48853,#48854);
#43335=AXIS2_PLACEMENT_3D('',#61157,#48856,#48857);
#43336=AXIS2_PLACEMENT_3D('',#61165,#48861,#48862);
#43337=AXIS2_PLACEMENT_3D('',#61168,#48864,#48865);
#43338=AXIS2_PLACEMENT_3D('',#61169,#48866,#48867);
#43339=AXIS2_PLACEMENT_3D('',#61171,#48868,#48869);
#43340=AXIS2_PLACEMENT_3D('',#61175,#48871,#48872);
#43341=AXIS2_PLACEMENT_3D('',#61183,#48876,#48877);
#43342=AXIS2_PLACEMENT_3D('',#61187,#48879,#48880);
#43343=AXIS2_PLACEMENT_3D('',#61189,#48882,#48883);
#43344=AXIS2_PLACEMENT_3D('',#61192,#48886,#48887);
#43345=AXIS2_PLACEMENT_3D('',#61195,#48889,#48890);
#43346=AXIS2_PLACEMENT_3D('',#61196,#48891,#48892);
#43347=AXIS2_PLACEMENT_3D('',#61200,#48894,#48895);
#43348=AXIS2_PLACEMENT_3D('',#61208,#48899,#48900);
#43349=AXIS2_PLACEMENT_3D('',#61212,#48902,#48903);
#43350=AXIS2_PLACEMENT_3D('',#61214,#48905,#48906);
#43351=AXIS2_PLACEMENT_3D('',#61218,#48908,#48909);
#43352=AXIS2_PLACEMENT_3D('',#61222,#48911,#48912);
#43353=AXIS2_PLACEMENT_3D('',#61230,#48916,#48917);
#43354=AXIS2_PLACEMENT_3D('',#61233,#48919,#48920);
#43355=AXIS2_PLACEMENT_3D('',#61234,#48921,#48922);
#43356=AXIS2_PLACEMENT_3D('',#61236,#48924,#48925);
#43357=AXIS2_PLACEMENT_3D('',#61239,#48928,#48929);
#43358=AXIS2_PLACEMENT_3D('',#61243,#48931,#48932);
#43359=AXIS2_PLACEMENT_3D('',#61247,#48934,#48935);
#43360=AXIS2_PLACEMENT_3D('',#61255,#48939,#48940);
#43361=AXIS2_PLACEMENT_3D('',#61258,#48942,#48943);
#43362=AXIS2_PLACEMENT_3D('',#61259,#48944,#48945);
#43363=AXIS2_PLACEMENT_3D('',#61261,#48946,#48947);
#43364=AXIS2_PLACEMENT_3D('',#61265,#48949,#48950);
#43365=AXIS2_PLACEMENT_3D('',#61273,#48954,#48955);
#43366=AXIS2_PLACEMENT_3D('',#61277,#48957,#48958);
#43367=AXIS2_PLACEMENT_3D('',#61279,#48960,#48961);
#43368=AXIS2_PLACEMENT_3D('',#61282,#48964,#48965);
#43369=AXIS2_PLACEMENT_3D('',#61285,#48967,#48968);
#43370=AXIS2_PLACEMENT_3D('',#61286,#48969,#48970);
#43371=AXIS2_PLACEMENT_3D('',#61290,#48972,#48973);
#43372=AXIS2_PLACEMENT_3D('',#61298,#48977,#48978);
#43373=AXIS2_PLACEMENT_3D('',#61302,#48980,#48981);
#43374=AXIS2_PLACEMENT_3D('',#61304,#48983,#48984);
#43375=AXIS2_PLACEMENT_3D('',#61308,#48986,#48987);
#43376=AXIS2_PLACEMENT_3D('',#61312,#48989,#48990);
#43377=AXIS2_PLACEMENT_3D('',#61320,#48994,#48995);
#43378=AXIS2_PLACEMENT_3D('',#61323,#48997,#48998);
#43379=AXIS2_PLACEMENT_3D('',#61324,#48999,#49000);
#43380=AXIS2_PLACEMENT_3D('',#61326,#49002,#49003);
#43381=AXIS2_PLACEMENT_3D('',#61329,#49006,#49007);
#43382=AXIS2_PLACEMENT_3D('',#61333,#49009,#49010);
#43383=AXIS2_PLACEMENT_3D('',#61337,#49012,#49013);
#43384=AXIS2_PLACEMENT_3D('',#61345,#49017,#49018);
#43385=AXIS2_PLACEMENT_3D('',#61348,#49020,#49021);
#43386=AXIS2_PLACEMENT_3D('',#61349,#49022,#49023);
#43387=AXIS2_PLACEMENT_3D('',#61351,#49024,#49025);
#43388=AXIS2_PLACEMENT_3D('',#61355,#49027,#49028);
#43389=AXIS2_PLACEMENT_3D('',#61363,#49032,#49033);
#43390=AXIS2_PLACEMENT_3D('',#61367,#49035,#49036);
#43391=AXIS2_PLACEMENT_3D('',#61369,#49038,#49039);
#43392=AXIS2_PLACEMENT_3D('',#61372,#49042,#49043);
#43393=AXIS2_PLACEMENT_3D('',#61375,#49045,#49046);
#43394=AXIS2_PLACEMENT_3D('',#61376,#49047,#49048);
#43395=AXIS2_PLACEMENT_3D('',#61380,#49050,#49051);
#43396=AXIS2_PLACEMENT_3D('',#61388,#49055,#49056);
#43397=AXIS2_PLACEMENT_3D('',#61392,#49058,#49059);
#43398=AXIS2_PLACEMENT_3D('',#61394,#49061,#49062);
#43399=AXIS2_PLACEMENT_3D('',#61398,#49064,#49065);
#43400=AXIS2_PLACEMENT_3D('',#61402,#49067,#49068);
#43401=AXIS2_PLACEMENT_3D('',#61410,#49072,#49073);
#43402=AXIS2_PLACEMENT_3D('',#61413,#49075,#49076);
#43403=AXIS2_PLACEMENT_3D('',#61414,#49077,#49078);
#43404=AXIS2_PLACEMENT_3D('',#61416,#49080,#49081);
#43405=AXIS2_PLACEMENT_3D('',#61419,#49084,#49085);
#43406=AXIS2_PLACEMENT_3D('',#61423,#49087,#49088);
#43407=AXIS2_PLACEMENT_3D('',#61427,#49090,#49091);
#43408=AXIS2_PLACEMENT_3D('',#61435,#49095,#49096);
#43409=AXIS2_PLACEMENT_3D('',#61438,#49098,#49099);
#43410=AXIS2_PLACEMENT_3D('',#61439,#49100,#49101);
#43411=AXIS2_PLACEMENT_3D('',#61441,#49102,#49103);
#43412=AXIS2_PLACEMENT_3D('',#61445,#49105,#49106);
#43413=AXIS2_PLACEMENT_3D('',#61453,#49110,#49111);
#43414=AXIS2_PLACEMENT_3D('',#61457,#49113,#49114);
#43415=AXIS2_PLACEMENT_3D('',#61459,#49116,#49117);
#43416=AXIS2_PLACEMENT_3D('',#61462,#49120,#49121);
#43417=AXIS2_PLACEMENT_3D('',#61465,#49123,#49124);
#43418=AXIS2_PLACEMENT_3D('',#61466,#49125,#49126);
#43419=AXIS2_PLACEMENT_3D('',#61470,#49128,#49129);
#43420=AXIS2_PLACEMENT_3D('',#61478,#49133,#49134);
#43421=AXIS2_PLACEMENT_3D('',#61482,#49136,#49137);
#43422=AXIS2_PLACEMENT_3D('',#61484,#49139,#49140);
#43423=AXIS2_PLACEMENT_3D('',#61488,#49142,#49143);
#43424=AXIS2_PLACEMENT_3D('',#61492,#49145,#49146);
#43425=AXIS2_PLACEMENT_3D('',#61500,#49150,#49151);
#43426=AXIS2_PLACEMENT_3D('',#61503,#49153,#49154);
#43427=AXIS2_PLACEMENT_3D('',#61504,#49155,#49156);
#43428=AXIS2_PLACEMENT_3D('',#61506,#49158,#49159);
#43429=AXIS2_PLACEMENT_3D('',#61509,#49162,#49163);
#43430=AXIS2_PLACEMENT_3D('',#61513,#49165,#49166);
#43431=AXIS2_PLACEMENT_3D('',#61517,#49168,#49169);
#43432=AXIS2_PLACEMENT_3D('',#61525,#49173,#49174);
#43433=AXIS2_PLACEMENT_3D('',#61528,#49176,#49177);
#43434=AXIS2_PLACEMENT_3D('',#61529,#49178,#49179);
#43435=AXIS2_PLACEMENT_3D('',#61531,#49180,#49181);
#43436=AXIS2_PLACEMENT_3D('',#61535,#49183,#49184);
#43437=AXIS2_PLACEMENT_3D('',#61543,#49188,#49189);
#43438=AXIS2_PLACEMENT_3D('',#61547,#49191,#49192);
#43439=AXIS2_PLACEMENT_3D('',#61549,#49194,#49195);
#43440=AXIS2_PLACEMENT_3D('',#61552,#49198,#49199);
#43441=AXIS2_PLACEMENT_3D('',#61555,#49201,#49202);
#43442=AXIS2_PLACEMENT_3D('',#61556,#49203,#49204);
#43443=AXIS2_PLACEMENT_3D('',#61560,#49206,#49207);
#43444=AXIS2_PLACEMENT_3D('',#61568,#49211,#49212);
#43445=AXIS2_PLACEMENT_3D('',#61572,#49214,#49215);
#43446=AXIS2_PLACEMENT_3D('',#61574,#49217,#49218);
#43447=AXIS2_PLACEMENT_3D('',#61578,#49220,#49221);
#43448=AXIS2_PLACEMENT_3D('',#61582,#49223,#49224);
#43449=AXIS2_PLACEMENT_3D('',#61590,#49228,#49229);
#43450=AXIS2_PLACEMENT_3D('',#61593,#49231,#49232);
#43451=AXIS2_PLACEMENT_3D('',#61594,#49233,#49234);
#43452=AXIS2_PLACEMENT_3D('',#61596,#49236,#49237);
#43453=AXIS2_PLACEMENT_3D('',#61599,#49240,#49241);
#43454=AXIS2_PLACEMENT_3D('',#61603,#49244,#49245);
#43455=AXIS2_PLACEMENT_3D('',#61607,#49248,#49249);
#43456=AXIS2_PLACEMENT_3D('',#61611,#49251,#49252);
#43457=AXIS2_PLACEMENT_3D('',#61615,#49254,#49255);
#43458=AXIS2_PLACEMENT_3D('',#61623,#49259,#49260);
#43459=AXIS2_PLACEMENT_3D('',#61626,#49262,#49263);
#43460=AXIS2_PLACEMENT_3D('',#61627,#49264,#49265);
#43461=AXIS2_PLACEMENT_3D('',#61629,#49266,#49267);
#43462=AXIS2_PLACEMENT_3D('',#61633,#49269,#49270);
#43463=AXIS2_PLACEMENT_3D('',#61641,#49274,#49275);
#43464=AXIS2_PLACEMENT_3D('',#61645,#49277,#49278);
#43465=AXIS2_PLACEMENT_3D('',#61647,#49280,#49281);
#43466=AXIS2_PLACEMENT_3D('',#61653,#49285,#49286);
#43467=AXIS2_PLACEMENT_3D('',#61656,#49288,#49289);
#43468=AXIS2_PLACEMENT_3D('',#61657,#49290,#49291);
#43469=AXIS2_PLACEMENT_3D('',#61661,#49293,#49294);
#43470=AXIS2_PLACEMENT_3D('',#61669,#49298,#49299);
#43471=AXIS2_PLACEMENT_3D('',#61673,#49301,#49302);
#43472=AXIS2_PLACEMENT_3D('',#61675,#49304,#49305);
#43473=AXIS2_PLACEMENT_3D('',#61679,#49307,#49308);
#43474=AXIS2_PLACEMENT_3D('',#61683,#49310,#49311);
#43475=AXIS2_PLACEMENT_3D('',#61691,#49315,#49316);
#43476=AXIS2_PLACEMENT_3D('',#61694,#49318,#49319);
#43477=AXIS2_PLACEMENT_3D('',#61695,#49320,#49321);
#43478=AXIS2_PLACEMENT_3D('',#61697,#49323,#49324);
#43479=AXIS2_PLACEMENT_3D('',#61703,#49328,#49329);
#43480=AXIS2_PLACEMENT_3D('',#61707,#49331,#49332);
#43481=AXIS2_PLACEMENT_3D('',#61711,#49334,#49335);
#43482=AXIS2_PLACEMENT_3D('',#61719,#49339,#49340);
#43483=AXIS2_PLACEMENT_3D('',#61722,#49342,#49343);
#43484=AXIS2_PLACEMENT_3D('',#61723,#49344,#49345);
#43485=AXIS2_PLACEMENT_3D('',#61725,#49346,#49347);
#43486=AXIS2_PLACEMENT_3D('',#61729,#49349,#49350);
#43487=AXIS2_PLACEMENT_3D('',#61737,#49354,#49355);
#43488=AXIS2_PLACEMENT_3D('',#61741,#49357,#49358);
#43489=AXIS2_PLACEMENT_3D('',#61743,#49360,#49361);
#43490=AXIS2_PLACEMENT_3D('',#61749,#49365,#49366);
#43491=AXIS2_PLACEMENT_3D('',#61752,#49368,#49369);
#43492=AXIS2_PLACEMENT_3D('',#61753,#49370,#49371);
#43493=AXIS2_PLACEMENT_3D('',#61757,#49373,#49374);
#43494=AXIS2_PLACEMENT_3D('',#61765,#49378,#49379);
#43495=AXIS2_PLACEMENT_3D('',#61769,#49381,#49382);
#43496=AXIS2_PLACEMENT_3D('',#61771,#49384,#49385);
#43497=AXIS2_PLACEMENT_3D('',#61775,#49387,#49388);
#43498=AXIS2_PLACEMENT_3D('',#61779,#49390,#49391);
#43499=AXIS2_PLACEMENT_3D('',#61787,#49395,#49396);
#43500=AXIS2_PLACEMENT_3D('',#61790,#49398,#49399);
#43501=AXIS2_PLACEMENT_3D('',#61791,#49400,#49401);
#43502=AXIS2_PLACEMENT_3D('',#61793,#49403,#49404);
#43503=AXIS2_PLACEMENT_3D('',#61799,#49408,#49409);
#43504=AXIS2_PLACEMENT_3D('',#61803,#49411,#49412);
#43505=AXIS2_PLACEMENT_3D('',#61807,#49414,#49415);
#43506=AXIS2_PLACEMENT_3D('',#61815,#49419,#49420);
#43507=AXIS2_PLACEMENT_3D('',#61818,#49422,#49423);
#43508=AXIS2_PLACEMENT_3D('',#61819,#49424,#49425);
#43509=AXIS2_PLACEMENT_3D('',#61821,#49426,#49427);
#43510=AXIS2_PLACEMENT_3D('',#61825,#49429,#49430);
#43511=AXIS2_PLACEMENT_3D('',#61833,#49434,#49435);
#43512=AXIS2_PLACEMENT_3D('',#61837,#49437,#49438);
#43513=AXIS2_PLACEMENT_3D('',#61839,#49440,#49441);
#43514=AXIS2_PLACEMENT_3D('',#61845,#49445,#49446);
#43515=AXIS2_PLACEMENT_3D('',#61848,#49448,#49449);
#43516=AXIS2_PLACEMENT_3D('',#61849,#49450,#49451);
#43517=AXIS2_PLACEMENT_3D('',#61853,#49453,#49454);
#43518=AXIS2_PLACEMENT_3D('',#61861,#49458,#49459);
#43519=AXIS2_PLACEMENT_3D('',#61865,#49461,#49462);
#43520=AXIS2_PLACEMENT_3D('',#61867,#49464,#49465);
#43521=AXIS2_PLACEMENT_3D('',#61871,#49467,#49468);
#43522=AXIS2_PLACEMENT_3D('',#61875,#49470,#49471);
#43523=AXIS2_PLACEMENT_3D('',#61883,#49475,#49476);
#43524=AXIS2_PLACEMENT_3D('',#61886,#49478,#49479);
#43525=AXIS2_PLACEMENT_3D('',#61887,#49480,#49481);
#43526=AXIS2_PLACEMENT_3D('',#61889,#49483,#49484);
#43527=AXIS2_PLACEMENT_3D('',#61895,#49488,#49489);
#43528=AXIS2_PLACEMENT_3D('',#61899,#49491,#49492);
#43529=AXIS2_PLACEMENT_3D('',#61903,#49494,#49495);
#43530=AXIS2_PLACEMENT_3D('',#61911,#49499,#49500);
#43531=AXIS2_PLACEMENT_3D('',#61914,#49502,#49503);
#43532=AXIS2_PLACEMENT_3D('',#61915,#49504,#49505);
#43533=AXIS2_PLACEMENT_3D('',#61917,#49506,#49507);
#43534=AXIS2_PLACEMENT_3D('',#61921,#49509,#49510);
#43535=AXIS2_PLACEMENT_3D('',#61929,#49514,#49515);
#43536=AXIS2_PLACEMENT_3D('',#61933,#49517,#49518);
#43537=AXIS2_PLACEMENT_3D('',#61935,#49520,#49521);
#43538=AXIS2_PLACEMENT_3D('',#61941,#49525,#49526);
#43539=AXIS2_PLACEMENT_3D('',#61944,#49528,#49529);
#43540=AXIS2_PLACEMENT_3D('',#61945,#49530,#49531);
#43541=AXIS2_PLACEMENT_3D('',#61949,#49533,#49534);
#43542=AXIS2_PLACEMENT_3D('',#61957,#49538,#49539);
#43543=AXIS2_PLACEMENT_3D('',#61961,#49541,#49542);
#43544=AXIS2_PLACEMENT_3D('',#61963,#49544,#49545);
#43545=AXIS2_PLACEMENT_3D('',#61967,#49547,#49548);
#43546=AXIS2_PLACEMENT_3D('',#61971,#49550,#49551);
#43547=AXIS2_PLACEMENT_3D('',#61979,#49555,#49556);
#43548=AXIS2_PLACEMENT_3D('',#61982,#49558,#49559);
#43549=AXIS2_PLACEMENT_3D('',#61983,#49560,#49561);
#43550=AXIS2_PLACEMENT_3D('',#61985,#49563,#49564);
#43551=AXIS2_PLACEMENT_3D('',#61991,#49568,#49569);
#43552=AXIS2_PLACEMENT_3D('',#61995,#49571,#49572);
#43553=AXIS2_PLACEMENT_3D('',#61999,#49574,#49575);
#43554=AXIS2_PLACEMENT_3D('',#62007,#49579,#49580);
#43555=AXIS2_PLACEMENT_3D('',#62010,#49582,#49583);
#43556=AXIS2_PLACEMENT_3D('',#62011,#49584,#49585);
#43557=AXIS2_PLACEMENT_3D('',#62013,#49586,#49587);
#43558=AXIS2_PLACEMENT_3D('',#62017,#49589,#49590);
#43559=AXIS2_PLACEMENT_3D('',#62025,#49594,#49595);
#43560=AXIS2_PLACEMENT_3D('',#62029,#49597,#49598);
#43561=AXIS2_PLACEMENT_3D('',#62031,#49600,#49601);
#43562=AXIS2_PLACEMENT_3D('',#62037,#49605,#49606);
#43563=AXIS2_PLACEMENT_3D('',#62040,#49608,#49609);
#43564=AXIS2_PLACEMENT_3D('',#62041,#49610,#49611);
#43565=AXIS2_PLACEMENT_3D('',#62045,#49613,#49614);
#43566=AXIS2_PLACEMENT_3D('',#62053,#49618,#49619);
#43567=AXIS2_PLACEMENT_3D('',#62057,#49621,#49622);
#43568=AXIS2_PLACEMENT_3D('',#62059,#49624,#49625);
#43569=AXIS2_PLACEMENT_3D('',#62063,#49627,#49628);
#43570=AXIS2_PLACEMENT_3D('',#62067,#49630,#49631);
#43571=AXIS2_PLACEMENT_3D('',#62075,#49635,#49636);
#43572=AXIS2_PLACEMENT_3D('',#62078,#49638,#49639);
#43573=AXIS2_PLACEMENT_3D('',#62079,#49640,#49641);
#43574=AXIS2_PLACEMENT_3D('',#62081,#49643,#49644);
#43575=AXIS2_PLACEMENT_3D('',#62087,#49648,#49649);
#43576=AXIS2_PLACEMENT_3D('',#62091,#49651,#49652);
#43577=AXIS2_PLACEMENT_3D('',#62095,#49654,#49655);
#43578=AXIS2_PLACEMENT_3D('',#62103,#49659,#49660);
#43579=AXIS2_PLACEMENT_3D('',#62106,#49662,#49663);
#43580=AXIS2_PLACEMENT_3D('',#62107,#49664,#49665);
#43581=AXIS2_PLACEMENT_3D('',#62109,#49666,#49667);
#43582=AXIS2_PLACEMENT_3D('',#62113,#49669,#49670);
#43583=AXIS2_PLACEMENT_3D('',#62121,#49674,#49675);
#43584=AXIS2_PLACEMENT_3D('',#62125,#49677,#49678);
#43585=AXIS2_PLACEMENT_3D('',#62127,#49680,#49681);
#43586=AXIS2_PLACEMENT_3D('',#62133,#49685,#49686);
#43587=AXIS2_PLACEMENT_3D('',#62136,#49688,#49689);
#43588=AXIS2_PLACEMENT_3D('',#62137,#49690,#49691);
#43589=AXIS2_PLACEMENT_3D('',#62141,#49693,#49694);
#43590=AXIS2_PLACEMENT_3D('',#62149,#49698,#49699);
#43591=AXIS2_PLACEMENT_3D('',#62153,#49701,#49702);
#43592=AXIS2_PLACEMENT_3D('',#62155,#49704,#49705);
#43593=AXIS2_PLACEMENT_3D('',#62159,#49707,#49708);
#43594=AXIS2_PLACEMENT_3D('',#62163,#49710,#49711);
#43595=AXIS2_PLACEMENT_3D('',#62171,#49715,#49716);
#43596=AXIS2_PLACEMENT_3D('',#62174,#49718,#49719);
#43597=AXIS2_PLACEMENT_3D('',#62175,#49720,#49721);
#43598=AXIS2_PLACEMENT_3D('',#62177,#49723,#49724);
#43599=AXIS2_PLACEMENT_3D('',#62183,#49728,#49729);
#43600=AXIS2_PLACEMENT_3D('',#62186,#49732,#49733);
#43601=AXIS2_PLACEMENT_3D('',#62188,#49735,#49736);
#43602=AXIS2_PLACEMENT_3D('',#62192,#49738,#49739);
#43603=AXIS2_PLACEMENT_3D('',#62196,#49741,#49742);
#43604=AXIS2_PLACEMENT_3D('',#62204,#49746,#49747);
#43605=AXIS2_PLACEMENT_3D('',#62207,#49749,#49750);
#43606=AXIS2_PLACEMENT_3D('',#62208,#49751,#49752);
#43607=AXIS2_PLACEMENT_3D('',#62211,#49754,#49755);
#43608=AXIS2_PLACEMENT_3D('',#62212,#49756,#49757);
#43609=AXIS2_PLACEMENT_3D('',#62216,#49759,#49760);
#43610=AXIS2_PLACEMENT_3D('',#62224,#49764,#49765);
#43611=AXIS2_PLACEMENT_3D('',#62228,#49767,#49768);
#43612=AXIS2_PLACEMENT_3D('',#62230,#49770,#49771);
#43613=AXIS2_PLACEMENT_3D('',#62234,#49773,#49774);
#43614=AXIS2_PLACEMENT_3D('',#62238,#49776,#49777);
#43615=AXIS2_PLACEMENT_3D('',#62246,#49781,#49782);
#43616=AXIS2_PLACEMENT_3D('',#62249,#49784,#49785);
#43617=AXIS2_PLACEMENT_3D('',#62250,#49786,#49787);
#43618=AXIS2_PLACEMENT_3D('',#62252,#49789,#49790);
#43619=AXIS2_PLACEMENT_3D('',#62255,#49793,#49794);
#43620=AXIS2_PLACEMENT_3D('',#62259,#49796,#49797);
#43621=AXIS2_PLACEMENT_3D('',#62263,#49799,#49800);
#43622=AXIS2_PLACEMENT_3D('',#62271,#49804,#49805);
#43623=AXIS2_PLACEMENT_3D('',#62274,#49807,#49808);
#43624=AXIS2_PLACEMENT_3D('',#62275,#49809,#49810);
#43625=AXIS2_PLACEMENT_3D('',#62277,#49811,#49812);
#43626=AXIS2_PLACEMENT_3D('',#62281,#49814,#49815);
#43627=AXIS2_PLACEMENT_3D('',#62289,#49819,#49820);
#43628=AXIS2_PLACEMENT_3D('',#62293,#49822,#49823);
#43629=AXIS2_PLACEMENT_3D('',#62295,#49825,#49826);
#43630=AXIS2_PLACEMENT_3D('',#62298,#49829,#49830);
#43631=AXIS2_PLACEMENT_3D('',#62301,#49832,#49833);
#43632=AXIS2_PLACEMENT_3D('',#62302,#49834,#49835);
#43633=AXIS2_PLACEMENT_3D('',#62306,#49837,#49838);
#43634=AXIS2_PLACEMENT_3D('',#62314,#49842,#49843);
#43635=AXIS2_PLACEMENT_3D('',#62318,#49845,#49846);
#43636=AXIS2_PLACEMENT_3D('',#62320,#49848,#49849);
#43637=AXIS2_PLACEMENT_3D('',#62324,#49851,#49852);
#43638=AXIS2_PLACEMENT_3D('',#62328,#49854,#49855);
#43639=AXIS2_PLACEMENT_3D('',#62336,#49859,#49860);
#43640=AXIS2_PLACEMENT_3D('',#62339,#49862,#49863);
#43641=AXIS2_PLACEMENT_3D('',#62340,#49864,#49865);
#43642=AXIS2_PLACEMENT_3D('',#62342,#49867,#49868);
#43643=AXIS2_PLACEMENT_3D('',#62345,#49871,#49872);
#43644=AXIS2_PLACEMENT_3D('',#62349,#49874,#49875);
#43645=AXIS2_PLACEMENT_3D('',#62353,#49877,#49878);
#43646=AXIS2_PLACEMENT_3D('',#62361,#49882,#49883);
#43647=AXIS2_PLACEMENT_3D('',#62364,#49885,#49886);
#43648=AXIS2_PLACEMENT_3D('',#62365,#49887,#49888);
#43649=AXIS2_PLACEMENT_3D('',#62367,#49889,#49890);
#43650=AXIS2_PLACEMENT_3D('',#62371,#49892,#49893);
#43651=AXIS2_PLACEMENT_3D('',#62379,#49897,#49898);
#43652=AXIS2_PLACEMENT_3D('',#62383,#49900,#49901);
#43653=AXIS2_PLACEMENT_3D('',#62385,#49903,#49904);
#43654=AXIS2_PLACEMENT_3D('',#62388,#49907,#49908);
#43655=AXIS2_PLACEMENT_3D('',#62391,#49910,#49911);
#43656=AXIS2_PLACEMENT_3D('',#62392,#49912,#49913);
#43657=AXIS2_PLACEMENT_3D('',#62396,#49915,#49916);
#43658=AXIS2_PLACEMENT_3D('',#62404,#49920,#49921);
#43659=AXIS2_PLACEMENT_3D('',#62408,#49923,#49924);
#43660=AXIS2_PLACEMENT_3D('',#62410,#49926,#49927);
#43661=AXIS2_PLACEMENT_3D('',#62414,#49929,#49930);
#43662=AXIS2_PLACEMENT_3D('',#62418,#49932,#49933);
#43663=AXIS2_PLACEMENT_3D('',#62426,#49937,#49938);
#43664=AXIS2_PLACEMENT_3D('',#62429,#49940,#49941);
#43665=AXIS2_PLACEMENT_3D('',#62430,#49942,#49943);
#43666=AXIS2_PLACEMENT_3D('',#62432,#49945,#49946);
#43667=AXIS2_PLACEMENT_3D('',#62435,#49949,#49950);
#43668=AXIS2_PLACEMENT_3D('',#62439,#49952,#49953);
#43669=AXIS2_PLACEMENT_3D('',#62443,#49955,#49956);
#43670=AXIS2_PLACEMENT_3D('',#62451,#49960,#49961);
#43671=AXIS2_PLACEMENT_3D('',#62454,#49963,#49964);
#43672=AXIS2_PLACEMENT_3D('',#62455,#49965,#49966);
#43673=AXIS2_PLACEMENT_3D('',#62457,#49967,#49968);
#43674=AXIS2_PLACEMENT_3D('',#62461,#49970,#49971);
#43675=AXIS2_PLACEMENT_3D('',#62469,#49975,#49976);
#43676=AXIS2_PLACEMENT_3D('',#62473,#49978,#49979);
#43677=AXIS2_PLACEMENT_3D('',#62475,#49981,#49982);
#43678=AXIS2_PLACEMENT_3D('',#62478,#49985,#49986);
#43679=AXIS2_PLACEMENT_3D('',#62481,#49988,#49989);
#43680=AXIS2_PLACEMENT_3D('',#62482,#49990,#49991);
#43681=AXIS2_PLACEMENT_3D('',#62486,#49993,#49994);
#43682=AXIS2_PLACEMENT_3D('',#62494,#49998,#49999);
#43683=AXIS2_PLACEMENT_3D('',#62498,#50001,#50002);
#43684=AXIS2_PLACEMENT_3D('',#62500,#50004,#50005);
#43685=AXIS2_PLACEMENT_3D('',#62504,#50007,#50008);
#43686=AXIS2_PLACEMENT_3D('',#62508,#50010,#50011);
#43687=AXIS2_PLACEMENT_3D('',#62516,#50015,#50016);
#43688=AXIS2_PLACEMENT_3D('',#62519,#50018,#50019);
#43689=AXIS2_PLACEMENT_3D('',#62520,#50020,#50021);
#43690=AXIS2_PLACEMENT_3D('',#62522,#50023,#50024);
#43691=AXIS2_PLACEMENT_3D('',#62525,#50027,#50028);
#43692=AXIS2_PLACEMENT_3D('',#62529,#50030,#50031);
#43693=AXIS2_PLACEMENT_3D('',#62533,#50033,#50034);
#43694=AXIS2_PLACEMENT_3D('',#62541,#50038,#50039);
#43695=AXIS2_PLACEMENT_3D('',#62544,#50041,#50042);
#43696=AXIS2_PLACEMENT_3D('',#62545,#50043,#50044);
#43697=AXIS2_PLACEMENT_3D('',#62547,#50045,#50046);
#43698=AXIS2_PLACEMENT_3D('',#62551,#50048,#50049);
#43699=AXIS2_PLACEMENT_3D('',#62559,#50053,#50054);
#43700=AXIS2_PLACEMENT_3D('',#62563,#50056,#50057);
#43701=AXIS2_PLACEMENT_3D('',#62565,#50059,#50060);
#43702=AXIS2_PLACEMENT_3D('',#62568,#50063,#50064);
#43703=AXIS2_PLACEMENT_3D('',#62571,#50066,#50067);
#43704=AXIS2_PLACEMENT_3D('',#62572,#50068,#50069);
#43705=AXIS2_PLACEMENT_3D('',#62576,#50071,#50072);
#43706=AXIS2_PLACEMENT_3D('',#62584,#50076,#50077);
#43707=AXIS2_PLACEMENT_3D('',#62588,#50079,#50080);
#43708=AXIS2_PLACEMENT_3D('',#62590,#50082,#50083);
#43709=AXIS2_PLACEMENT_3D('',#62594,#50085,#50086);
#43710=AXIS2_PLACEMENT_3D('',#62598,#50088,#50089);
#43711=AXIS2_PLACEMENT_3D('',#62606,#50093,#50094);
#43712=AXIS2_PLACEMENT_3D('',#62609,#50096,#50097);
#43713=AXIS2_PLACEMENT_3D('',#62610,#50098,#50099);
#43714=AXIS2_PLACEMENT_3D('',#62612,#50101,#50102);
#43715=AXIS2_PLACEMENT_3D('',#62615,#50105,#50106);
#43716=AXIS2_PLACEMENT_3D('',#62619,#50108,#50109);
#43717=AXIS2_PLACEMENT_3D('',#62623,#50111,#50112);
#43718=AXIS2_PLACEMENT_3D('',#62631,#50116,#50117);
#43719=AXIS2_PLACEMENT_3D('',#62634,#50119,#50120);
#43720=AXIS2_PLACEMENT_3D('',#62635,#50121,#50122);
#43721=AXIS2_PLACEMENT_3D('',#62637,#50123,#50124);
#43722=AXIS2_PLACEMENT_3D('',#62641,#50126,#50127);
#43723=AXIS2_PLACEMENT_3D('',#62649,#50131,#50132);
#43724=AXIS2_PLACEMENT_3D('',#62653,#50134,#50135);
#43725=AXIS2_PLACEMENT_3D('',#62655,#50137,#50138);
#43726=AXIS2_PLACEMENT_3D('',#62658,#50141,#50142);
#43727=AXIS2_PLACEMENT_3D('',#62661,#50144,#50145);
#43728=AXIS2_PLACEMENT_3D('',#62662,#50146,#50147);
#43729=AXIS2_PLACEMENT_3D('',#62666,#50149,#50150);
#43730=AXIS2_PLACEMENT_3D('',#62674,#50154,#50155);
#43731=AXIS2_PLACEMENT_3D('',#62678,#50157,#50158);
#43732=AXIS2_PLACEMENT_3D('',#62680,#50160,#50161);
#43733=AXIS2_PLACEMENT_3D('',#62684,#50163,#50164);
#43734=AXIS2_PLACEMENT_3D('',#62688,#50166,#50167);
#43735=AXIS2_PLACEMENT_3D('',#62696,#50171,#50172);
#43736=AXIS2_PLACEMENT_3D('',#62699,#50174,#50175);
#43737=AXIS2_PLACEMENT_3D('',#62700,#50176,#50177);
#43738=AXIS2_PLACEMENT_3D('',#62702,#50179,#50180);
#43739=AXIS2_PLACEMENT_3D('',#62705,#50183,#50184);
#43740=AXIS2_PLACEMENT_3D('',#62707,#50186,#50187);
#43741=AXIS2_PLACEMENT_3D('',#62709,#50189,#50190);
#43742=AXIS2_PLACEMENT_3D('',#62711,#50192,#50193);
#43743=AXIS2_PLACEMENT_3D('',#62713,#50195,#50196);
#43744=AXIS2_PLACEMENT_3D('',#62715,#50198,#50199);
#43745=AXIS2_PLACEMENT_3D('',#62717,#50201,#50202);
#43746=AXIS2_PLACEMENT_3D('',#62719,#50204,#50205);
#43747=AXIS2_PLACEMENT_3D('',#62721,#50207,#50208);
#43748=AXIS2_PLACEMENT_3D('',#62722,#50209,#50210);
#43749=AXIS2_PLACEMENT_3D('',#62726,#50213,#50214);
#43750=AXIS2_PLACEMENT_3D('',#62727,#50215,#50216);
#43751=AXIS2_PLACEMENT_3D('',#62728,#50217,#50218);
#43752=AXIS2_PLACEMENT_3D('',#62730,#50220,#50221);
#43753=AXIS2_PLACEMENT_3D('',#62732,#50223,#50224);
#43754=AXIS2_PLACEMENT_3D('',#62734,#50226,#50227);
#43755=AXIS2_PLACEMENT_3D('',#62736,#50229,#50230);
#43756=AXIS2_PLACEMENT_3D('',#62738,#50232,#50233);
#43757=AXIS2_PLACEMENT_3D('',#62740,#50235,#50236);
#43758=AXIS2_PLACEMENT_3D('',#62742,#50238,#50239);
#43759=AXIS2_PLACEMENT_3D('',#62744,#50241,#50242);
#43760=AXIS2_PLACEMENT_3D('',#62746,#50244,#50245);
#43761=AXIS2_PLACEMENT_3D('',#62748,#50247,#50248);
#43762=AXIS2_PLACEMENT_3D('',#62750,#50250,#50251);
#43763=AXIS2_PLACEMENT_3D('',#62752,#50253,#50254);
#43764=AXIS2_PLACEMENT_3D('',#62753,#50255,#50256);
#43765=AXIS2_PLACEMENT_3D('',#62754,#50257,#50258);
#43766=AXIS2_PLACEMENT_3D('',#62756,#50259,#50260);
#43767=AXIS2_PLACEMENT_3D('',#62758,#50262,#50263);
#43768=AXIS2_PLACEMENT_3D('',#62759,#50264,#50265);
#43769=AXIS2_PLACEMENT_3D('',#62761,#50267,#50268);
#43770=AXIS2_PLACEMENT_3D('',#62762,#50269,#50270);
#43771=AXIS2_PLACEMENT_3D('',#62766,#50272,#50273);
#43772=AXIS2_PLACEMENT_3D('',#62767,#50274,#50275);
#43773=AXIS2_PLACEMENT_3D('',#62768,#50276,#50277);
#43774=AXIS2_PLACEMENT_3D('',#62770,#50279,#50280);
#43775=AXIS2_PLACEMENT_3D('',#62772,#50282,#50283);
#43776=AXIS2_PLACEMENT_3D('',#62774,#50285,#50286);
#43777=AXIS2_PLACEMENT_3D('',#62776,#50288,#50289);
#43778=AXIS2_PLACEMENT_3D('',#62778,#50291,#50292);
#43779=AXIS2_PLACEMENT_3D('',#62780,#50294,#50295);
#43780=AXIS2_PLACEMENT_3D('',#62782,#50297,#50298);
#43781=AXIS2_PLACEMENT_3D('',#62784,#50300,#50301);
#43782=AXIS2_PLACEMENT_3D('',#62786,#50303,#50304);
#43783=AXIS2_PLACEMENT_3D('',#62788,#50306,#50307);
#43784=AXIS2_PLACEMENT_3D('',#62790,#50309,#50310);
#43785=AXIS2_PLACEMENT_3D('',#62792,#50312,#50313);
#43786=AXIS2_PLACEMENT_3D('',#62794,#50315,#50316);
#43787=AXIS2_PLACEMENT_3D('',#62796,#50318,#50319);
#43788=AXIS2_PLACEMENT_3D('',#62798,#50321,#50322);
#43789=AXIS2_PLACEMENT_3D('',#62800,#50324,#50325);
#43790=AXIS2_PLACEMENT_3D('',#62802,#50327,#50328);
#43791=AXIS2_PLACEMENT_3D('',#62804,#50330,#50331);
#43792=AXIS2_PLACEMENT_3D('',#62806,#50333,#50334);
#43793=AXIS2_PLACEMENT_3D('',#62807,#50335,#50336);
#43794=AXIS2_PLACEMENT_3D('',#62809,#50338,#50339);
#43795=AXIS2_PLACEMENT_3D('',#62811,#50341,#50342);
#43796=AXIS2_PLACEMENT_3D('',#62813,#50344,#50345);
#43797=AXIS2_PLACEMENT_3D('',#62815,#50347,#50348);
#43798=AXIS2_PLACEMENT_3D('',#62817,#50350,#50351);
#43799=AXIS2_PLACEMENT_3D('',#62819,#50353,#50354);
#43800=AXIS2_PLACEMENT_3D('',#62821,#50356,#50357);
#43801=AXIS2_PLACEMENT_3D('',#62822,#50358,#50359);
#43802=AXIS2_PLACEMENT_3D('',#62824,#50361,#50362);
#43803=AXIS2_PLACEMENT_3D('',#62826,#50364,#50365);
#43804=AXIS2_PLACEMENT_3D('',#62828,#50367,#50368);
#43805=AXIS2_PLACEMENT_3D('',#62830,#50370,#50371);
#43806=AXIS2_PLACEMENT_3D('',#62832,#50373,#50374);
#43807=AXIS2_PLACEMENT_3D('',#62834,#50376,#50377);
#43808=AXIS2_PLACEMENT_3D('',#62836,#50379,#50380);
#43809=AXIS2_PLACEMENT_3D('',#62837,#50381,#50382);
#43810=AXIS2_PLACEMENT_3D('',#62839,#50384,#50385);
#43811=AXIS2_PLACEMENT_3D('',#62841,#50387,#50388);
#43812=AXIS2_PLACEMENT_3D('',#62843,#50390,#50391);
#43813=AXIS2_PLACEMENT_3D('',#62845,#50393,#50394);
#43814=AXIS2_PLACEMENT_3D('',#62847,#50396,#50397);
#43815=AXIS2_PLACEMENT_3D('',#62849,#50399,#50400);
#43816=AXIS2_PLACEMENT_3D('',#62851,#50402,#50403);
#43817=AXIS2_PLACEMENT_3D('',#62852,#50404,#50405);
#43818=AXIS2_PLACEMENT_3D('',#62854,#50407,#50408);
#43819=AXIS2_PLACEMENT_3D('',#62856,#50410,#50411);
#43820=AXIS2_PLACEMENT_3D('',#62858,#50413,#50414);
#43821=AXIS2_PLACEMENT_3D('',#62860,#50416,#50417);
#43822=AXIS2_PLACEMENT_3D('',#62862,#50419,#50420);
#43823=AXIS2_PLACEMENT_3D('',#62864,#50422,#50423);
#43824=AXIS2_PLACEMENT_3D('',#62866,#50425,#50426);
#43825=AXIS2_PLACEMENT_3D('',#62867,#50427,#50428);
#43826=AXIS2_PLACEMENT_3D('',#62869,#50430,#50431);
#43827=AXIS2_PLACEMENT_3D('',#62871,#50433,#50434);
#43828=AXIS2_PLACEMENT_3D('',#62873,#50436,#50437);
#43829=AXIS2_PLACEMENT_3D('',#62875,#50439,#50440);
#43830=AXIS2_PLACEMENT_3D('',#62877,#50442,#50443);
#43831=AXIS2_PLACEMENT_3D('',#62879,#50445,#50446);
#43832=AXIS2_PLACEMENT_3D('',#62881,#50448,#50449);
#43833=AXIS2_PLACEMENT_3D('',#62882,#50450,#50451);
#43834=AXIS2_PLACEMENT_3D('',#62884,#50453,#50454);
#43835=AXIS2_PLACEMENT_3D('',#62886,#50456,#50457);
#43836=AXIS2_PLACEMENT_3D('',#62888,#50459,#50460);
#43837=AXIS2_PLACEMENT_3D('',#62890,#50462,#50463);
#43838=AXIS2_PLACEMENT_3D('',#62892,#50465,#50466);
#43839=AXIS2_PLACEMENT_3D('',#62894,#50468,#50469);
#43840=AXIS2_PLACEMENT_3D('',#62896,#50471,#50472);
#43841=AXIS2_PLACEMENT_3D('',#62897,#50473,#50474);
#43842=AXIS2_PLACEMENT_3D('',#62899,#50476,#50477);
#43843=AXIS2_PLACEMENT_3D('',#62901,#50479,#50480);
#43844=AXIS2_PLACEMENT_3D('',#62903,#50482,#50483);
#43845=AXIS2_PLACEMENT_3D('',#62905,#50485,#50486);
#43846=AXIS2_PLACEMENT_3D('',#62907,#50488,#50489);
#43847=AXIS2_PLACEMENT_3D('',#62909,#50491,#50492);
#43848=AXIS2_PLACEMENT_3D('',#62911,#50494,#50495);
#43849=AXIS2_PLACEMENT_3D('',#62912,#50496,#50497);
#43850=AXIS2_PLACEMENT_3D('',#62914,#50499,#50500);
#43851=AXIS2_PLACEMENT_3D('',#62916,#50502,#50503);
#43852=AXIS2_PLACEMENT_3D('',#62918,#50505,#50506);
#43853=AXIS2_PLACEMENT_3D('',#62920,#50508,#50509);
#43854=AXIS2_PLACEMENT_3D('',#62922,#50511,#50512);
#43855=AXIS2_PLACEMENT_3D('',#62924,#50514,#50515);
#43856=AXIS2_PLACEMENT_3D('',#62926,#50517,#50518);
#43857=AXIS2_PLACEMENT_3D('',#62927,#50519,#50520);
#43858=AXIS2_PLACEMENT_3D('',#62929,#50522,#50523);
#43859=AXIS2_PLACEMENT_3D('',#62931,#50525,#50526);
#43860=AXIS2_PLACEMENT_3D('',#62933,#50528,#50529);
#43861=AXIS2_PLACEMENT_3D('',#62935,#50531,#50532);
#43862=AXIS2_PLACEMENT_3D('',#62937,#50534,#50535);
#43863=AXIS2_PLACEMENT_3D('',#62939,#50537,#50538);
#43864=AXIS2_PLACEMENT_3D('',#62941,#50540,#50541);
#43865=AXIS2_PLACEMENT_3D('',#62942,#50542,#50543);
#43866=AXIS2_PLACEMENT_3D('',#62944,#50545,#50546);
#43867=AXIS2_PLACEMENT_3D('',#62946,#50548,#50549);
#43868=AXIS2_PLACEMENT_3D('',#62948,#50551,#50552);
#43869=AXIS2_PLACEMENT_3D('',#62950,#50554,#50555);
#43870=AXIS2_PLACEMENT_3D('',#62952,#50557,#50558);
#43871=AXIS2_PLACEMENT_3D('',#62954,#50560,#50561);
#43872=AXIS2_PLACEMENT_3D('',#62956,#50563,#50564);
#43873=AXIS2_PLACEMENT_3D('',#62957,#50565,#50566);
#43874=AXIS2_PLACEMENT_3D('',#62959,#50568,#50569);
#43875=AXIS2_PLACEMENT_3D('',#62961,#50571,#50572);
#43876=AXIS2_PLACEMENT_3D('',#62963,#50574,#50575);
#43877=AXIS2_PLACEMENT_3D('',#62965,#50577,#50578);
#43878=AXIS2_PLACEMENT_3D('',#62967,#50580,#50581);
#43879=AXIS2_PLACEMENT_3D('',#62969,#50583,#50584);
#43880=AXIS2_PLACEMENT_3D('',#62971,#50586,#50587);
#43881=AXIS2_PLACEMENT_3D('',#62972,#50588,#50589);
#43882=AXIS2_PLACEMENT_3D('',#62985,#50602,#50603);
#43883=AXIS2_PLACEMENT_3D('',#62987,#50605,#50606);
#43884=AXIS2_PLACEMENT_3D('',#62989,#50608,#50609);
#43885=AXIS2_PLACEMENT_3D('',#62991,#50611,#50612);
#43886=AXIS2_PLACEMENT_3D('',#62993,#50614,#50615);
#43887=AXIS2_PLACEMENT_3D('',#62995,#50617,#50618);
#43888=AXIS2_PLACEMENT_3D('',#62997,#50620,#50621);
#43889=AXIS2_PLACEMENT_3D('',#62999,#50623,#50624);
#43890=AXIS2_PLACEMENT_3D('',#63001,#50626,#50627);
#43891=AXIS2_PLACEMENT_3D('',#63002,#50628,#50629);
#43892=AXIS2_PLACEMENT_3D('',#63004,#50631,#50632);
#43893=AXIS2_PLACEMENT_3D('',#63006,#50634,#50635);
#43894=AXIS2_PLACEMENT_3D('',#63008,#50637,#50638);
#43895=AXIS2_PLACEMENT_3D('',#63010,#50640,#50641);
#43896=AXIS2_PLACEMENT_3D('',#63012,#50643,#50644);
#43897=AXIS2_PLACEMENT_3D('',#63014,#50646,#50647);
#43898=AXIS2_PLACEMENT_3D('',#63016,#50649,#50650);
#43899=AXIS2_PLACEMENT_3D('',#63018,#50652,#50653);
#43900=AXIS2_PLACEMENT_3D('',#63019,#50654,#50655);
#43901=AXIS2_PLACEMENT_3D('',#63021,#50657,#50658);
#43902=AXIS2_PLACEMENT_3D('',#63023,#50660,#50661);
#43903=AXIS2_PLACEMENT_3D('',#63025,#50663,#50664);
#43904=AXIS2_PLACEMENT_3D('',#63027,#50666,#50667);
#43905=AXIS2_PLACEMENT_3D('',#63029,#50669,#50670);
#43906=AXIS2_PLACEMENT_3D('',#63031,#50672,#50673);
#43907=AXIS2_PLACEMENT_3D('',#63033,#50675,#50676);
#43908=AXIS2_PLACEMENT_3D('',#63035,#50678,#50679);
#43909=AXIS2_PLACEMENT_3D('',#63036,#50680,#50681);
#43910=AXIS2_PLACEMENT_3D('',#63038,#50683,#50684);
#43911=AXIS2_PLACEMENT_3D('',#63040,#50686,#50687);
#43912=AXIS2_PLACEMENT_3D('',#63042,#50689,#50690);
#43913=AXIS2_PLACEMENT_3D('',#63044,#50692,#50693);
#43914=AXIS2_PLACEMENT_3D('',#63046,#50695,#50696);
#43915=AXIS2_PLACEMENT_3D('',#63048,#50698,#50699);
#43916=AXIS2_PLACEMENT_3D('',#63050,#50701,#50702);
#43917=AXIS2_PLACEMENT_3D('',#63052,#50704,#50705);
#43918=AXIS2_PLACEMENT_3D('',#63053,#50706,#50707);
#43919=AXIS2_PLACEMENT_3D('',#63055,#50709,#50710);
#43920=AXIS2_PLACEMENT_3D('',#63057,#50712,#50713);
#43921=AXIS2_PLACEMENT_3D('',#63059,#50715,#50716);
#43922=AXIS2_PLACEMENT_3D('',#63061,#50718,#50719);
#43923=AXIS2_PLACEMENT_3D('',#63063,#50721,#50722);
#43924=AXIS2_PLACEMENT_3D('',#63065,#50724,#50725);
#43925=AXIS2_PLACEMENT_3D('',#63067,#50727,#50728);
#43926=AXIS2_PLACEMENT_3D('',#63069,#50730,#50731);
#43927=AXIS2_PLACEMENT_3D('',#63070,#50732,#50733);
#43928=AXIS2_PLACEMENT_3D('',#63072,#50735,#50736);
#43929=AXIS2_PLACEMENT_3D('',#63074,#50738,#50739);
#43930=AXIS2_PLACEMENT_3D('',#63076,#50741,#50742);
#43931=AXIS2_PLACEMENT_3D('',#63078,#50744,#50745);
#43932=AXIS2_PLACEMENT_3D('',#63080,#50747,#50748);
#43933=AXIS2_PLACEMENT_3D('',#63082,#50750,#50751);
#43934=AXIS2_PLACEMENT_3D('',#63084,#50753,#50754);
#43935=AXIS2_PLACEMENT_3D('',#63086,#50756,#50757);
#43936=AXIS2_PLACEMENT_3D('',#63087,#50758,#50759);
#43937=AXIS2_PLACEMENT_3D('',#63089,#50761,#50762);
#43938=AXIS2_PLACEMENT_3D('',#63091,#50764,#50765);
#43939=AXIS2_PLACEMENT_3D('',#63093,#50767,#50768);
#43940=AXIS2_PLACEMENT_3D('',#63095,#50770,#50771);
#43941=AXIS2_PLACEMENT_3D('',#63097,#50773,#50774);
#43942=AXIS2_PLACEMENT_3D('',#63099,#50776,#50777);
#43943=AXIS2_PLACEMENT_3D('',#63101,#50779,#50780);
#43944=AXIS2_PLACEMENT_3D('',#63103,#50782,#50783);
#43945=AXIS2_PLACEMENT_3D('',#63104,#50784,#50785);
#43946=AXIS2_PLACEMENT_3D('',#63106,#50787,#50788);
#43947=AXIS2_PLACEMENT_3D('',#63108,#50790,#50791);
#43948=AXIS2_PLACEMENT_3D('',#63110,#50793,#50794);
#43949=AXIS2_PLACEMENT_3D('',#63112,#50796,#50797);
#43950=AXIS2_PLACEMENT_3D('',#63114,#50799,#50800);
#43951=AXIS2_PLACEMENT_3D('',#63116,#50802,#50803);
#43952=AXIS2_PLACEMENT_3D('',#63118,#50805,#50806);
#43953=AXIS2_PLACEMENT_3D('',#63120,#50808,#50809);
#43954=AXIS2_PLACEMENT_3D('',#63121,#50810,#50811);
#43955=AXIS2_PLACEMENT_3D('',#63123,#50813,#50814);
#43956=AXIS2_PLACEMENT_3D('',#63125,#50816,#50817);
#43957=AXIS2_PLACEMENT_3D('',#63127,#50819,#50820);
#43958=AXIS2_PLACEMENT_3D('',#63129,#50822,#50823);
#43959=AXIS2_PLACEMENT_3D('',#63131,#50825,#50826);
#43960=AXIS2_PLACEMENT_3D('',#63133,#50828,#50829);
#43961=AXIS2_PLACEMENT_3D('',#63135,#50831,#50832);
#43962=AXIS2_PLACEMENT_3D('',#63137,#50834,#50835);
#43963=AXIS2_PLACEMENT_3D('',#63138,#50836,#50837);
#43964=AXIS2_PLACEMENT_3D('',#63140,#50839,#50840);
#43965=AXIS2_PLACEMENT_3D('',#63142,#50842,#50843);
#43966=AXIS2_PLACEMENT_3D('',#63144,#50845,#50846);
#43967=AXIS2_PLACEMENT_3D('',#63146,#50848,#50849);
#43968=AXIS2_PLACEMENT_3D('',#63148,#50851,#50852);
#43969=AXIS2_PLACEMENT_3D('',#63150,#50854,#50855);
#43970=AXIS2_PLACEMENT_3D('',#63152,#50857,#50858);
#43971=AXIS2_PLACEMENT_3D('',#63154,#50860,#50861);
#43972=AXIS2_PLACEMENT_3D('',#63155,#50862,#50863);
#43973=AXIS2_PLACEMENT_3D('',#63157,#50865,#50866);
#43974=AXIS2_PLACEMENT_3D('',#63159,#50868,#50869);
#43975=AXIS2_PLACEMENT_3D('',#63161,#50871,#50872);
#43976=AXIS2_PLACEMENT_3D('',#63163,#50874,#50875);
#43977=AXIS2_PLACEMENT_3D('',#63165,#50877,#50878);
#43978=AXIS2_PLACEMENT_3D('',#63167,#50880,#50881);
#43979=AXIS2_PLACEMENT_3D('',#63169,#50883,#50884);
#43980=AXIS2_PLACEMENT_3D('',#63171,#50886,#50887);
#43981=AXIS2_PLACEMENT_3D('',#63172,#50888,#50889);
#43982=AXIS2_PLACEMENT_3D('',#63174,#50891,#50892);
#43983=AXIS2_PLACEMENT_3D('',#63176,#50894,#50895);
#43984=AXIS2_PLACEMENT_3D('',#63178,#50897,#50898);
#43985=AXIS2_PLACEMENT_3D('',#63180,#50900,#50901);
#43986=AXIS2_PLACEMENT_3D('',#63182,#50903,#50904);
#43987=AXIS2_PLACEMENT_3D('',#63184,#50906,#50907);
#43988=AXIS2_PLACEMENT_3D('',#63186,#50909,#50910);
#43989=AXIS2_PLACEMENT_3D('',#63188,#50912,#50913);
#43990=AXIS2_PLACEMENT_3D('',#63189,#50914,#50915);
#43991=AXIS2_PLACEMENT_3D('',#63191,#50917,#50918);
#43992=AXIS2_PLACEMENT_3D('',#63193,#50920,#50921);
#43993=AXIS2_PLACEMENT_3D('',#63195,#50923,#50924);
#43994=AXIS2_PLACEMENT_3D('',#63197,#50926,#50927);
#43995=AXIS2_PLACEMENT_3D('',#63199,#50929,#50930);
#43996=AXIS2_PLACEMENT_3D('',#63201,#50932,#50933);
#43997=AXIS2_PLACEMENT_3D('',#63203,#50935,#50936);
#43998=AXIS2_PLACEMENT_3D('',#63204,#50937,#50938);
#43999=AXIS2_PLACEMENT_3D('',#63206,#50940,#50941);
#44000=AXIS2_PLACEMENT_3D('',#63208,#50943,#50944);
#44001=AXIS2_PLACEMENT_3D('',#63210,#50946,#50947);
#44002=AXIS2_PLACEMENT_3D('',#63212,#50949,#50950);
#44003=AXIS2_PLACEMENT_3D('',#63214,#50952,#50953);
#44004=AXIS2_PLACEMENT_3D('',#63216,#50955,#50956);
#44005=AXIS2_PLACEMENT_3D('',#63218,#50958,#50959);
#44006=AXIS2_PLACEMENT_3D('',#63219,#50960,#50961);
#44007=AXIS2_PLACEMENT_3D('',#63221,#50963,#50964);
#44008=AXIS2_PLACEMENT_3D('',#63223,#50966,#50967);
#44009=AXIS2_PLACEMENT_3D('',#63225,#50969,#50970);
#44010=AXIS2_PLACEMENT_3D('',#63227,#50972,#50973);
#44011=AXIS2_PLACEMENT_3D('',#63229,#50975,#50976);
#44012=AXIS2_PLACEMENT_3D('',#63231,#50978,#50979);
#44013=AXIS2_PLACEMENT_3D('',#63233,#50981,#50982);
#44014=AXIS2_PLACEMENT_3D('',#63234,#50983,#50984);
#44015=AXIS2_PLACEMENT_3D('',#63236,#50986,#50987);
#44016=AXIS2_PLACEMENT_3D('',#63238,#50989,#50990);
#44017=AXIS2_PLACEMENT_3D('',#63240,#50992,#50993);
#44018=AXIS2_PLACEMENT_3D('',#63242,#50995,#50996);
#44019=AXIS2_PLACEMENT_3D('',#63244,#50998,#50999);
#44020=AXIS2_PLACEMENT_3D('',#63246,#51001,#51002);
#44021=AXIS2_PLACEMENT_3D('',#63248,#51004,#51005);
#44022=AXIS2_PLACEMENT_3D('',#63249,#51006,#51007);
#44023=AXIS2_PLACEMENT_3D('',#63251,#51009,#51010);
#44024=AXIS2_PLACEMENT_3D('',#63253,#51012,#51013);
#44025=AXIS2_PLACEMENT_3D('',#63255,#51015,#51016);
#44026=AXIS2_PLACEMENT_3D('',#63257,#51018,#51019);
#44027=AXIS2_PLACEMENT_3D('',#63259,#51021,#51022);
#44028=AXIS2_PLACEMENT_3D('',#63261,#51024,#51025);
#44029=AXIS2_PLACEMENT_3D('',#63263,#51027,#51028);
#44030=AXIS2_PLACEMENT_3D('',#63264,#51029,#51030);
#44031=AXIS2_PLACEMENT_3D('',#63266,#51032,#51033);
#44032=AXIS2_PLACEMENT_3D('',#63268,#51035,#51036);
#44033=AXIS2_PLACEMENT_3D('',#63270,#51038,#51039);
#44034=AXIS2_PLACEMENT_3D('',#63272,#51041,#51042);
#44035=AXIS2_PLACEMENT_3D('',#63274,#51044,#51045);
#44036=AXIS2_PLACEMENT_3D('',#63276,#51047,#51048);
#44037=AXIS2_PLACEMENT_3D('',#63278,#51050,#51051);
#44038=AXIS2_PLACEMENT_3D('',#63279,#51052,#51053);
#44039=AXIS2_PLACEMENT_3D('',#63281,#51055,#51056);
#44040=AXIS2_PLACEMENT_3D('',#63283,#51058,#51059);
#44041=AXIS2_PLACEMENT_3D('',#63285,#51061,#51062);
#44042=AXIS2_PLACEMENT_3D('',#63287,#51064,#51065);
#44043=AXIS2_PLACEMENT_3D('',#63289,#51067,#51068);
#44044=AXIS2_PLACEMENT_3D('',#63291,#51070,#51071);
#44045=AXIS2_PLACEMENT_3D('',#63293,#51073,#51074);
#44046=AXIS2_PLACEMENT_3D('',#63294,#51075,#51076);
#44047=AXIS2_PLACEMENT_3D('',#63296,#51078,#51079);
#44048=AXIS2_PLACEMENT_3D('',#63298,#51081,#51082);
#44049=AXIS2_PLACEMENT_3D('',#63300,#51084,#51085);
#44050=AXIS2_PLACEMENT_3D('',#63302,#51087,#51088);
#44051=AXIS2_PLACEMENT_3D('',#63304,#51090,#51091);
#44052=AXIS2_PLACEMENT_3D('',#63306,#51093,#51094);
#44053=AXIS2_PLACEMENT_3D('',#63308,#51096,#51097);
#44054=AXIS2_PLACEMENT_3D('',#63309,#51098,#51099);
#44055=AXIS2_PLACEMENT_3D('',#63311,#51101,#51102);
#44056=AXIS2_PLACEMENT_3D('',#63313,#51104,#51105);
#44057=AXIS2_PLACEMENT_3D('',#63315,#51107,#51108);
#44058=AXIS2_PLACEMENT_3D('',#63317,#51110,#51111);
#44059=AXIS2_PLACEMENT_3D('',#63319,#51113,#51114);
#44060=AXIS2_PLACEMENT_3D('',#63321,#51116,#51117);
#44061=AXIS2_PLACEMENT_3D('',#63323,#51119,#51120);
#44062=AXIS2_PLACEMENT_3D('',#63324,#51121,#51122);
#44063=AXIS2_PLACEMENT_3D('',#63326,#51124,#51125);
#44064=AXIS2_PLACEMENT_3D('',#63328,#51127,#51128);
#44065=AXIS2_PLACEMENT_3D('',#63330,#51130,#51131);
#44066=AXIS2_PLACEMENT_3D('',#63332,#51133,#51134);
#44067=AXIS2_PLACEMENT_3D('',#63334,#51136,#51137);
#44068=AXIS2_PLACEMENT_3D('',#63336,#51139,#51140);
#44069=AXIS2_PLACEMENT_3D('',#63338,#51142,#51143);
#44070=AXIS2_PLACEMENT_3D('',#63339,#51144,#51145);
#44071=AXIS2_PLACEMENT_3D('',#63341,#51147,#51148);
#44072=AXIS2_PLACEMENT_3D('',#63343,#51150,#51151);
#44073=AXIS2_PLACEMENT_3D('',#63345,#51153,#51154);
#44074=AXIS2_PLACEMENT_3D('',#63347,#51156,#51157);
#44075=AXIS2_PLACEMENT_3D('',#63349,#51159,#51160);
#44076=AXIS2_PLACEMENT_3D('',#63351,#51162,#51163);
#44077=AXIS2_PLACEMENT_3D('',#63353,#51165,#51166);
#44078=AXIS2_PLACEMENT_3D('',#63354,#51167,#51168);
#44079=AXIS2_PLACEMENT_3D('',#63355,#51169,#51170);
#44080=AXIS2_PLACEMENT_3D('placement',#63356,#51171,#51172);
#44081=AXIS2_PLACEMENT_3D('placement',#63357,#51173,#51174);
#44082=AXIS2_PLACEMENT_3D('',#63358,#51175,#51176);
#44083=AXIS2_PLACEMENT_3D('',#63367,#51181,#51182);
#44084=AXIS2_PLACEMENT_3D('',#63385,#51192,#51193);
#44085=AXIS2_PLACEMENT_3D('',#63389,#51196,#51197);
#44086=AXIS2_PLACEMENT_3D('',#63397,#51202,#51203);
#44087=AXIS2_PLACEMENT_3D('',#63399,#51205,#51206);
#44088=AXIS2_PLACEMENT_3D('',#63405,#51210,#51211);
#44089=AXIS2_PLACEMENT_3D('',#63411,#51215,#51216);
#44090=AXIS2_PLACEMENT_3D('',#63417,#51220,#51221);
#44091=AXIS2_PLACEMENT_3D('',#63423,#51225,#51226);
#44092=AXIS2_PLACEMENT_3D('',#63429,#51230,#51231);
#44093=AXIS2_PLACEMENT_3D('',#63435,#51235,#51236);
#44094=AXIS2_PLACEMENT_3D('',#63441,#51240,#51241);
#44095=AXIS2_PLACEMENT_3D('',#63445,#51244,#51245);
#44096=AXIS2_PLACEMENT_3D('',#63449,#51248,#51249);
#44097=AXIS2_PLACEMENT_3D('',#63455,#51253,#51254);
#44098=AXIS2_PLACEMENT_3D('',#63461,#51258,#51259);
#44099=AXIS2_PLACEMENT_3D('',#63463,#51261,#51262);
#44100=AXIS2_PLACEMENT_3D('',#63469,#51266,#51267);
#44101=AXIS2_PLACEMENT_3D('',#63471,#51268,#51269);
#44102=AXIS2_PLACEMENT_3D('',#63475,#51271,#51272);
#44103=AXIS2_PLACEMENT_3D('',#63483,#51276,#51277);
#44104=AXIS2_PLACEMENT_3D('',#63487,#51279,#51280);
#44105=AXIS2_PLACEMENT_3D('',#63489,#51282,#51283);
#44106=AXIS2_PLACEMENT_3D('',#63493,#51285,#51286);
#44107=AXIS2_PLACEMENT_3D('',#63497,#51288,#51289);
#44108=AXIS2_PLACEMENT_3D('',#63505,#51293,#51294);
#44109=AXIS2_PLACEMENT_3D('',#63508,#51296,#51297);
#44110=AXIS2_PLACEMENT_3D('',#63509,#51298,#51299);
#44111=AXIS2_PLACEMENT_3D('',#63515,#51303,#51304);
#44112=AXIS2_PLACEMENT_3D('',#63519,#51306,#51307);
#44113=AXIS2_PLACEMENT_3D('',#63523,#51309,#51310);
#44114=AXIS2_PLACEMENT_3D('',#63531,#51314,#51315);
#44115=AXIS2_PLACEMENT_3D('',#63534,#51317,#51318);
#44116=AXIS2_PLACEMENT_3D('',#63535,#51319,#51320);
#44117=AXIS2_PLACEMENT_3D('',#63537,#51322,#51323);
#44118=AXIS2_PLACEMENT_3D('',#63540,#51325,#51326);
#44119=AXIS2_PLACEMENT_3D('',#63541,#51327,#51328);
#44120=AXIS2_PLACEMENT_3D('',#63545,#51330,#51331);
#44121=AXIS2_PLACEMENT_3D('',#63553,#51335,#51336);
#44122=AXIS2_PLACEMENT_3D('',#63557,#51338,#51339);
#44123=AXIS2_PLACEMENT_3D('',#63559,#51341,#51342);
#44124=AXIS2_PLACEMENT_3D('',#63565,#51346,#51347);
#44125=AXIS2_PLACEMENT_3D('',#63567,#51348,#51349);
#44126=AXIS2_PLACEMENT_3D('',#63571,#51351,#51352);
#44127=AXIS2_PLACEMENT_3D('',#63579,#51356,#51357);
#44128=AXIS2_PLACEMENT_3D('',#63583,#51359,#51360);
#44129=AXIS2_PLACEMENT_3D('',#63585,#51362,#51363);
#44130=AXIS2_PLACEMENT_3D('',#63589,#51365,#51366);
#44131=AXIS2_PLACEMENT_3D('',#63593,#51368,#51369);
#44132=AXIS2_PLACEMENT_3D('',#63601,#51373,#51374);
#44133=AXIS2_PLACEMENT_3D('',#63604,#51376,#51377);
#44134=AXIS2_PLACEMENT_3D('',#63605,#51378,#51379);
#44135=AXIS2_PLACEMENT_3D('',#63611,#51383,#51384);
#44136=AXIS2_PLACEMENT_3D('',#63613,#51386,#51387);
#44137=AXIS2_PLACEMENT_3D('',#63617,#51390,#51391);
#44138=AXIS2_PLACEMENT_3D('',#63621,#51394,#51395);
#44139=AXIS2_PLACEMENT_3D('',#63623,#51396,#51397);
#44140=AXIS2_PLACEMENT_3D('',#63627,#51399,#51400);
#44141=AXIS2_PLACEMENT_3D('',#63635,#51404,#51405);
#44142=AXIS2_PLACEMENT_3D('',#63639,#51407,#51408);
#44143=AXIS2_PLACEMENT_3D('',#63641,#51410,#51411);
#44144=AXIS2_PLACEMENT_3D('',#63645,#51413,#51414);
#44145=AXIS2_PLACEMENT_3D('',#63649,#51416,#51417);
#44146=AXIS2_PLACEMENT_3D('',#63657,#51421,#51422);
#44147=AXIS2_PLACEMENT_3D('',#63660,#51424,#51425);
#44148=AXIS2_PLACEMENT_3D('',#63661,#51426,#51427);
#44149=AXIS2_PLACEMENT_3D('',#63667,#51431,#51432);
#44150=AXIS2_PLACEMENT_3D('',#63669,#51434,#51435);
#44151=AXIS2_PLACEMENT_3D('',#63671,#51437,#51438);
#44152=AXIS2_PLACEMENT_3D('',#63680,#51445,#51446);
#44153=AXIS2_PLACEMENT_3D('',#63682,#51448,#51449);
#44154=AXIS2_PLACEMENT_3D('',#63684,#51451,#51452);
#44155=AXIS2_PLACEMENT_3D('',#63686,#51454,#51455);
#44156=AXIS2_PLACEMENT_3D('',#63688,#51457,#51458);
#44157=AXIS2_PLACEMENT_3D('',#63690,#51460,#51461);
#44158=AXIS2_PLACEMENT_3D('',#63692,#51463,#51464);
#44159=AXIS2_PLACEMENT_3D('',#63694,#51466,#51467);
#44160=AXIS2_PLACEMENT_3D('',#63696,#51469,#51470);
#44161=AXIS2_PLACEMENT_3D('',#63697,#51471,#51472);
#44162=AXIS2_PLACEMENT_3D('',#63699,#51474,#51475);
#44163=AXIS2_PLACEMENT_3D('',#63701,#51477,#51478);
#44164=AXIS2_PLACEMENT_3D('',#63703,#51480,#51481);
#44165=AXIS2_PLACEMENT_3D('',#63705,#51483,#51484);
#44166=AXIS2_PLACEMENT_3D('',#63707,#51486,#51487);
#44167=AXIS2_PLACEMENT_3D('',#63709,#51489,#51490);
#44168=AXIS2_PLACEMENT_3D('',#63711,#51492,#51493);
#44169=AXIS2_PLACEMENT_3D('',#63713,#51495,#51496);
#44170=AXIS2_PLACEMENT_3D('',#63714,#51497,#51498);
#44171=AXIS2_PLACEMENT_3D('',#63716,#51500,#51501);
#44172=AXIS2_PLACEMENT_3D('',#63718,#51503,#51504);
#44173=AXIS2_PLACEMENT_3D('',#63720,#51506,#51507);
#44174=AXIS2_PLACEMENT_3D('',#63722,#51509,#51510);
#44175=AXIS2_PLACEMENT_3D('',#63724,#51512,#51513);
#44176=AXIS2_PLACEMENT_3D('',#63726,#51515,#51516);
#44177=AXIS2_PLACEMENT_3D('',#63728,#51518,#51519);
#44178=AXIS2_PLACEMENT_3D('',#63730,#51521,#51522);
#44179=AXIS2_PLACEMENT_3D('',#63731,#51523,#51524);
#44180=AXIS2_PLACEMENT_3D('',#63735,#51527,#51528);
#44181=AXIS2_PLACEMENT_3D('',#63740,#51532,#51533);
#44182=AXIS2_PLACEMENT_3D('',#63742,#51535,#51536);
#44183=AXIS2_PLACEMENT_3D('',#63744,#51538,#51539);
#44184=AXIS2_PLACEMENT_3D('',#63746,#51541,#51542);
#44185=AXIS2_PLACEMENT_3D('',#63748,#51544,#51545);
#44186=AXIS2_PLACEMENT_3D('',#63750,#51547,#51548);
#44187=AXIS2_PLACEMENT_3D('',#63752,#51550,#51551);
#44188=AXIS2_PLACEMENT_3D('',#63754,#51553,#51554);
#44189=AXIS2_PLACEMENT_3D('',#63756,#51556,#51557);
#44190=AXIS2_PLACEMENT_3D('',#63757,#51558,#51559);
#44191=AXIS2_PLACEMENT_3D('',#63759,#51561,#51562);
#44192=AXIS2_PLACEMENT_3D('',#63760,#51563,#51564);
#44193=AXIS2_PLACEMENT_3D('placement',#63761,#51565,#51566);
#44194=AXIS2_PLACEMENT_3D('placement',#63762,#51567,#51568);
#44195=AXIS2_PLACEMENT_3D('',#63763,#51569,#51570);
#44196=AXIS2_PLACEMENT_3D('',#63766,#51571,#51572);
#44197=AXIS2_PLACEMENT_3D('',#63767,#51573,#51574);
#44198=AXIS2_PLACEMENT_3D('',#63768,#51575,#51576);
#44199=AXIS2_PLACEMENT_3D('',#63771,#51577,#51578);
#44200=AXIS2_PLACEMENT_3D('',#63772,#51579,#51580);
#44201=AXIS2_PLACEMENT_3D('',#63774,#51582,#51583);
#44202=AXIS2_PLACEMENT_3D('',#63779,#51585,#51586);
#44203=AXIS2_PLACEMENT_3D('',#63783,#51588,#51589);
#44204=AXIS2_PLACEMENT_3D('',#63787,#51591,#51592);
#44205=AXIS2_PLACEMENT_3D('',#63790,#51594,#51595);
#44206=AXIS2_PLACEMENT_3D('',#63793,#51596,#51597);
#44207=AXIS2_PLACEMENT_3D('',#63794,#51598,#51599);
#44208=AXIS2_PLACEMENT_3D('',#63797,#51600,#51601);
#44209=AXIS2_PLACEMENT_3D('',#63798,#51602,#51603);
#44210=AXIS2_PLACEMENT_3D('',#63801,#51604,#51605);
#44211=AXIS2_PLACEMENT_3D('',#63802,#51606,#51607);
#44212=AXIS2_PLACEMENT_3D('',#63805,#51608,#51609);
#44213=AXIS2_PLACEMENT_3D('',#63806,#51610,#51611);
#44214=AXIS2_PLACEMENT_3D('',#63807,#51612,#51613);
#44215=AXIS2_PLACEMENT_3D('',#63813,#51617,#51618);
#44216=AXIS2_PLACEMENT_3D('',#63816,#51620,#51621);
#44217=AXIS2_PLACEMENT_3D('',#63817,#51622,#51623);
#44218=AXIS2_PLACEMENT_3D('',#63819,#51624,#51625);
#44219=AXIS2_PLACEMENT_3D('',#63821,#51627,#51628);
#44220=AXIS2_PLACEMENT_3D('',#63825,#51631,#51632);
#44221=AXIS2_PLACEMENT_3D('',#63829,#51635,#51636);
#44222=AXIS2_PLACEMENT_3D('',#63831,#51637,#51638);
#44223=AXIS2_PLACEMENT_3D('',#63833,#51640,#51641);
#44224=AXIS2_PLACEMENT_3D('',#63835,#51642,#51643);
#44225=AXIS2_PLACEMENT_3D('',#63837,#51645,#51646);
#44226=AXIS2_PLACEMENT_3D('',#63839,#51648,#51649);
#44227=AXIS2_PLACEMENT_3D('',#63843,#51651,#51652);
#44228=AXIS2_PLACEMENT_3D('',#63844,#51653,#51654);
#44229=AXIS2_PLACEMENT_3D('',#63845,#51655,#51656);
#44230=AXIS2_PLACEMENT_3D('',#63849,#51658,#51659);
#44231=AXIS2_PLACEMENT_3D('',#63850,#51660,#51661);
#44232=AXIS2_PLACEMENT_3D('',#63851,#51662,#51663);
#44233=AXIS2_PLACEMENT_3D('',#63855,#51665,#51666);
#44234=AXIS2_PLACEMENT_3D('',#63856,#51667,#51668);
#44235=AXIS2_PLACEMENT_3D('',#63857,#51669,#51670);
#44236=AXIS2_PLACEMENT_3D('',#63861,#51672,#51673);
#44237=AXIS2_PLACEMENT_3D('',#63862,#51674,#51675);
#44238=AXIS2_PLACEMENT_3D('',#63863,#51676,#51677);
#44239=AXIS2_PLACEMENT_3D('',#63869,#51681,#51682);
#44240=AXIS2_PLACEMENT_3D('',#63872,#51684,#51685);
#44241=AXIS2_PLACEMENT_3D('',#63873,#51686,#51687);
#44242=AXIS2_PLACEMENT_3D('',#63875,#51688,#51689);
#44243=AXIS2_PLACEMENT_3D('',#63877,#51691,#51692);
#44244=AXIS2_PLACEMENT_3D('',#63897,#51703,#51704);
#44245=AXIS2_PLACEMENT_3D('',#63917,#51715,#51716);
#44246=AXIS2_PLACEMENT_3D('',#63919,#51717,#51718);
#44247=AXIS2_PLACEMENT_3D('',#63921,#51720,#51721);
#44248=AXIS2_PLACEMENT_3D('',#63923,#51722,#51723);
#44249=AXIS2_PLACEMENT_3D('',#63925,#51725,#51726);
#44250=AXIS2_PLACEMENT_3D('',#63927,#51728,#51729);
#44251=AXIS2_PLACEMENT_3D('',#63928,#51730,#51731);
#44252=AXIS2_PLACEMENT_3D('',#63930,#51732,#51733);
#44253=AXIS2_PLACEMENT_3D('',#63932,#51734,#51735);
#44254=AXIS2_PLACEMENT_3D('',#63933,#51736,#51737);
#44255=AXIS2_PLACEMENT_3D('',#63934,#51738,#51739);
#44256=AXIS2_PLACEMENT_3D('',#63935,#51740,#51741);
#44257=AXIS2_PLACEMENT_3D('',#63936,#51742,#51743);
#44258=AXIS2_PLACEMENT_3D('',#63941,#51748,#51749);
#44259=AXIS2_PLACEMENT_3D('',#63946,#51751,#51752);
#44260=AXIS2_PLACEMENT_3D('',#63950,#51754,#51755);
#44261=AXIS2_PLACEMENT_3D('',#63955,#51758,#51759);
#44262=AXIS2_PLACEMENT_3D('',#63959,#51761,#51762);
#44263=AXIS2_PLACEMENT_3D('',#63963,#51764,#51765);
#44264=AXIS2_PLACEMENT_3D('',#63971,#51769,#51770);
#44265=AXIS2_PLACEMENT_3D('',#63974,#51772,#51773);
#44266=AXIS2_PLACEMENT_3D('',#63975,#51774,#51775);
#44267=AXIS2_PLACEMENT_3D('',#63979,#51778,#51779);
#44268=AXIS2_PLACEMENT_3D('',#63984,#51781,#51782);
#44269=AXIS2_PLACEMENT_3D('',#63988,#51784,#51785);
#44270=AXIS2_PLACEMENT_3D('',#63996,#51789,#51790);
#44271=AXIS2_PLACEMENT_3D('',#64000,#51792,#51793);
#44272=AXIS2_PLACEMENT_3D('',#64004,#51795,#51796);
#44273=AXIS2_PLACEMENT_3D('',#64007,#51798,#51799);
#44274=AXIS2_PLACEMENT_3D('',#64010,#51801,#51802);
#44275=AXIS2_PLACEMENT_3D('',#64011,#51803,#51804);
#44276=AXIS2_PLACEMENT_3D('',#64016,#51806,#51807);
#44277=AXIS2_PLACEMENT_3D('',#64020,#51809,#51810);
#44278=AXIS2_PLACEMENT_3D('',#64028,#51814,#51815);
#44279=AXIS2_PLACEMENT_3D('',#64032,#51817,#51818);
#44280=AXIS2_PLACEMENT_3D('',#64036,#51820,#51821);
#44281=AXIS2_PLACEMENT_3D('',#64041,#51824,#51825);
#44282=AXIS2_PLACEMENT_3D('',#64044,#51827,#51828);
#44283=AXIS2_PLACEMENT_3D('',#64045,#51829,#51830);
#44284=AXIS2_PLACEMENT_3D('',#64050,#51832,#51833);
#44285=AXIS2_PLACEMENT_3D('',#64054,#51835,#51836);
#44286=AXIS2_PLACEMENT_3D('',#64059,#51839,#51840);
#44287=AXIS2_PLACEMENT_3D('',#64063,#51842,#51843);
#44288=AXIS2_PLACEMENT_3D('',#64067,#51845,#51846);
#44289=AXIS2_PLACEMENT_3D('',#64075,#51850,#51851);
#44290=AXIS2_PLACEMENT_3D('',#64078,#51853,#51854);
#44291=AXIS2_PLACEMENT_3D('',#64079,#51855,#51856);
#44292=AXIS2_PLACEMENT_3D('',#64081,#51858,#51859);
#44293=AXIS2_PLACEMENT_3D('',#64086,#51861,#51862);
#44294=AXIS2_PLACEMENT_3D('',#64090,#51864,#51865);
#44295=AXIS2_PLACEMENT_3D('',#64095,#51868,#51869);
#44296=AXIS2_PLACEMENT_3D('',#64099,#51871,#51872);
#44297=AXIS2_PLACEMENT_3D('',#64103,#51874,#51875);
#44298=AXIS2_PLACEMENT_3D('',#64111,#51879,#51880);
#44299=AXIS2_PLACEMENT_3D('',#64114,#51882,#51883);
#44300=AXIS2_PLACEMENT_3D('',#64115,#51884,#51885);
#44301=AXIS2_PLACEMENT_3D('',#64119,#51888,#51889);
#44302=AXIS2_PLACEMENT_3D('',#64124,#51891,#51892);
#44303=AXIS2_PLACEMENT_3D('',#64128,#51894,#51895);
#44304=AXIS2_PLACEMENT_3D('',#64136,#51899,#51900);
#44305=AXIS2_PLACEMENT_3D('',#64140,#51902,#51903);
#44306=AXIS2_PLACEMENT_3D('',#64144,#51905,#51906);
#44307=AXIS2_PLACEMENT_3D('',#64147,#51908,#51909);
#44308=AXIS2_PLACEMENT_3D('',#64150,#51911,#51912);
#44309=AXIS2_PLACEMENT_3D('',#64151,#51913,#51914);
#44310=AXIS2_PLACEMENT_3D('',#64156,#51916,#51917);
#44311=AXIS2_PLACEMENT_3D('',#64160,#51919,#51920);
#44312=AXIS2_PLACEMENT_3D('',#64168,#51924,#51925);
#44313=AXIS2_PLACEMENT_3D('',#64172,#51927,#51928);
#44314=AXIS2_PLACEMENT_3D('',#64176,#51930,#51931);
#44315=AXIS2_PLACEMENT_3D('',#64181,#51934,#51935);
#44316=AXIS2_PLACEMENT_3D('',#64184,#51937,#51938);
#44317=AXIS2_PLACEMENT_3D('',#64185,#51939,#51940);
#44318=AXIS2_PLACEMENT_3D('',#64190,#51942,#51943);
#44319=AXIS2_PLACEMENT_3D('',#64194,#51945,#51946);
#44320=AXIS2_PLACEMENT_3D('',#64199,#51949,#51950);
#44321=AXIS2_PLACEMENT_3D('',#64203,#51952,#51953);
#44322=AXIS2_PLACEMENT_3D('',#64207,#51955,#51956);
#44323=AXIS2_PLACEMENT_3D('',#64215,#51960,#51961);
#44324=AXIS2_PLACEMENT_3D('',#64218,#51963,#51964);
#44325=AXIS2_PLACEMENT_3D('',#64219,#51965,#51966);
#44326=AXIS2_PLACEMENT_3D('',#64221,#51968,#51969);
#44327=AXIS2_PLACEMENT_3D('',#64222,#51970,#51971);
#44328=AXIS2_PLACEMENT_3D('',#64224,#51973,#51974);
#44329=AXIS2_PLACEMENT_3D('',#64226,#51976,#51977);
#44330=AXIS2_PLACEMENT_3D('',#64228,#51979,#51980);
#44331=AXIS2_PLACEMENT_3D('',#64230,#51982,#51983);
#44332=AXIS2_PLACEMENT_3D('',#64233,#51986,#51987);
#44333=AXIS2_PLACEMENT_3D('',#64235,#51989,#51990);
#44334=AXIS2_PLACEMENT_3D('',#64237,#51992,#51993);
#44335=AXIS2_PLACEMENT_3D('',#64239,#51995,#51996);
#44336=AXIS2_PLACEMENT_3D('',#64241,#51998,#51999);
#44337=AXIS2_PLACEMENT_3D('',#64242,#52000,#52001);
#44338=AXIS2_PLACEMENT_3D('',#64244,#52003,#52004);
#44339=AXIS2_PLACEMENT_3D('',#64246,#52006,#52007);
#44340=AXIS2_PLACEMENT_3D('',#64248,#52009,#52010);
#44341=AXIS2_PLACEMENT_3D('',#64250,#52012,#52013);
#44342=AXIS2_PLACEMENT_3D('',#64252,#52015,#52016);
#44343=AXIS2_PLACEMENT_3D('',#64254,#52018,#52019);
#44344=AXIS2_PLACEMENT_3D('',#64256,#52021,#52022);
#44345=AXIS2_PLACEMENT_3D('',#64258,#52024,#52025);
#44346=AXIS2_PLACEMENT_3D('',#64259,#52026,#52027);
#44347=AXIS2_PLACEMENT_3D('',#64262,#52030,#52031);
#44348=AXIS2_PLACEMENT_3D('',#64264,#52033,#52034);
#44349=AXIS2_PLACEMENT_3D('',#64266,#52036,#52037);
#44350=AXIS2_PLACEMENT_3D('',#64268,#52039,#52040);
#44351=AXIS2_PLACEMENT_3D('',#64270,#52042,#52043);
#44352=AXIS2_PLACEMENT_3D('',#64271,#52044,#52045);
#44353=AXIS2_PLACEMENT_3D('',#64273,#52047,#52048);
#44354=AXIS2_PLACEMENT_3D('',#64275,#52050,#52051);
#44355=AXIS2_PLACEMENT_3D('',#64277,#52053,#52054);
#44356=AXIS2_PLACEMENT_3D('',#64279,#52056,#52057);
#44357=AXIS2_PLACEMENT_3D('',#64281,#52059,#52060);
#44358=AXIS2_PLACEMENT_3D('',#64283,#52062,#52063);
#44359=AXIS2_PLACEMENT_3D('',#64285,#52065,#52066);
#44360=AXIS2_PLACEMENT_3D('',#64287,#52068,#52069);
#44361=AXIS2_PLACEMENT_3D('',#64288,#52070,#52071);
#44362=AXIS2_PLACEMENT_3D('',#64291,#52074,#52075);
#44363=AXIS2_PLACEMENT_3D('',#64293,#52077,#52078);
#44364=AXIS2_PLACEMENT_3D('',#64295,#52080,#52081);
#44365=AXIS2_PLACEMENT_3D('',#64297,#52083,#52084);
#44366=AXIS2_PLACEMENT_3D('',#64299,#52086,#52087);
#44367=AXIS2_PLACEMENT_3D('',#64300,#52088,#52089);
#44368=AXIS2_PLACEMENT_3D('',#64302,#52091,#52092);
#44369=AXIS2_PLACEMENT_3D('',#64304,#52094,#52095);
#44370=AXIS2_PLACEMENT_3D('',#64306,#52097,#52098);
#44371=AXIS2_PLACEMENT_3D('',#64308,#52100,#52101);
#44372=AXIS2_PLACEMENT_3D('',#64310,#52103,#52104);
#44373=AXIS2_PLACEMENT_3D('',#64312,#52106,#52107);
#44374=AXIS2_PLACEMENT_3D('',#64314,#52109,#52110);
#44375=AXIS2_PLACEMENT_3D('',#64316,#52112,#52113);
#44376=AXIS2_PLACEMENT_3D('',#64317,#52114,#52115);
#44377=AXIS2_PLACEMENT_3D('',#64320,#52118,#52119);
#44378=AXIS2_PLACEMENT_3D('',#64322,#52121,#52122);
#44379=AXIS2_PLACEMENT_3D('',#64324,#52124,#52125);
#44380=AXIS2_PLACEMENT_3D('',#64326,#52127,#52128);
#44381=AXIS2_PLACEMENT_3D('',#64328,#52130,#52131);
#44382=AXIS2_PLACEMENT_3D('',#64329,#52132,#52133);
#44383=AXIS2_PLACEMENT_3D('',#64331,#52135,#52136);
#44384=AXIS2_PLACEMENT_3D('',#64333,#52138,#52139);
#44385=AXIS2_PLACEMENT_3D('',#64335,#52141,#52142);
#44386=AXIS2_PLACEMENT_3D('',#64337,#52144,#52145);
#44387=AXIS2_PLACEMENT_3D('',#64339,#52147,#52148);
#44388=AXIS2_PLACEMENT_3D('',#64341,#52150,#52151);
#44389=AXIS2_PLACEMENT_3D('',#64343,#52153,#52154);
#44390=AXIS2_PLACEMENT_3D('',#64345,#52156,#52157);
#44391=AXIS2_PLACEMENT_3D('',#64346,#52158,#52159);
#44392=AXIS2_PLACEMENT_3D('placement',#64347,#52160,#52161);
#44393=AXIS2_PLACEMENT_3D('placement',#64348,#52162,#52163);
#44394=AXIS2_PLACEMENT_3D('',#64349,#52164,#52165);
#44395=AXIS2_PLACEMENT_3D('',#64358,#52170,#52171);
#44396=AXIS2_PLACEMENT_3D('',#64363,#52173,#52174);
#44397=AXIS2_PLACEMENT_3D('',#64371,#52178,#52179);
#44398=AXIS2_PLACEMENT_3D('',#64374,#52181,#52182);
#44399=AXIS2_PLACEMENT_3D('',#64375,#52183,#52184);
#44400=AXIS2_PLACEMENT_3D('',#64376,#52185,#52186);
#44401=AXIS2_PLACEMENT_3D('',#64378,#52187,#52188);
#44402=AXIS2_PLACEMENT_3D('',#64380,#52190,#52191);
#44403=AXIS2_PLACEMENT_3D('',#64383,#52193,#52194);
#44404=AXIS2_PLACEMENT_3D('',#64384,#52195,#52196);
#44405=AXIS2_PLACEMENT_3D('',#64390,#52199,#52200);
#44406=AXIS2_PLACEMENT_3D('',#64397,#52204,#52205);
#44407=AXIS2_PLACEMENT_3D('',#64398,#52206,#52207);
#44408=AXIS2_PLACEMENT_3D('',#64400,#52209,#52210);
#44409=AXIS2_PLACEMENT_3D('',#64402,#52212,#52213);
#44410=AXIS2_PLACEMENT_3D('',#64412,#52219,#52220);
#44411=AXIS2_PLACEMENT_3D('',#64414,#52222,#52223);
#44412=AXIS2_PLACEMENT_3D('',#64416,#52225,#52226);
#44413=AXIS2_PLACEMENT_3D('',#64426,#52232,#52233);
#44414=AXIS2_PLACEMENT_3D('',#64428,#52235,#52236);
#44415=AXIS2_PLACEMENT_3D('',#64433,#52238,#52239);
#44416=AXIS2_PLACEMENT_3D('',#64437,#52241,#52242);
#44417=AXIS2_PLACEMENT_3D('',#64440,#52244,#52245);
#44418=AXIS2_PLACEMENT_3D('',#64441,#52246,#52247);
#44419=AXIS2_PLACEMENT_3D('',#64442,#52248,#52249);
#44420=AXIS2_PLACEMENT_3D('',#64448,#52253,#52254);
#44421=AXIS2_PLACEMENT_3D('',#64452,#52257,#52258);
#44422=AXIS2_PLACEMENT_3D('',#64456,#52260,#52261);
#44423=AXIS2_PLACEMENT_3D('',#64458,#52262,#52263);
#44424=AXIS2_PLACEMENT_3D('',#64462,#52265,#52266);
#44425=AXIS2_PLACEMENT_3D('',#64465,#52268,#52269);
#44426=AXIS2_PLACEMENT_3D('',#64466,#52270,#52271);
#44427=AXIS2_PLACEMENT_3D('',#64470,#52274,#52275);
#44428=AXIS2_PLACEMENT_3D('',#64472,#52277,#52278);
#44429=AXIS2_PLACEMENT_3D('',#64474,#52280,#52281);
#44430=AXIS2_PLACEMENT_3D('',#64476,#52283,#52284);
#44431=AXIS2_PLACEMENT_3D('',#64478,#52286,#52287);
#44432=AXIS2_PLACEMENT_3D('',#64480,#52289,#52290);
#44433=AXIS2_PLACEMENT_3D('',#64482,#52292,#52293);
#44434=AXIS2_PLACEMENT_3D('',#64484,#52295,#52296);
#44435=AXIS2_PLACEMENT_3D('',#64486,#52298,#52299);
#44436=AXIS2_PLACEMENT_3D('',#64487,#52300,#52301);
#44437=AXIS2_PLACEMENT_3D('placement',#64488,#52302,#52303);
#44438=AXIS2_PLACEMENT_3D('placement',#64489,#52304,#52305);
#44439=AXIS2_PLACEMENT_3D('',#64490,#52306,#52307);
#44440=AXIS2_PLACEMENT_3D('',#64499,#52312,#52313);
#44441=AXIS2_PLACEMENT_3D('',#64505,#52317,#52318);
#44442=AXIS2_PLACEMENT_3D('',#64511,#52322,#52323);
#44443=AXIS2_PLACEMENT_3D('',#64609,#52373,#52374);
#44444=AXIS2_PLACEMENT_3D('',#64707,#52424,#52425);
#44445=AXIS2_PLACEMENT_3D('',#64709,#52427,#52428);
#44446=AXIS2_PLACEMENT_3D('',#64711,#52430,#52431);
#44447=AXIS2_PLACEMENT_3D('',#64713,#52433,#52434);
#44448=AXIS2_PLACEMENT_3D('',#64715,#52436,#52437);
#44449=AXIS2_PLACEMENT_3D('',#64717,#52439,#52440);
#44450=AXIS2_PLACEMENT_3D('',#64719,#52442,#52443);
#44451=AXIS2_PLACEMENT_3D('',#64721,#52445,#52446);
#44452=AXIS2_PLACEMENT_3D('',#64723,#52448,#52449);
#44453=AXIS2_PLACEMENT_3D('',#64725,#52451,#52452);
#44454=AXIS2_PLACEMENT_3D('',#64727,#52454,#52455);
#44455=AXIS2_PLACEMENT_3D('',#64729,#52457,#52458);
#44456=AXIS2_PLACEMENT_3D('',#64731,#52460,#52461);
#44457=AXIS2_PLACEMENT_3D('',#64733,#52463,#52464);
#44458=AXIS2_PLACEMENT_3D('',#64735,#52466,#52467);
#44459=AXIS2_PLACEMENT_3D('',#64737,#52469,#52470);
#44460=AXIS2_PLACEMENT_3D('',#64739,#52472,#52473);
#44461=AXIS2_PLACEMENT_3D('',#64741,#52475,#52476);
#44462=AXIS2_PLACEMENT_3D('',#64743,#52478,#52479);
#44463=AXIS2_PLACEMENT_3D('',#64745,#52481,#52482);
#44464=AXIS2_PLACEMENT_3D('',#64747,#52484,#52485);
#44465=AXIS2_PLACEMENT_3D('',#64749,#52487,#52488);
#44466=AXIS2_PLACEMENT_3D('',#64751,#52490,#52491);
#44467=AXIS2_PLACEMENT_3D('',#64753,#52493,#52494);
#44468=AXIS2_PLACEMENT_3D('',#64755,#52496,#52497);
#44469=AXIS2_PLACEMENT_3D('',#64757,#52499,#52500);
#44470=AXIS2_PLACEMENT_3D('',#64759,#52502,#52503);
#44471=AXIS2_PLACEMENT_3D('',#64761,#52505,#52506);
#44472=AXIS2_PLACEMENT_3D('',#64763,#52508,#52509);
#44473=AXIS2_PLACEMENT_3D('',#64764,#52510,#52511);
#44474=AXIS2_PLACEMENT_3D('',#64770,#52515,#52516);
#44475=AXIS2_PLACEMENT_3D('',#64774,#52519,#52520);
#44476=AXIS2_PLACEMENT_3D('',#64778,#52523,#52524);
#44477=AXIS2_PLACEMENT_3D('',#64780,#52526,#52527);
#44478=AXIS2_PLACEMENT_3D('',#64786,#52531,#52532);
#44479=AXIS2_PLACEMENT_3D('',#64790,#52535,#52536);
#44480=AXIS2_PLACEMENT_3D('',#64794,#52539,#52540);
#44481=AXIS2_PLACEMENT_3D('',#64796,#52542,#52543);
#44482=AXIS2_PLACEMENT_3D('',#64802,#52547,#52548);
#44483=AXIS2_PLACEMENT_3D('',#64806,#52551,#52552);
#44484=AXIS2_PLACEMENT_3D('',#64810,#52555,#52556);
#44485=AXIS2_PLACEMENT_3D('',#64812,#52558,#52559);
#44486=AXIS2_PLACEMENT_3D('',#64818,#52563,#52564);
#44487=AXIS2_PLACEMENT_3D('',#64822,#52567,#52568);
#44488=AXIS2_PLACEMENT_3D('',#64826,#52571,#52572);
#44489=AXIS2_PLACEMENT_3D('',#64828,#52574,#52575);
#44490=AXIS2_PLACEMENT_3D('',#64834,#52579,#52580);
#44491=AXIS2_PLACEMENT_3D('',#64838,#52583,#52584);
#44492=AXIS2_PLACEMENT_3D('',#64842,#52587,#52588);
#44493=AXIS2_PLACEMENT_3D('',#64844,#52590,#52591);
#44494=AXIS2_PLACEMENT_3D('',#64850,#52595,#52596);
#44495=AXIS2_PLACEMENT_3D('',#64854,#52599,#52600);
#44496=AXIS2_PLACEMENT_3D('',#64858,#52603,#52604);
#44497=AXIS2_PLACEMENT_3D('',#64860,#52606,#52607);
#44498=AXIS2_PLACEMENT_3D('',#64866,#52611,#52612);
#44499=AXIS2_PLACEMENT_3D('',#64870,#52615,#52616);
#44500=AXIS2_PLACEMENT_3D('',#64874,#52619,#52620);
#44501=AXIS2_PLACEMENT_3D('',#64876,#52622,#52623);
#44502=AXIS2_PLACEMENT_3D('',#64882,#52627,#52628);
#44503=AXIS2_PLACEMENT_3D('',#64886,#52631,#52632);
#44504=AXIS2_PLACEMENT_3D('',#64890,#52635,#52636);
#44505=AXIS2_PLACEMENT_3D('',#64892,#52638,#52639);
#44506=AXIS2_PLACEMENT_3D('',#64898,#52643,#52644);
#44507=AXIS2_PLACEMENT_3D('',#64902,#52647,#52648);
#44508=AXIS2_PLACEMENT_3D('',#64906,#52651,#52652);
#44509=AXIS2_PLACEMENT_3D('',#64908,#52654,#52655);
#44510=AXIS2_PLACEMENT_3D('',#64914,#52659,#52660);
#44511=AXIS2_PLACEMENT_3D('',#64918,#52663,#52664);
#44512=AXIS2_PLACEMENT_3D('',#64922,#52667,#52668);
#44513=AXIS2_PLACEMENT_3D('',#64924,#52670,#52671);
#44514=AXIS2_PLACEMENT_3D('',#64930,#52675,#52676);
#44515=AXIS2_PLACEMENT_3D('',#64934,#52679,#52680);
#44516=AXIS2_PLACEMENT_3D('',#64938,#52683,#52684);
#44517=AXIS2_PLACEMENT_3D('',#64940,#52686,#52687);
#44518=AXIS2_PLACEMENT_3D('',#64946,#52691,#52692);
#44519=AXIS2_PLACEMENT_3D('',#64950,#52695,#52696);
#44520=AXIS2_PLACEMENT_3D('',#64954,#52699,#52700);
#44521=AXIS2_PLACEMENT_3D('',#64956,#52702,#52703);
#44522=AXIS2_PLACEMENT_3D('',#64962,#52707,#52708);
#44523=AXIS2_PLACEMENT_3D('',#64966,#52711,#52712);
#44524=AXIS2_PLACEMENT_3D('',#64970,#52715,#52716);
#44525=AXIS2_PLACEMENT_3D('',#64972,#52718,#52719);
#44526=AXIS2_PLACEMENT_3D('',#64978,#52723,#52724);
#44527=AXIS2_PLACEMENT_3D('',#64982,#52727,#52728);
#44528=AXIS2_PLACEMENT_3D('',#64986,#52731,#52732);
#44529=AXIS2_PLACEMENT_3D('',#64988,#52734,#52735);
#44530=AXIS2_PLACEMENT_3D('',#64994,#52739,#52740);
#44531=AXIS2_PLACEMENT_3D('',#64998,#52743,#52744);
#44532=AXIS2_PLACEMENT_3D('',#65002,#52747,#52748);
#44533=AXIS2_PLACEMENT_3D('',#65004,#52750,#52751);
#44534=AXIS2_PLACEMENT_3D('',#65010,#52755,#52756);
#44535=AXIS2_PLACEMENT_3D('',#65014,#52759,#52760);
#44536=AXIS2_PLACEMENT_3D('',#65018,#52763,#52764);
#44537=AXIS2_PLACEMENT_3D('',#65020,#52766,#52767);
#44538=AXIS2_PLACEMENT_3D('',#65026,#52771,#52772);
#44539=AXIS2_PLACEMENT_3D('',#65030,#52775,#52776);
#44540=AXIS2_PLACEMENT_3D('',#65034,#52779,#52780);
#44541=AXIS2_PLACEMENT_3D('',#65036,#52782,#52783);
#44542=AXIS2_PLACEMENT_3D('',#65042,#52787,#52788);
#44543=AXIS2_PLACEMENT_3D('',#65046,#52791,#52792);
#44544=AXIS2_PLACEMENT_3D('',#65050,#52795,#52796);
#44545=AXIS2_PLACEMENT_3D('',#65052,#52798,#52799);
#44546=AXIS2_PLACEMENT_3D('',#65058,#52803,#52804);
#44547=AXIS2_PLACEMENT_3D('',#65062,#52807,#52808);
#44548=AXIS2_PLACEMENT_3D('',#65066,#52811,#52812);
#44549=AXIS2_PLACEMENT_3D('',#65068,#52814,#52815);
#44550=AXIS2_PLACEMENT_3D('',#65074,#52819,#52820);
#44551=AXIS2_PLACEMENT_3D('',#65078,#52823,#52824);
#44552=AXIS2_PLACEMENT_3D('',#65082,#52827,#52828);
#44553=AXIS2_PLACEMENT_3D('',#65084,#52830,#52831);
#44554=AXIS2_PLACEMENT_3D('',#65085,#52832,#52833);
#44555=AXIS2_PLACEMENT_3D('',#65086,#52834,#52835);
#44556=AXIS2_PLACEMENT_3D('',#65087,#52836,#52837);
#44557=AXIS2_PLACEMENT_3D('',#65088,#52838,#52839);
#44558=AXIS2_PLACEMENT_3D('',#65089,#52840,#52841);
#44559=AXIS2_PLACEMENT_3D('',#65090,#52842,#52843);
#44560=AXIS2_PLACEMENT_3D('',#65091,#52844,#52845);
#44561=AXIS2_PLACEMENT_3D('',#65092,#52846,#52847);
#44562=AXIS2_PLACEMENT_3D('',#65093,#52848,#52849);
#44563=AXIS2_PLACEMENT_3D('',#65094,#52850,#52851);
#44564=AXIS2_PLACEMENT_3D('placement',#65095,#52852,#52853);
#44565=AXIS2_PLACEMENT_3D('placement',#65096,#52854,#52855);
#44566=AXIS2_PLACEMENT_3D('',#65097,#52856,#52857);
#44567=AXIS2_PLACEMENT_3D('',#65106,#52862,#52863);
#44568=AXIS2_PLACEMENT_3D('',#65116,#52869,#52870);
#44569=AXIS2_PLACEMENT_3D('',#65134,#52880,#52881);
#44570=AXIS2_PLACEMENT_3D('',#65138,#52884,#52885);
#44571=AXIS2_PLACEMENT_3D('',#65146,#52890,#52891);
#44572=AXIS2_PLACEMENT_3D('',#65152,#52895,#52896);
#44573=AXIS2_PLACEMENT_3D('',#65154,#52898,#52899);
#44574=AXIS2_PLACEMENT_3D('',#65156,#52900,#52901);
#44575=AXIS2_PLACEMENT_3D('',#65159,#52903,#52904);
#44576=AXIS2_PLACEMENT_3D('',#65160,#52905,#52906);
#44577=AXIS2_PLACEMENT_3D('',#65164,#52909,#52910);
#44578=AXIS2_PLACEMENT_3D('',#65174,#52915,#52916);
#44579=AXIS2_PLACEMENT_3D('',#65177,#52918,#52919);
#44580=AXIS2_PLACEMENT_3D('',#65178,#52920,#52921);
#44581=AXIS2_PLACEMENT_3D('',#65206,#52936,#52937);
#44582=AXIS2_PLACEMENT_3D('',#65211,#52939,#52940);
#44583=AXIS2_PLACEMENT_3D('',#65215,#52942,#52943);
#44584=AXIS2_PLACEMENT_3D('',#65220,#52947,#52948);
#44585=AXIS2_PLACEMENT_3D('',#65224,#52951,#52952);
#44586=AXIS2_PLACEMENT_3D('',#65230,#52956,#52957);
#44587=AXIS2_PLACEMENT_3D('',#65232,#52958,#52959);
#44588=AXIS2_PLACEMENT_3D('',#65235,#52961,#52962);
#44589=AXIS2_PLACEMENT_3D('',#65236,#52963,#52964);
#44590=AXIS2_PLACEMENT_3D('',#65247,#52971,#52972);
#44591=AXIS2_PLACEMENT_3D('',#65251,#52975,#52976);
#44592=AXIS2_PLACEMENT_3D('',#65255,#52979,#52980);
#44593=AXIS2_PLACEMENT_3D('',#65259,#52983,#52984);
#44594=AXIS2_PLACEMENT_3D('',#65264,#52988,#52989);
#44595=AXIS2_PLACEMENT_3D('',#65265,#52990,#52991);
#44596=AXIS2_PLACEMENT_3D('',#65279,#52999,#53000);
#44597=AXIS2_PLACEMENT_3D('',#65282,#53002,#53003);
#44598=AXIS2_PLACEMENT_3D('',#65283,#53004,#53005);
#44599=AXIS2_PLACEMENT_3D('',#65285,#53007,#53008);
#44600=AXIS2_PLACEMENT_3D('',#65289,#53011,#53012);
#44601=AXIS2_PLACEMENT_3D('',#65290,#53013,#53014);
#44602=AXIS2_PLACEMENT_3D('',#65291,#53015,#53016);
#44603=AXIS2_PLACEMENT_3D('',#65292,#53017,#53018);
#44604=AXIS2_PLACEMENT_3D('',#65293,#53019,#53020);
#44605=AXIS2_PLACEMENT_3D('',#65294,#53021,#53022);
#44606=AXIS2_PLACEMENT_3D('',#65295,#53023,#53024);
#44607=AXIS2_PLACEMENT_3D('',#65297,#53026,#53027);
#44608=AXIS2_PLACEMENT_3D('',#65299,#53029,#53030);
#44609=AXIS2_PLACEMENT_3D('',#65305,#53034,#53035);
#44610=AXIS2_PLACEMENT_3D('',#65308,#53037,#53038);
#44611=AXIS2_PLACEMENT_3D('',#65309,#53039,#53040);
#44612=AXIS2_PLACEMENT_3D('',#65312,#53042,#53043);
#44613=AXIS2_PLACEMENT_3D('',#65313,#53044,#53045);
#44614=AXIS2_PLACEMENT_3D('',#65317,#53048,#53049);
#44615=AXIS2_PLACEMENT_3D('',#65319,#53051,#53052);
#44616=AXIS2_PLACEMENT_3D('',#65325,#53056,#53057);
#44617=AXIS2_PLACEMENT_3D('',#65329,#53060,#53061);
#44618=AXIS2_PLACEMENT_3D('',#65331,#53063,#53064);
#44619=AXIS2_PLACEMENT_3D('',#65335,#53067,#53068);
#44620=AXIS2_PLACEMENT_3D('',#65337,#53069,#53070);
#44621=AXIS2_PLACEMENT_3D('',#65339,#53072,#53073);
#44622=AXIS2_PLACEMENT_3D('',#65343,#53076,#53077);
#44623=AXIS2_PLACEMENT_3D('',#65345,#53078,#53079);
#44624=AXIS2_PLACEMENT_3D('',#65347,#53081,#53082);
#44625=AXIS2_PLACEMENT_3D('',#65356,#53088,#53089);
#44626=AXIS2_PLACEMENT_3D('',#65358,#53091,#53092);
#44627=AXIS2_PLACEMENT_3D('',#65359,#53093,#53094);
#44628=AXIS2_PLACEMENT_3D('',#65362,#53097,#53098);
#44629=AXIS2_PLACEMENT_3D('',#65363,#53099,#53100);
#44630=AXIS2_PLACEMENT_3D('',#65364,#53101,#53102);
#44631=AXIS2_PLACEMENT_3D('',#65365,#53103,#53104);
#44632=AXIS2_PLACEMENT_3D('',#65366,#53105,#53106);
#44633=AXIS2_PLACEMENT_3D('placement',#65367,#53107,#53108);
#44634=AXIS2_PLACEMENT_3D('placement',#65368,#53109,#53110);
#44635=AXIS2_PLACEMENT_3D('',#65369,#53111,#53112);
#44636=AXIS2_PLACEMENT_3D('',#65374,#53114,#53115);
#44637=AXIS2_PLACEMENT_3D('',#65378,#53117,#53118);
#44638=AXIS2_PLACEMENT_3D('',#65382,#53120,#53121);
#44639=AXIS2_PLACEMENT_3D('',#65385,#53123,#53124);
#44640=AXIS2_PLACEMENT_3D('',#65386,#53125,#53126);
#44641=AXIS2_PLACEMENT_3D('',#65392,#53130,#53131);
#44642=AXIS2_PLACEMENT_3D('',#65395,#53133,#53134);
#44643=AXIS2_PLACEMENT_3D('',#65396,#53135,#53136);
#44644=AXIS2_PLACEMENT_3D('',#65398,#53137,#53138);
#44645=AXIS2_PLACEMENT_3D('',#65400,#53140,#53141);
#44646=AXIS2_PLACEMENT_3D('',#65404,#53144,#53145);
#44647=AXIS2_PLACEMENT_3D('',#65408,#53148,#53149);
#44648=AXIS2_PLACEMENT_3D('',#65411,#53151,#53152);
#44649=AXIS2_PLACEMENT_3D('',#65412,#53153,#53154);
#44650=AXIS2_PLACEMENT_3D('',#65414,#53155,#53156);
#44651=AXIS2_PLACEMENT_3D('',#65416,#53158,#53159);
#44652=AXIS2_PLACEMENT_3D('',#65418,#53161,#53162);
#44653=AXIS2_PLACEMENT_3D('',#65421,#53163,#53164);
#44654=AXIS2_PLACEMENT_3D('',#65425,#53166,#53167);
#44655=AXIS2_PLACEMENT_3D('',#65429,#53169,#53170);
#44656=AXIS2_PLACEMENT_3D('',#65433,#53172,#53173);
#44657=AXIS2_PLACEMENT_3D('',#65435,#53175,#53176);
#44658=AXIS2_PLACEMENT_3D('',#65439,#53178,#53179);
#44659=AXIS2_PLACEMENT_3D('',#65441,#53181,#53182);
#44660=AXIS2_PLACEMENT_3D('',#65445,#53185,#53186);
#44661=AXIS2_PLACEMENT_3D('',#65448,#53188,#53189);
#44662=AXIS2_PLACEMENT_3D('',#65449,#53190,#53191);
#44663=AXIS2_PLACEMENT_3D('',#65453,#53194,#53195);
#44664=AXIS2_PLACEMENT_3D('',#65456,#53197,#53198);
#44665=AXIS2_PLACEMENT_3D('',#65457,#53199,#53200);
#44666=AXIS2_PLACEMENT_3D('',#65461,#53203,#53204);
#44667=AXIS2_PLACEMENT_3D('',#65464,#53206,#53207);
#44668=AXIS2_PLACEMENT_3D('',#65465,#53208,#53209);
#44669=AXIS2_PLACEMENT_3D('',#65467,#53211,#53212);
#44670=AXIS2_PLACEMENT_3D('',#65472,#53214,#53215);
#44671=AXIS2_PLACEMENT_3D('',#65476,#53217,#53218);
#44672=AXIS2_PLACEMENT_3D('',#65480,#53220,#53221);
#44673=AXIS2_PLACEMENT_3D('',#65483,#53223,#53224);
#44674=AXIS2_PLACEMENT_3D('',#65484,#53225,#53226);
#44675=AXIS2_PLACEMENT_3D('',#65490,#53230,#53231);
#44676=AXIS2_PLACEMENT_3D('',#65493,#53233,#53234);
#44677=AXIS2_PLACEMENT_3D('',#65494,#53235,#53236);
#44678=AXIS2_PLACEMENT_3D('',#65496,#53237,#53238);
#44679=AXIS2_PLACEMENT_3D('',#65498,#53240,#53241);
#44680=AXIS2_PLACEMENT_3D('',#65502,#53244,#53245);
#44681=AXIS2_PLACEMENT_3D('',#65506,#53248,#53249);
#44682=AXIS2_PLACEMENT_3D('',#65509,#53251,#53252);
#44683=AXIS2_PLACEMENT_3D('',#65510,#53253,#53254);
#44684=AXIS2_PLACEMENT_3D('',#65512,#53255,#53256);
#44685=AXIS2_PLACEMENT_3D('',#65514,#53258,#53259);
#44686=AXIS2_PLACEMENT_3D('',#65516,#53261,#53262);
#44687=AXIS2_PLACEMENT_3D('',#65517,#53263,#53264);
#44688=AXIS2_PLACEMENT_3D('placement',#65518,#53265,#53266);
#44689=AXIS2_PLACEMENT_3D('',#65519,#53267,#53268);
#44690=AXIS2_PLACEMENT_3D('',#65528,#53273,#53274);
#44691=AXIS2_PLACEMENT_3D('',#65534,#53278,#53279);
#44692=AXIS2_PLACEMENT_3D('',#65540,#53283,#53284);
#44693=AXIS2_PLACEMENT_3D('',#65544,#53286,#53287);
#44694=AXIS2_PLACEMENT_3D('',#65545,#53288,#53289);
#44695=AXIS2_PLACEMENT_3D('',#65548,#53290,#53291);
#44696=AXIS2_PLACEMENT_3D('',#65549,#53292,#53293);
#44697=AXIS2_PLACEMENT_3D('',#65552,#53294,#53295);
#44698=AXIS2_PLACEMENT_3D('',#65553,#53296,#53297);
#44699=AXIS2_PLACEMENT_3D('',#65556,#53298,#53299);
#44700=AXIS2_PLACEMENT_3D('',#65557,#53300,#53301);
#44701=AXIS2_PLACEMENT_3D('',#65560,#53302,#53303);
#44702=AXIS2_PLACEMENT_3D('',#65561,#53304,#53305);
#44703=AXIS2_PLACEMENT_3D('',#65566,#53307,#53308);
#44704=AXIS2_PLACEMENT_3D('',#65569,#53310,#53311);
#44705=AXIS2_PLACEMENT_3D('',#65572,#53312,#53313);
#44706=AXIS2_PLACEMENT_3D('',#65573,#53314,#53315);
#44707=AXIS2_PLACEMENT_3D('',#65576,#53316,#53317);
#44708=AXIS2_PLACEMENT_3D('',#65577,#53318,#53319);
#44709=AXIS2_PLACEMENT_3D('',#65580,#53320,#53321);
#44710=AXIS2_PLACEMENT_3D('',#65581,#53322,#53323);
#44711=AXIS2_PLACEMENT_3D('',#65584,#53324,#53325);
#44712=AXIS2_PLACEMENT_3D('',#65585,#53326,#53327);
#44713=AXIS2_PLACEMENT_3D('',#65588,#53328,#53329);
#44714=AXIS2_PLACEMENT_3D('',#65589,#53330,#53331);
#44715=AXIS2_PLACEMENT_3D('',#65592,#53332,#53333);
#44716=AXIS2_PLACEMENT_3D('',#65593,#53334,#53335);
#44717=AXIS2_PLACEMENT_3D('',#65596,#53336,#53337);
#44718=AXIS2_PLACEMENT_3D('',#65597,#53338,#53339);
#44719=AXIS2_PLACEMENT_3D('',#65600,#53340,#53341);
#44720=AXIS2_PLACEMENT_3D('',#65601,#53342,#53343);
#44721=AXIS2_PLACEMENT_3D('',#65604,#53344,#53345);
#44722=AXIS2_PLACEMENT_3D('',#65605,#53346,#53347);
#44723=AXIS2_PLACEMENT_3D('',#65608,#53348,#53349);
#44724=AXIS2_PLACEMENT_3D('',#65609,#53350,#53351);
#44725=AXIS2_PLACEMENT_3D('',#65612,#53352,#53353);
#44726=AXIS2_PLACEMENT_3D('',#65613,#53354,#53355);
#44727=AXIS2_PLACEMENT_3D('',#65616,#53356,#53357);
#44728=AXIS2_PLACEMENT_3D('',#65617,#53358,#53359);
#44729=AXIS2_PLACEMENT_3D('',#65620,#53360,#53361);
#44730=AXIS2_PLACEMENT_3D('',#65621,#53362,#53363);
#44731=AXIS2_PLACEMENT_3D('',#65624,#53364,#53365);
#44732=AXIS2_PLACEMENT_3D('',#65625,#53366,#53367);
#44733=AXIS2_PLACEMENT_3D('',#65628,#53368,#53369);
#44734=AXIS2_PLACEMENT_3D('',#65629,#53370,#53371);
#44735=AXIS2_PLACEMENT_3D('',#65632,#53372,#53373);
#44736=AXIS2_PLACEMENT_3D('',#65633,#53374,#53375);
#44737=AXIS2_PLACEMENT_3D('',#65636,#53376,#53377);
#44738=AXIS2_PLACEMENT_3D('',#65637,#53378,#53379);
#44739=AXIS2_PLACEMENT_3D('',#65640,#53380,#53381);
#44740=AXIS2_PLACEMENT_3D('',#65641,#53382,#53383);
#44741=AXIS2_PLACEMENT_3D('',#65644,#53384,#53385);
#44742=AXIS2_PLACEMENT_3D('',#65645,#53386,#53387);
#44743=AXIS2_PLACEMENT_3D('',#65648,#53388,#53389);
#44744=AXIS2_PLACEMENT_3D('',#65649,#53390,#53391);
#44745=AXIS2_PLACEMENT_3D('',#65652,#53392,#53393);
#44746=AXIS2_PLACEMENT_3D('',#65653,#53394,#53395);
#44747=AXIS2_PLACEMENT_3D('',#65656,#53396,#53397);
#44748=AXIS2_PLACEMENT_3D('',#65657,#53398,#53399);
#44749=AXIS2_PLACEMENT_3D('',#65660,#53400,#53401);
#44750=AXIS2_PLACEMENT_3D('',#65661,#53402,#53403);
#44751=AXIS2_PLACEMENT_3D('',#65664,#53404,#53405);
#44752=AXIS2_PLACEMENT_3D('',#65665,#53406,#53407);
#44753=AXIS2_PLACEMENT_3D('',#65668,#53408,#53409);
#44754=AXIS2_PLACEMENT_3D('',#65669,#53410,#53411);
#44755=AXIS2_PLACEMENT_3D('',#65672,#53412,#53413);
#44756=AXIS2_PLACEMENT_3D('',#65673,#53414,#53415);
#44757=AXIS2_PLACEMENT_3D('',#65676,#53416,#53417);
#44758=AXIS2_PLACEMENT_3D('',#65677,#53418,#53419);
#44759=AXIS2_PLACEMENT_3D('',#65680,#53420,#53421);
#44760=AXIS2_PLACEMENT_3D('',#65681,#53422,#53423);
#44761=AXIS2_PLACEMENT_3D('',#65684,#53424,#53425);
#44762=AXIS2_PLACEMENT_3D('',#65685,#53426,#53427);
#44763=AXIS2_PLACEMENT_3D('',#65688,#53428,#53429);
#44764=AXIS2_PLACEMENT_3D('',#65689,#53430,#53431);
#44765=AXIS2_PLACEMENT_3D('',#65692,#53432,#53433);
#44766=AXIS2_PLACEMENT_3D('',#65693,#53434,#53435);
#44767=AXIS2_PLACEMENT_3D('',#65696,#53436,#53437);
#44768=AXIS2_PLACEMENT_3D('',#65697,#53438,#53439);
#44769=AXIS2_PLACEMENT_3D('',#65700,#53440,#53441);
#44770=AXIS2_PLACEMENT_3D('',#65701,#53442,#53443);
#44771=AXIS2_PLACEMENT_3D('',#65704,#53444,#53445);
#44772=AXIS2_PLACEMENT_3D('',#65705,#53446,#53447);
#44773=AXIS2_PLACEMENT_3D('',#65708,#53448,#53449);
#44774=AXIS2_PLACEMENT_3D('',#65709,#53450,#53451);
#44775=AXIS2_PLACEMENT_3D('',#65712,#53452,#53453);
#44776=AXIS2_PLACEMENT_3D('',#65713,#53454,#53455);
#44777=AXIS2_PLACEMENT_3D('',#65716,#53456,#53457);
#44778=AXIS2_PLACEMENT_3D('',#65717,#53458,#53459);
#44779=AXIS2_PLACEMENT_3D('',#65720,#53460,#53461);
#44780=AXIS2_PLACEMENT_3D('',#65721,#53462,#53463);
#44781=AXIS2_PLACEMENT_3D('',#65724,#53464,#53465);
#44782=AXIS2_PLACEMENT_3D('',#65725,#53466,#53467);
#44783=AXIS2_PLACEMENT_3D('',#65728,#53468,#53469);
#44784=AXIS2_PLACEMENT_3D('',#65729,#53470,#53471);
#44785=AXIS2_PLACEMENT_3D('',#65732,#53472,#53473);
#44786=AXIS2_PLACEMENT_3D('',#65733,#53474,#53475);
#44787=AXIS2_PLACEMENT_3D('',#65736,#53476,#53477);
#44788=AXIS2_PLACEMENT_3D('',#65737,#53478,#53479);
#44789=AXIS2_PLACEMENT_3D('',#65740,#53480,#53481);
#44790=AXIS2_PLACEMENT_3D('',#65741,#53482,#53483);
#44791=AXIS2_PLACEMENT_3D('',#65744,#53484,#53485);
#44792=AXIS2_PLACEMENT_3D('',#65745,#53486,#53487);
#44793=AXIS2_PLACEMENT_3D('',#65748,#53488,#53489);
#44794=AXIS2_PLACEMENT_3D('',#65749,#53490,#53491);
#44795=AXIS2_PLACEMENT_3D('',#65752,#53492,#53493);
#44796=AXIS2_PLACEMENT_3D('',#65753,#53494,#53495);
#44797=AXIS2_PLACEMENT_3D('',#65756,#53496,#53497);
#44798=AXIS2_PLACEMENT_3D('',#65757,#53498,#53499);
#44799=AXIS2_PLACEMENT_3D('',#65760,#53500,#53501);
#44800=AXIS2_PLACEMENT_3D('',#65761,#53502,#53503);
#44801=AXIS2_PLACEMENT_3D('',#65764,#53504,#53505);
#44802=AXIS2_PLACEMENT_3D('',#65765,#53506,#53507);
#44803=AXIS2_PLACEMENT_3D('',#65768,#53508,#53509);
#44804=AXIS2_PLACEMENT_3D('',#65769,#53510,#53511);
#44805=AXIS2_PLACEMENT_3D('',#65772,#53512,#53513);
#44806=AXIS2_PLACEMENT_3D('',#65773,#53514,#53515);
#44807=AXIS2_PLACEMENT_3D('',#65776,#53516,#53517);
#44808=AXIS2_PLACEMENT_3D('',#65777,#53518,#53519);
#44809=AXIS2_PLACEMENT_3D('',#65780,#53520,#53521);
#44810=AXIS2_PLACEMENT_3D('',#65781,#53522,#53523);
#44811=AXIS2_PLACEMENT_3D('',#65784,#53524,#53525);
#44812=AXIS2_PLACEMENT_3D('',#65785,#53526,#53527);
#44813=AXIS2_PLACEMENT_3D('',#65788,#53528,#53529);
#44814=AXIS2_PLACEMENT_3D('',#65789,#53530,#53531);
#44815=AXIS2_PLACEMENT_3D('',#65792,#53532,#53533);
#44816=AXIS2_PLACEMENT_3D('',#65793,#53534,#53535);
#44817=AXIS2_PLACEMENT_3D('',#65796,#53536,#53537);
#44818=AXIS2_PLACEMENT_3D('',#65797,#53538,#53539);
#44819=AXIS2_PLACEMENT_3D('',#65800,#53540,#53541);
#44820=AXIS2_PLACEMENT_3D('',#65801,#53542,#53543);
#44821=AXIS2_PLACEMENT_3D('',#65804,#53544,#53545);
#44822=AXIS2_PLACEMENT_3D('',#65805,#53546,#53547);
#44823=AXIS2_PLACEMENT_3D('',#65808,#53548,#53549);
#44824=AXIS2_PLACEMENT_3D('',#65809,#53550,#53551);
#44825=AXIS2_PLACEMENT_3D('',#65812,#53552,#53553);
#44826=AXIS2_PLACEMENT_3D('',#65813,#53554,#53555);
#44827=AXIS2_PLACEMENT_3D('',#65816,#53556,#53557);
#44828=AXIS2_PLACEMENT_3D('',#65817,#53558,#53559);
#44829=AXIS2_PLACEMENT_3D('',#65820,#53560,#53561);
#44830=AXIS2_PLACEMENT_3D('',#65821,#53562,#53563);
#44831=AXIS2_PLACEMENT_3D('',#65824,#53564,#53565);
#44832=AXIS2_PLACEMENT_3D('',#65825,#53566,#53567);
#44833=AXIS2_PLACEMENT_3D('',#65828,#53568,#53569);
#44834=AXIS2_PLACEMENT_3D('',#65829,#53570,#53571);
#44835=AXIS2_PLACEMENT_3D('',#65832,#53572,#53573);
#44836=AXIS2_PLACEMENT_3D('',#65833,#53574,#53575);
#44837=AXIS2_PLACEMENT_3D('',#65836,#53576,#53577);
#44838=AXIS2_PLACEMENT_3D('',#65837,#53578,#53579);
#44839=AXIS2_PLACEMENT_3D('',#65840,#53580,#53581);
#44840=AXIS2_PLACEMENT_3D('',#65841,#53582,#53583);
#44841=AXIS2_PLACEMENT_3D('',#65844,#53584,#53585);
#44842=AXIS2_PLACEMENT_3D('',#65845,#53586,#53587);
#44843=AXIS2_PLACEMENT_3D('',#65848,#53588,#53589);
#44844=AXIS2_PLACEMENT_3D('',#65849,#53590,#53591);
#44845=AXIS2_PLACEMENT_3D('',#65852,#53592,#53593);
#44846=AXIS2_PLACEMENT_3D('',#65853,#53594,#53595);
#44847=AXIS2_PLACEMENT_3D('',#65856,#53596,#53597);
#44848=AXIS2_PLACEMENT_3D('',#65857,#53598,#53599);
#44849=AXIS2_PLACEMENT_3D('',#65860,#53600,#53601);
#44850=AXIS2_PLACEMENT_3D('',#65861,#53602,#53603);
#44851=AXIS2_PLACEMENT_3D('',#65864,#53604,#53605);
#44852=AXIS2_PLACEMENT_3D('',#65865,#53606,#53607);
#44853=AXIS2_PLACEMENT_3D('',#65868,#53608,#53609);
#44854=AXIS2_PLACEMENT_3D('',#65869,#53610,#53611);
#44855=AXIS2_PLACEMENT_3D('',#65872,#53612,#53613);
#44856=AXIS2_PLACEMENT_3D('',#65873,#53614,#53615);
#44857=AXIS2_PLACEMENT_3D('',#65876,#53616,#53617);
#44858=AXIS2_PLACEMENT_3D('',#65877,#53618,#53619);
#44859=AXIS2_PLACEMENT_3D('',#65880,#53620,#53621);
#44860=AXIS2_PLACEMENT_3D('',#65881,#53622,#53623);
#44861=AXIS2_PLACEMENT_3D('',#65884,#53624,#53625);
#44862=AXIS2_PLACEMENT_3D('',#65885,#53626,#53627);
#44863=AXIS2_PLACEMENT_3D('',#65888,#53628,#53629);
#44864=AXIS2_PLACEMENT_3D('',#65889,#53630,#53631);
#44865=AXIS2_PLACEMENT_3D('',#65892,#53632,#53633);
#44866=AXIS2_PLACEMENT_3D('',#65893,#53634,#53635);
#44867=AXIS2_PLACEMENT_3D('',#65896,#53636,#53637);
#44868=AXIS2_PLACEMENT_3D('',#65897,#53638,#53639);
#44869=AXIS2_PLACEMENT_3D('',#65900,#53640,#53641);
#44870=AXIS2_PLACEMENT_3D('',#65901,#53642,#53643);
#44871=AXIS2_PLACEMENT_3D('',#65904,#53644,#53645);
#44872=AXIS2_PLACEMENT_3D('',#65905,#53646,#53647);
#44873=AXIS2_PLACEMENT_3D('',#65908,#53648,#53649);
#44874=AXIS2_PLACEMENT_3D('',#65909,#53650,#53651);
#44875=AXIS2_PLACEMENT_3D('',#65912,#53652,#53653);
#44876=AXIS2_PLACEMENT_3D('',#65913,#53654,#53655);
#44877=AXIS2_PLACEMENT_3D('',#65916,#53656,#53657);
#44878=AXIS2_PLACEMENT_3D('',#65917,#53658,#53659);
#44879=AXIS2_PLACEMENT_3D('',#65920,#53660,#53661);
#44880=AXIS2_PLACEMENT_3D('',#65921,#53662,#53663);
#44881=AXIS2_PLACEMENT_3D('',#65924,#53664,#53665);
#44882=AXIS2_PLACEMENT_3D('',#65925,#53666,#53667);
#44883=AXIS2_PLACEMENT_3D('',#65928,#53668,#53669);
#44884=AXIS2_PLACEMENT_3D('',#65932,#53671,#53672);
#44885=AXIS2_PLACEMENT_3D('',#65936,#53674,#53675);
#44886=AXIS2_PLACEMENT_3D('',#65940,#53677,#53678);
#44887=AXIS2_PLACEMENT_3D('',#65944,#53680,#53681);
#44888=AXIS2_PLACEMENT_3D('',#65945,#53682,#53683);
#44889=AXIS2_PLACEMENT_3D('',#65948,#53684,#53685);
#44890=AXIS2_PLACEMENT_3D('',#65949,#53686,#53687);
#44891=AXIS2_PLACEMENT_3D('',#65952,#53688,#53689);
#44892=AXIS2_PLACEMENT_3D('',#65953,#53690,#53691);
#44893=AXIS2_PLACEMENT_3D('',#65956,#53692,#53693);
#44894=AXIS2_PLACEMENT_3D('',#65957,#53694,#53695);
#44895=AXIS2_PLACEMENT_3D('',#65960,#53696,#53697);
#44896=AXIS2_PLACEMENT_3D('',#65961,#53698,#53699);
#44897=AXIS2_PLACEMENT_3D('',#65964,#53700,#53701);
#44898=AXIS2_PLACEMENT_3D('',#65965,#53702,#53703);
#44899=AXIS2_PLACEMENT_3D('',#65968,#53704,#53705);
#44900=AXIS2_PLACEMENT_3D('',#65969,#53706,#53707);
#44901=AXIS2_PLACEMENT_3D('',#65972,#53708,#53709);
#44902=AXIS2_PLACEMENT_3D('',#65973,#53710,#53711);
#44903=AXIS2_PLACEMENT_3D('',#65976,#53712,#53713);
#44904=AXIS2_PLACEMENT_3D('',#65977,#53714,#53715);
#44905=AXIS2_PLACEMENT_3D('',#65980,#53716,#53717);
#44906=AXIS2_PLACEMENT_3D('',#65981,#53718,#53719);
#44907=AXIS2_PLACEMENT_3D('',#65984,#53720,#53721);
#44908=AXIS2_PLACEMENT_3D('',#65985,#53722,#53723);
#44909=AXIS2_PLACEMENT_3D('',#65988,#53724,#53725);
#44910=AXIS2_PLACEMENT_3D('',#65989,#53726,#53727);
#44911=AXIS2_PLACEMENT_3D('',#65992,#53728,#53729);
#44912=AXIS2_PLACEMENT_3D('',#65993,#53730,#53731);
#44913=AXIS2_PLACEMENT_3D('',#65996,#53732,#53733);
#44914=AXIS2_PLACEMENT_3D('',#65997,#53734,#53735);
#44915=AXIS2_PLACEMENT_3D('',#66000,#53736,#53737);
#44916=AXIS2_PLACEMENT_3D('',#66001,#53738,#53739);
#44917=AXIS2_PLACEMENT_3D('',#66004,#53740,#53741);
#44918=AXIS2_PLACEMENT_3D('',#66005,#53742,#53743);
#44919=AXIS2_PLACEMENT_3D('',#66008,#53744,#53745);
#44920=AXIS2_PLACEMENT_3D('',#66009,#53746,#53747);
#44921=AXIS2_PLACEMENT_3D('',#66012,#53748,#53749);
#44922=AXIS2_PLACEMENT_3D('',#66013,#53750,#53751);
#44923=AXIS2_PLACEMENT_3D('',#66016,#53752,#53753);
#44924=AXIS2_PLACEMENT_3D('',#66017,#53754,#53755);
#44925=AXIS2_PLACEMENT_3D('',#66020,#53756,#53757);
#44926=AXIS2_PLACEMENT_3D('',#66021,#53758,#53759);
#44927=AXIS2_PLACEMENT_3D('',#66024,#53760,#53761);
#44928=AXIS2_PLACEMENT_3D('',#66025,#53762,#53763);
#44929=AXIS2_PLACEMENT_3D('',#66028,#53764,#53765);
#44930=AXIS2_PLACEMENT_3D('',#66029,#53766,#53767);
#44931=AXIS2_PLACEMENT_3D('',#66032,#53768,#53769);
#44932=AXIS2_PLACEMENT_3D('',#66033,#53770,#53771);
#44933=AXIS2_PLACEMENT_3D('',#66036,#53772,#53773);
#44934=AXIS2_PLACEMENT_3D('',#66037,#53774,#53775);
#44935=AXIS2_PLACEMENT_3D('',#66040,#53776,#53777);
#44936=AXIS2_PLACEMENT_3D('',#66041,#53778,#53779);
#44937=AXIS2_PLACEMENT_3D('',#66044,#53780,#53781);
#44938=AXIS2_PLACEMENT_3D('',#66045,#53782,#53783);
#44939=AXIS2_PLACEMENT_3D('',#66048,#53784,#53785);
#44940=AXIS2_PLACEMENT_3D('',#66049,#53786,#53787);
#44941=AXIS2_PLACEMENT_3D('',#66052,#53788,#53789);
#44942=AXIS2_PLACEMENT_3D('',#66053,#53790,#53791);
#44943=AXIS2_PLACEMENT_3D('',#66056,#53792,#53793);
#44944=AXIS2_PLACEMENT_3D('',#66057,#53794,#53795);
#44945=AXIS2_PLACEMENT_3D('',#66060,#53796,#53797);
#44946=AXIS2_PLACEMENT_3D('',#66061,#53798,#53799);
#44947=AXIS2_PLACEMENT_3D('',#66064,#53800,#53801);
#44948=AXIS2_PLACEMENT_3D('',#66065,#53802,#53803);
#44949=AXIS2_PLACEMENT_3D('',#66068,#53804,#53805);
#44950=AXIS2_PLACEMENT_3D('',#66069,#53806,#53807);
#44951=AXIS2_PLACEMENT_3D('',#66072,#53808,#53809);
#44952=AXIS2_PLACEMENT_3D('',#66073,#53810,#53811);
#44953=AXIS2_PLACEMENT_3D('',#66076,#53812,#53813);
#44954=AXIS2_PLACEMENT_3D('',#66077,#53814,#53815);
#44955=AXIS2_PLACEMENT_3D('',#66080,#53816,#53817);
#44956=AXIS2_PLACEMENT_3D('',#66081,#53818,#53819);
#44957=AXIS2_PLACEMENT_3D('',#66084,#53820,#53821);
#44958=AXIS2_PLACEMENT_3D('',#66085,#53822,#53823);
#44959=AXIS2_PLACEMENT_3D('',#66088,#53824,#53825);
#44960=AXIS2_PLACEMENT_3D('',#66089,#53826,#53827);
#44961=AXIS2_PLACEMENT_3D('',#66092,#53828,#53829);
#44962=AXIS2_PLACEMENT_3D('',#66093,#53830,#53831);
#44963=AXIS2_PLACEMENT_3D('',#66096,#53832,#53833);
#44964=AXIS2_PLACEMENT_3D('',#66097,#53834,#53835);
#44965=AXIS2_PLACEMENT_3D('',#66100,#53836,#53837);
#44966=AXIS2_PLACEMENT_3D('',#66101,#53838,#53839);
#44967=AXIS2_PLACEMENT_3D('',#66104,#53840,#53841);
#44968=AXIS2_PLACEMENT_3D('',#66105,#53842,#53843);
#44969=AXIS2_PLACEMENT_3D('',#66108,#53844,#53845);
#44970=AXIS2_PLACEMENT_3D('',#66109,#53846,#53847);
#44971=AXIS2_PLACEMENT_3D('',#66112,#53848,#53849);
#44972=AXIS2_PLACEMENT_3D('',#66113,#53850,#53851);
#44973=AXIS2_PLACEMENT_3D('',#66116,#53852,#53853);
#44974=AXIS2_PLACEMENT_3D('',#66117,#53854,#53855);
#44975=AXIS2_PLACEMENT_3D('',#66120,#53856,#53857);
#44976=AXIS2_PLACEMENT_3D('',#66121,#53858,#53859);
#44977=AXIS2_PLACEMENT_3D('',#66124,#53860,#53861);
#44978=AXIS2_PLACEMENT_3D('',#66125,#53862,#53863);
#44979=AXIS2_PLACEMENT_3D('',#66128,#53864,#53865);
#44980=AXIS2_PLACEMENT_3D('',#66129,#53866,#53867);
#44981=AXIS2_PLACEMENT_3D('',#66132,#53868,#53869);
#44982=AXIS2_PLACEMENT_3D('',#66133,#53870,#53871);
#44983=AXIS2_PLACEMENT_3D('',#66136,#53872,#53873);
#44984=AXIS2_PLACEMENT_3D('',#66137,#53874,#53875);
#44985=AXIS2_PLACEMENT_3D('',#66140,#53876,#53877);
#44986=AXIS2_PLACEMENT_3D('',#66141,#53878,#53879);
#44987=AXIS2_PLACEMENT_3D('',#66144,#53880,#53881);
#44988=AXIS2_PLACEMENT_3D('',#66145,#53882,#53883);
#44989=AXIS2_PLACEMENT_3D('',#66148,#53884,#53885);
#44990=AXIS2_PLACEMENT_3D('',#66149,#53886,#53887);
#44991=AXIS2_PLACEMENT_3D('',#66152,#53888,#53889);
#44992=AXIS2_PLACEMENT_3D('',#66153,#53890,#53891);
#44993=AXIS2_PLACEMENT_3D('',#66154,#53892,#53893);
#44994=AXIS2_PLACEMENT_3D('',#66158,#53895,#53896);
#44995=AXIS2_PLACEMENT_3D('',#66159,#53897,#53898);
#44996=AXIS2_PLACEMENT_3D('',#66162,#53899,#53900);
#44997=AXIS2_PLACEMENT_3D('',#66163,#53901,#53902);
#44998=AXIS2_PLACEMENT_3D('',#66166,#53903,#53904);
#44999=AXIS2_PLACEMENT_3D('',#66167,#53905,#53906);
#45000=AXIS2_PLACEMENT_3D('',#66170,#53907,#53908);
#45001=AXIS2_PLACEMENT_3D('',#66171,#53909,#53910);
#45002=AXIS2_PLACEMENT_3D('',#66174,#53911,#53912);
#45003=AXIS2_PLACEMENT_3D('',#66175,#53913,#53914);
#45004=AXIS2_PLACEMENT_3D('',#66178,#53915,#53916);
#45005=AXIS2_PLACEMENT_3D('',#66179,#53917,#53918);
#45006=AXIS2_PLACEMENT_3D('',#66182,#53919,#53920);
#45007=AXIS2_PLACEMENT_3D('',#66183,#53921,#53922);
#45008=AXIS2_PLACEMENT_3D('',#66186,#53923,#53924);
#45009=AXIS2_PLACEMENT_3D('',#66187,#53925,#53926);
#45010=AXIS2_PLACEMENT_3D('',#66190,#53927,#53928);
#45011=AXIS2_PLACEMENT_3D('',#66191,#53929,#53930);
#45012=AXIS2_PLACEMENT_3D('',#66194,#53931,#53932);
#45013=AXIS2_PLACEMENT_3D('',#66195,#53933,#53934);
#45014=AXIS2_PLACEMENT_3D('',#66198,#53935,#53936);
#45015=AXIS2_PLACEMENT_3D('',#66199,#53937,#53938);
#45016=AXIS2_PLACEMENT_3D('',#66202,#53939,#53940);
#45017=AXIS2_PLACEMENT_3D('',#66203,#53941,#53942);
#45018=AXIS2_PLACEMENT_3D('',#66206,#53943,#53944);
#45019=AXIS2_PLACEMENT_3D('',#66207,#53945,#53946);
#45020=AXIS2_PLACEMENT_3D('',#66210,#53947,#53948);
#45021=AXIS2_PLACEMENT_3D('',#66211,#53949,#53950);
#45022=AXIS2_PLACEMENT_3D('',#66214,#53951,#53952);
#45023=AXIS2_PLACEMENT_3D('',#66215,#53953,#53954);
#45024=AXIS2_PLACEMENT_3D('',#66218,#53955,#53956);
#45025=AXIS2_PLACEMENT_3D('',#66219,#53957,#53958);
#45026=AXIS2_PLACEMENT_3D('',#66222,#53959,#53960);
#45027=AXIS2_PLACEMENT_3D('',#66223,#53961,#53962);
#45028=AXIS2_PLACEMENT_3D('',#66226,#53963,#53964);
#45029=AXIS2_PLACEMENT_3D('',#66227,#53965,#53966);
#45030=AXIS2_PLACEMENT_3D('',#66230,#53967,#53968);
#45031=AXIS2_PLACEMENT_3D('',#66231,#53969,#53970);
#45032=AXIS2_PLACEMENT_3D('',#66234,#53971,#53972);
#45033=AXIS2_PLACEMENT_3D('',#66235,#53973,#53974);
#45034=AXIS2_PLACEMENT_3D('',#66238,#53975,#53976);
#45035=AXIS2_PLACEMENT_3D('',#66239,#53977,#53978);
#45036=AXIS2_PLACEMENT_3D('',#66242,#53979,#53980);
#45037=AXIS2_PLACEMENT_3D('',#66243,#53981,#53982);
#45038=AXIS2_PLACEMENT_3D('',#66246,#53983,#53984);
#45039=AXIS2_PLACEMENT_3D('',#66247,#53985,#53986);
#45040=AXIS2_PLACEMENT_3D('',#66250,#53987,#53988);
#45041=AXIS2_PLACEMENT_3D('',#66251,#53989,#53990);
#45042=AXIS2_PLACEMENT_3D('',#66254,#53991,#53992);
#45043=AXIS2_PLACEMENT_3D('',#66255,#53993,#53994);
#45044=AXIS2_PLACEMENT_3D('',#66258,#53995,#53996);
#45045=AXIS2_PLACEMENT_3D('',#66259,#53997,#53998);
#45046=AXIS2_PLACEMENT_3D('',#66262,#53999,#54000);
#45047=AXIS2_PLACEMENT_3D('',#66263,#54001,#54002);
#45048=AXIS2_PLACEMENT_3D('',#66266,#54003,#54004);
#45049=AXIS2_PLACEMENT_3D('',#66267,#54005,#54006);
#45050=AXIS2_PLACEMENT_3D('',#66270,#54007,#54008);
#45051=AXIS2_PLACEMENT_3D('',#66271,#54009,#54010);
#45052=AXIS2_PLACEMENT_3D('',#66274,#54011,#54012);
#45053=AXIS2_PLACEMENT_3D('',#66275,#54013,#54014);
#45054=AXIS2_PLACEMENT_3D('',#66278,#54015,#54016);
#45055=AXIS2_PLACEMENT_3D('',#66279,#54017,#54018);
#45056=AXIS2_PLACEMENT_3D('',#66282,#54019,#54020);
#45057=AXIS2_PLACEMENT_3D('',#66283,#54021,#54022);
#45058=AXIS2_PLACEMENT_3D('',#66286,#54023,#54024);
#45059=AXIS2_PLACEMENT_3D('',#66287,#54025,#54026);
#45060=AXIS2_PLACEMENT_3D('',#66290,#54027,#54028);
#45061=AXIS2_PLACEMENT_3D('',#66291,#54029,#54030);
#45062=AXIS2_PLACEMENT_3D('',#66294,#54031,#54032);
#45063=AXIS2_PLACEMENT_3D('',#66295,#54033,#54034);
#45064=AXIS2_PLACEMENT_3D('',#66298,#54035,#54036);
#45065=AXIS2_PLACEMENT_3D('',#66299,#54037,#54038);
#45066=AXIS2_PLACEMENT_3D('',#66302,#54039,#54040);
#45067=AXIS2_PLACEMENT_3D('',#66303,#54041,#54042);
#45068=AXIS2_PLACEMENT_3D('',#66306,#54043,#54044);
#45069=AXIS2_PLACEMENT_3D('',#66307,#54045,#54046);
#45070=AXIS2_PLACEMENT_3D('',#66310,#54047,#54048);
#45071=AXIS2_PLACEMENT_3D('',#66311,#54049,#54050);
#45072=AXIS2_PLACEMENT_3D('',#66314,#54051,#54052);
#45073=AXIS2_PLACEMENT_3D('',#66315,#54053,#54054);
#45074=AXIS2_PLACEMENT_3D('',#66318,#54055,#54056);
#45075=AXIS2_PLACEMENT_3D('',#66319,#54057,#54058);
#45076=AXIS2_PLACEMENT_3D('',#66322,#54059,#54060);
#45077=AXIS2_PLACEMENT_3D('',#66323,#54061,#54062);
#45078=AXIS2_PLACEMENT_3D('',#66326,#54063,#54064);
#45079=AXIS2_PLACEMENT_3D('',#66327,#54065,#54066);
#45080=AXIS2_PLACEMENT_3D('',#66330,#54067,#54068);
#45081=AXIS2_PLACEMENT_3D('',#66331,#54069,#54070);
#45082=AXIS2_PLACEMENT_3D('',#66334,#54071,#54072);
#45083=AXIS2_PLACEMENT_3D('',#66335,#54073,#54074);
#45084=AXIS2_PLACEMENT_3D('',#66338,#54075,#54076);
#45085=AXIS2_PLACEMENT_3D('',#66339,#54077,#54078);
#45086=AXIS2_PLACEMENT_3D('',#66342,#54079,#54080);
#45087=AXIS2_PLACEMENT_3D('',#66343,#54081,#54082);
#45088=AXIS2_PLACEMENT_3D('',#66346,#54083,#54084);
#45089=AXIS2_PLACEMENT_3D('',#66347,#54085,#54086);
#45090=AXIS2_PLACEMENT_3D('',#66350,#54087,#54088);
#45091=AXIS2_PLACEMENT_3D('',#66351,#54089,#54090);
#45092=AXIS2_PLACEMENT_3D('',#66354,#54091,#54092);
#45093=AXIS2_PLACEMENT_3D('',#66355,#54093,#54094);
#45094=AXIS2_PLACEMENT_3D('',#66358,#54095,#54096);
#45095=AXIS2_PLACEMENT_3D('',#66359,#54097,#54098);
#45096=AXIS2_PLACEMENT_3D('',#66362,#54099,#54100);
#45097=AXIS2_PLACEMENT_3D('',#66363,#54101,#54102);
#45098=AXIS2_PLACEMENT_3D('',#66366,#54103,#54104);
#45099=AXIS2_PLACEMENT_3D('',#66367,#54105,#54106);
#45100=AXIS2_PLACEMENT_3D('',#66370,#54107,#54108);
#45101=AXIS2_PLACEMENT_3D('',#66371,#54109,#54110);
#45102=AXIS2_PLACEMENT_3D('',#66374,#54111,#54112);
#45103=AXIS2_PLACEMENT_3D('',#66375,#54113,#54114);
#45104=AXIS2_PLACEMENT_3D('',#66378,#54115,#54116);
#45105=AXIS2_PLACEMENT_3D('',#66379,#54117,#54118);
#45106=AXIS2_PLACEMENT_3D('',#66382,#54119,#54120);
#45107=AXIS2_PLACEMENT_3D('',#66383,#54121,#54122);
#45108=AXIS2_PLACEMENT_3D('',#66386,#54123,#54124);
#45109=AXIS2_PLACEMENT_3D('',#66387,#54125,#54126);
#45110=AXIS2_PLACEMENT_3D('',#66390,#54127,#54128);
#45111=AXIS2_PLACEMENT_3D('',#66391,#54129,#54130);
#45112=AXIS2_PLACEMENT_3D('',#66394,#54131,#54132);
#45113=AXIS2_PLACEMENT_3D('',#66395,#54133,#54134);
#45114=AXIS2_PLACEMENT_3D('',#66398,#54135,#54136);
#45115=AXIS2_PLACEMENT_3D('',#66399,#54137,#54138);
#45116=AXIS2_PLACEMENT_3D('',#66402,#54139,#54140);
#45117=AXIS2_PLACEMENT_3D('',#66403,#54141,#54142);
#45118=AXIS2_PLACEMENT_3D('',#66406,#54143,#54144);
#45119=AXIS2_PLACEMENT_3D('',#66407,#54145,#54146);
#45120=AXIS2_PLACEMENT_3D('',#66410,#54147,#54148);
#45121=AXIS2_PLACEMENT_3D('',#66411,#54149,#54150);
#45122=AXIS2_PLACEMENT_3D('',#66414,#54151,#54152);
#45123=AXIS2_PLACEMENT_3D('',#66415,#54153,#54154);
#45124=AXIS2_PLACEMENT_3D('',#66418,#54155,#54156);
#45125=AXIS2_PLACEMENT_3D('',#66422,#54158,#54159);
#45126=AXIS2_PLACEMENT_3D('',#66426,#54161,#54162);
#45127=AXIS2_PLACEMENT_3D('',#66427,#54163,#54164);
#45128=AXIS2_PLACEMENT_3D('',#66430,#54165,#54166);
#45129=AXIS2_PLACEMENT_3D('',#66431,#54167,#54168);
#45130=AXIS2_PLACEMENT_3D('',#66434,#54169,#54170);
#45131=AXIS2_PLACEMENT_3D('',#66435,#54171,#54172);
#45132=AXIS2_PLACEMENT_3D('',#66438,#54173,#54174);
#45133=AXIS2_PLACEMENT_3D('',#66439,#54175,#54176);
#45134=AXIS2_PLACEMENT_3D('',#66442,#54177,#54178);
#45135=AXIS2_PLACEMENT_3D('',#66443,#54179,#54180);
#45136=AXIS2_PLACEMENT_3D('',#66446,#54181,#54182);
#45137=AXIS2_PLACEMENT_3D('',#66447,#54183,#54184);
#45138=AXIS2_PLACEMENT_3D('',#66450,#54185,#54186);
#45139=AXIS2_PLACEMENT_3D('',#66451,#54187,#54188);
#45140=AXIS2_PLACEMENT_3D('',#66454,#54189,#54190);
#45141=AXIS2_PLACEMENT_3D('',#66455,#54191,#54192);
#45142=AXIS2_PLACEMENT_3D('',#66458,#54193,#54194);
#45143=AXIS2_PLACEMENT_3D('',#66459,#54195,#54196);
#45144=AXIS2_PLACEMENT_3D('',#66462,#54197,#54198);
#45145=AXIS2_PLACEMENT_3D('',#66463,#54199,#54200);
#45146=AXIS2_PLACEMENT_3D('',#66466,#54201,#54202);
#45147=AXIS2_PLACEMENT_3D('',#66467,#54203,#54204);
#45148=AXIS2_PLACEMENT_3D('',#66470,#54205,#54206);
#45149=AXIS2_PLACEMENT_3D('',#66471,#54207,#54208);
#45150=AXIS2_PLACEMENT_3D('',#66474,#54209,#54210);
#45151=AXIS2_PLACEMENT_3D('',#66475,#54211,#54212);
#45152=AXIS2_PLACEMENT_3D('',#66478,#54213,#54214);
#45153=AXIS2_PLACEMENT_3D('',#66479,#54215,#54216);
#45154=AXIS2_PLACEMENT_3D('',#66482,#54217,#54218);
#45155=AXIS2_PLACEMENT_3D('',#66483,#54219,#54220);
#45156=AXIS2_PLACEMENT_3D('',#66486,#54221,#54222);
#45157=AXIS2_PLACEMENT_3D('',#66487,#54223,#54224);
#45158=AXIS2_PLACEMENT_3D('',#66490,#54225,#54226);
#45159=AXIS2_PLACEMENT_3D('',#66491,#54227,#54228);
#45160=AXIS2_PLACEMENT_3D('',#66494,#54229,#54230);
#45161=AXIS2_PLACEMENT_3D('',#66495,#54231,#54232);
#45162=AXIS2_PLACEMENT_3D('',#66498,#54233,#54234);
#45163=AXIS2_PLACEMENT_3D('',#66499,#54235,#54236);
#45164=AXIS2_PLACEMENT_3D('',#66502,#54237,#54238);
#45165=AXIS2_PLACEMENT_3D('',#66503,#54239,#54240);
#45166=AXIS2_PLACEMENT_3D('',#66506,#54241,#54242);
#45167=AXIS2_PLACEMENT_3D('',#66507,#54243,#54244);
#45168=AXIS2_PLACEMENT_3D('',#66510,#54245,#54246);
#45169=AXIS2_PLACEMENT_3D('',#66511,#54247,#54248);
#45170=AXIS2_PLACEMENT_3D('',#66514,#54249,#54250);
#45171=AXIS2_PLACEMENT_3D('',#66515,#54251,#54252);
#45172=AXIS2_PLACEMENT_3D('',#66518,#54253,#54254);
#45173=AXIS2_PLACEMENT_3D('',#66519,#54255,#54256);
#45174=AXIS2_PLACEMENT_3D('',#66522,#54257,#54258);
#45175=AXIS2_PLACEMENT_3D('',#66523,#54259,#54260);
#45176=AXIS2_PLACEMENT_3D('',#66526,#54261,#54262);
#45177=AXIS2_PLACEMENT_3D('',#66527,#54263,#54264);
#45178=AXIS2_PLACEMENT_3D('',#66530,#54265,#54266);
#45179=AXIS2_PLACEMENT_3D('',#66531,#54267,#54268);
#45180=AXIS2_PLACEMENT_3D('',#66534,#54269,#54270);
#45181=AXIS2_PLACEMENT_3D('',#66535,#54271,#54272);
#45182=AXIS2_PLACEMENT_3D('',#66538,#54273,#54274);
#45183=AXIS2_PLACEMENT_3D('',#66539,#54275,#54276);
#45184=AXIS2_PLACEMENT_3D('',#66542,#54277,#54278);
#45185=AXIS2_PLACEMENT_3D('',#66543,#54279,#54280);
#45186=AXIS2_PLACEMENT_3D('',#66546,#54281,#54282);
#45187=AXIS2_PLACEMENT_3D('',#66550,#54284,#54285);
#45188=AXIS2_PLACEMENT_3D('',#66554,#54287,#54288);
#45189=AXIS2_PLACEMENT_3D('',#66555,#54289,#54290);
#45190=AXIS2_PLACEMENT_3D('',#66558,#54291,#54292);
#45191=AXIS2_PLACEMENT_3D('',#66559,#54293,#54294);
#45192=AXIS2_PLACEMENT_3D('',#66562,#54295,#54296);
#45193=AXIS2_PLACEMENT_3D('',#66563,#54297,#54298);
#45194=AXIS2_PLACEMENT_3D('',#66566,#54299,#54300);
#45195=AXIS2_PLACEMENT_3D('',#66567,#54301,#54302);
#45196=AXIS2_PLACEMENT_3D('',#66570,#54303,#54304);
#45197=AXIS2_PLACEMENT_3D('',#66571,#54305,#54306);
#45198=AXIS2_PLACEMENT_3D('',#66574,#54307,#54308);
#45199=AXIS2_PLACEMENT_3D('',#66575,#54309,#54310);
#45200=AXIS2_PLACEMENT_3D('',#66578,#54311,#54312);
#45201=AXIS2_PLACEMENT_3D('',#66579,#54313,#54314);
#45202=AXIS2_PLACEMENT_3D('',#66582,#54315,#54316);
#45203=AXIS2_PLACEMENT_3D('',#66583,#54317,#54318);
#45204=AXIS2_PLACEMENT_3D('',#66586,#54319,#54320);
#45205=AXIS2_PLACEMENT_3D('',#66587,#54321,#54322);
#45206=AXIS2_PLACEMENT_3D('',#66590,#54323,#54324);
#45207=AXIS2_PLACEMENT_3D('',#66591,#54325,#54326);
#45208=AXIS2_PLACEMENT_3D('',#66594,#54327,#54328);
#45209=AXIS2_PLACEMENT_3D('',#66595,#54329,#54330);
#45210=AXIS2_PLACEMENT_3D('',#66598,#54331,#54332);
#45211=AXIS2_PLACEMENT_3D('',#66599,#54333,#54334);
#45212=AXIS2_PLACEMENT_3D('',#66602,#54335,#54336);
#45213=AXIS2_PLACEMENT_3D('',#66603,#54337,#54338);
#45214=AXIS2_PLACEMENT_3D('',#66606,#54339,#54340);
#45215=AXIS2_PLACEMENT_3D('',#66607,#54341,#54342);
#45216=AXIS2_PLACEMENT_3D('',#66610,#54343,#54344);
#45217=AXIS2_PLACEMENT_3D('',#66611,#54345,#54346);
#45218=AXIS2_PLACEMENT_3D('',#66614,#54347,#54348);
#45219=AXIS2_PLACEMENT_3D('',#66615,#54349,#54350);
#45220=AXIS2_PLACEMENT_3D('',#66618,#54351,#54352);
#45221=AXIS2_PLACEMENT_3D('',#66619,#54353,#54354);
#45222=AXIS2_PLACEMENT_3D('',#66622,#54355,#54356);
#45223=AXIS2_PLACEMENT_3D('',#66623,#54357,#54358);
#45224=AXIS2_PLACEMENT_3D('',#66626,#54359,#54360);
#45225=AXIS2_PLACEMENT_3D('',#66627,#54361,#54362);
#45226=AXIS2_PLACEMENT_3D('',#66630,#54363,#54364);
#45227=AXIS2_PLACEMENT_3D('',#66631,#54365,#54366);
#45228=AXIS2_PLACEMENT_3D('',#66634,#54367,#54368);
#45229=AXIS2_PLACEMENT_3D('',#66635,#54369,#54370);
#45230=AXIS2_PLACEMENT_3D('',#66638,#54371,#54372);
#45231=AXIS2_PLACEMENT_3D('',#66639,#54373,#54374);
#45232=AXIS2_PLACEMENT_3D('',#66642,#54375,#54376);
#45233=AXIS2_PLACEMENT_3D('',#66643,#54377,#54378);
#45234=AXIS2_PLACEMENT_3D('',#66646,#54379,#54380);
#45235=AXIS2_PLACEMENT_3D('',#66647,#54381,#54382);
#45236=AXIS2_PLACEMENT_3D('',#66650,#54383,#54384);
#45237=AXIS2_PLACEMENT_3D('',#66651,#54385,#54386);
#45238=AXIS2_PLACEMENT_3D('',#66654,#54387,#54388);
#45239=AXIS2_PLACEMENT_3D('',#66655,#54389,#54390);
#45240=AXIS2_PLACEMENT_3D('',#66658,#54391,#54392);
#45241=AXIS2_PLACEMENT_3D('',#66659,#54393,#54394);
#45242=AXIS2_PLACEMENT_3D('',#66662,#54395,#54396);
#45243=AXIS2_PLACEMENT_3D('',#66663,#54397,#54398);
#45244=AXIS2_PLACEMENT_3D('',#66666,#54399,#54400);
#45245=AXIS2_PLACEMENT_3D('',#66667,#54401,#54402);
#45246=AXIS2_PLACEMENT_3D('',#66670,#54403,#54404);
#45247=AXIS2_PLACEMENT_3D('',#66671,#54405,#54406);
#45248=AXIS2_PLACEMENT_3D('',#66674,#54407,#54408);
#45249=AXIS2_PLACEMENT_3D('',#66675,#54409,#54410);
#45250=AXIS2_PLACEMENT_3D('',#66678,#54411,#54412);
#45251=AXIS2_PLACEMENT_3D('',#66679,#54413,#54414);
#45252=AXIS2_PLACEMENT_3D('',#66682,#54415,#54416);
#45253=AXIS2_PLACEMENT_3D('',#66683,#54417,#54418);
#45254=AXIS2_PLACEMENT_3D('',#66688,#54420,#54421);
#45255=AXIS2_PLACEMENT_3D('',#66691,#54423,#54424);
#45256=AXIS2_PLACEMENT_3D('',#66694,#54425,#54426);
#45257=AXIS2_PLACEMENT_3D('',#66695,#54427,#54428);
#45258=AXIS2_PLACEMENT_3D('',#66698,#54429,#54430);
#45259=AXIS2_PLACEMENT_3D('',#66699,#54431,#54432);
#45260=AXIS2_PLACEMENT_3D('',#66702,#54433,#54434);
#45261=AXIS2_PLACEMENT_3D('',#66703,#54435,#54436);
#45262=AXIS2_PLACEMENT_3D('',#66706,#54437,#54438);
#45263=AXIS2_PLACEMENT_3D('',#66707,#54439,#54440);
#45264=AXIS2_PLACEMENT_3D('',#66710,#54441,#54442);
#45265=AXIS2_PLACEMENT_3D('',#66711,#54443,#54444);
#45266=AXIS2_PLACEMENT_3D('',#66714,#54445,#54446);
#45267=AXIS2_PLACEMENT_3D('',#66715,#54447,#54448);
#45268=AXIS2_PLACEMENT_3D('',#66718,#54449,#54450);
#45269=AXIS2_PLACEMENT_3D('',#66719,#54451,#54452);
#45270=AXIS2_PLACEMENT_3D('',#66722,#54453,#54454);
#45271=AXIS2_PLACEMENT_3D('',#66723,#54455,#54456);
#45272=AXIS2_PLACEMENT_3D('',#66726,#54457,#54458);
#45273=AXIS2_PLACEMENT_3D('',#66727,#54459,#54460);
#45274=AXIS2_PLACEMENT_3D('',#66730,#54461,#54462);
#45275=AXIS2_PLACEMENT_3D('',#66731,#54463,#54464);
#45276=AXIS2_PLACEMENT_3D('',#66734,#54465,#54466);
#45277=AXIS2_PLACEMENT_3D('',#66735,#54467,#54468);
#45278=AXIS2_PLACEMENT_3D('',#66738,#54469,#54470);
#45279=AXIS2_PLACEMENT_3D('',#66739,#54471,#54472);
#45280=AXIS2_PLACEMENT_3D('',#66742,#54473,#54474);
#45281=AXIS2_PLACEMENT_3D('',#66743,#54475,#54476);
#45282=AXIS2_PLACEMENT_3D('',#66746,#54477,#54478);
#45283=AXIS2_PLACEMENT_3D('',#66747,#54479,#54480);
#45284=AXIS2_PLACEMENT_3D('',#66750,#54481,#54482);
#45285=AXIS2_PLACEMENT_3D('',#66751,#54483,#54484);
#45286=AXIS2_PLACEMENT_3D('',#66754,#54485,#54486);
#45287=AXIS2_PLACEMENT_3D('',#66755,#54487,#54488);
#45288=AXIS2_PLACEMENT_3D('',#66758,#54489,#54490);
#45289=AXIS2_PLACEMENT_3D('',#66759,#54491,#54492);
#45290=AXIS2_PLACEMENT_3D('',#66762,#54493,#54494);
#45291=AXIS2_PLACEMENT_3D('',#66763,#54495,#54496);
#45292=AXIS2_PLACEMENT_3D('',#66766,#54497,#54498);
#45293=AXIS2_PLACEMENT_3D('',#66767,#54499,#54500);
#45294=AXIS2_PLACEMENT_3D('',#66768,#54501,#54502);
#45295=AXIS2_PLACEMENT_3D('',#66769,#54503,#54504);
#45296=AXIS2_PLACEMENT_3D('',#66771,#54506,#54507);
#45297=AXIS2_PLACEMENT_3D('',#66773,#54509,#54510);
#45298=AXIS2_PLACEMENT_3D('',#66775,#54512,#54513);
#45299=AXIS2_PLACEMENT_3D('',#66777,#54515,#54516);
#45300=AXIS2_PLACEMENT_3D('',#66779,#54518,#54519);
#45301=AXIS2_PLACEMENT_3D('',#66782,#54522,#54523);
#45302=AXIS2_PLACEMENT_3D('',#66784,#54525,#54526);
#45303=AXIS2_PLACEMENT_3D('',#66786,#54528,#54529);
#45304=AXIS2_PLACEMENT_3D('',#66787,#54530,#54531);
#45305=AXIS2_PLACEMENT_3D('',#66789,#54533,#54534);
#45306=AXIS2_PLACEMENT_3D('',#66791,#54536,#54537);
#45307=AXIS2_PLACEMENT_3D('',#66793,#54539,#54540);
#45308=AXIS2_PLACEMENT_3D('',#66795,#54542,#54543);
#45309=AXIS2_PLACEMENT_3D('',#66797,#54545,#54546);
#45310=AXIS2_PLACEMENT_3D('',#66799,#54548,#54549);
#45311=AXIS2_PLACEMENT_3D('',#66801,#54551,#54552);
#45312=AXIS2_PLACEMENT_3D('',#66803,#54554,#54555);
#45313=AXIS2_PLACEMENT_3D('',#66805,#54557,#54558);
#45314=AXIS2_PLACEMENT_3D('',#66807,#54560,#54561);
#45315=AXIS2_PLACEMENT_3D('',#66809,#54563,#54564);
#45316=AXIS2_PLACEMENT_3D('',#66811,#54566,#54567);
#45317=AXIS2_PLACEMENT_3D('',#66813,#54569,#54570);
#45318=AXIS2_PLACEMENT_3D('',#66815,#54572,#54573);
#45319=AXIS2_PLACEMENT_3D('',#66817,#54575,#54576);
#45320=AXIS2_PLACEMENT_3D('',#66819,#54578,#54579);
#45321=AXIS2_PLACEMENT_3D('',#66821,#54581,#54582);
#45322=AXIS2_PLACEMENT_3D('',#66823,#54584,#54585);
#45323=AXIS2_PLACEMENT_3D('',#66825,#54587,#54588);
#45324=AXIS2_PLACEMENT_3D('',#66827,#54590,#54591);
#45325=AXIS2_PLACEMENT_3D('',#66829,#54593,#54594);
#45326=AXIS2_PLACEMENT_3D('',#66831,#54596,#54597);
#45327=AXIS2_PLACEMENT_3D('',#66833,#54599,#54600);
#45328=AXIS2_PLACEMENT_3D('',#66835,#54602,#54603);
#45329=AXIS2_PLACEMENT_3D('',#66837,#54605,#54606);
#45330=AXIS2_PLACEMENT_3D('',#66839,#54608,#54609);
#45331=AXIS2_PLACEMENT_3D('',#66841,#54611,#54612);
#45332=AXIS2_PLACEMENT_3D('',#66843,#54614,#54615);
#45333=AXIS2_PLACEMENT_3D('',#66845,#54617,#54618);
#45334=AXIS2_PLACEMENT_3D('',#66847,#54620,#54621);
#45335=AXIS2_PLACEMENT_3D('',#66849,#54623,#54624);
#45336=AXIS2_PLACEMENT_3D('',#66851,#54626,#54627);
#45337=AXIS2_PLACEMENT_3D('',#66853,#54629,#54630);
#45338=AXIS2_PLACEMENT_3D('',#66855,#54632,#54633);
#45339=AXIS2_PLACEMENT_3D('',#66857,#54635,#54636);
#45340=AXIS2_PLACEMENT_3D('',#66859,#54638,#54639);
#45341=AXIS2_PLACEMENT_3D('',#66861,#54641,#54642);
#45342=AXIS2_PLACEMENT_3D('',#66863,#54644,#54645);
#45343=AXIS2_PLACEMENT_3D('',#66865,#54647,#54648);
#45344=AXIS2_PLACEMENT_3D('',#66867,#54650,#54651);
#45345=AXIS2_PLACEMENT_3D('',#66869,#54653,#54654);
#45346=AXIS2_PLACEMENT_3D('',#66871,#54656,#54657);
#45347=AXIS2_PLACEMENT_3D('',#66873,#54659,#54660);
#45348=AXIS2_PLACEMENT_3D('',#66875,#54662,#54663);
#45349=AXIS2_PLACEMENT_3D('',#66877,#54665,#54666);
#45350=AXIS2_PLACEMENT_3D('',#66879,#54668,#54669);
#45351=AXIS2_PLACEMENT_3D('',#66881,#54671,#54672);
#45352=AXIS2_PLACEMENT_3D('',#66883,#54674,#54675);
#45353=AXIS2_PLACEMENT_3D('',#66885,#54677,#54678);
#45354=AXIS2_PLACEMENT_3D('',#66887,#54680,#54681);
#45355=AXIS2_PLACEMENT_3D('',#66889,#54683,#54684);
#45356=AXIS2_PLACEMENT_3D('',#66891,#54686,#54687);
#45357=AXIS2_PLACEMENT_3D('',#66893,#54689,#54690);
#45358=AXIS2_PLACEMENT_3D('',#66895,#54692,#54693);
#45359=AXIS2_PLACEMENT_3D('',#66897,#54695,#54696);
#45360=AXIS2_PLACEMENT_3D('',#66899,#54698,#54699);
#45361=AXIS2_PLACEMENT_3D('',#66901,#54701,#54702);
#45362=AXIS2_PLACEMENT_3D('',#66903,#54704,#54705);
#45363=AXIS2_PLACEMENT_3D('',#66905,#54707,#54708);
#45364=AXIS2_PLACEMENT_3D('',#66907,#54710,#54711);
#45365=AXIS2_PLACEMENT_3D('',#66909,#54713,#54714);
#45366=AXIS2_PLACEMENT_3D('',#66911,#54716,#54717);
#45367=AXIS2_PLACEMENT_3D('',#66913,#54719,#54720);
#45368=AXIS2_PLACEMENT_3D('',#66915,#54722,#54723);
#45369=AXIS2_PLACEMENT_3D('',#66917,#54725,#54726);
#45370=AXIS2_PLACEMENT_3D('',#66919,#54728,#54729);
#45371=AXIS2_PLACEMENT_3D('',#66921,#54731,#54732);
#45372=AXIS2_PLACEMENT_3D('',#66923,#54734,#54735);
#45373=AXIS2_PLACEMENT_3D('',#66925,#54737,#54738);
#45374=AXIS2_PLACEMENT_3D('',#66927,#54740,#54741);
#45375=AXIS2_PLACEMENT_3D('',#66929,#54743,#54744);
#45376=AXIS2_PLACEMENT_3D('',#66931,#54746,#54747);
#45377=AXIS2_PLACEMENT_3D('',#66933,#54749,#54750);
#45378=AXIS2_PLACEMENT_3D('',#66935,#54752,#54753);
#45379=AXIS2_PLACEMENT_3D('',#66937,#54755,#54756);
#45380=AXIS2_PLACEMENT_3D('',#66939,#54758,#54759);
#45381=AXIS2_PLACEMENT_3D('',#66941,#54761,#54762);
#45382=AXIS2_PLACEMENT_3D('',#66943,#54764,#54765);
#45383=AXIS2_PLACEMENT_3D('',#66945,#54767,#54768);
#45384=AXIS2_PLACEMENT_3D('',#66947,#54770,#54771);
#45385=AXIS2_PLACEMENT_3D('',#66949,#54773,#54774);
#45386=AXIS2_PLACEMENT_3D('',#66951,#54776,#54777);
#45387=AXIS2_PLACEMENT_3D('',#66953,#54779,#54780);
#45388=AXIS2_PLACEMENT_3D('',#66955,#54782,#54783);
#45389=AXIS2_PLACEMENT_3D('',#66957,#54785,#54786);
#45390=AXIS2_PLACEMENT_3D('',#66959,#54788,#54789);
#45391=AXIS2_PLACEMENT_3D('',#66961,#54791,#54792);
#45392=AXIS2_PLACEMENT_3D('',#66963,#54794,#54795);
#45393=AXIS2_PLACEMENT_3D('',#66965,#54797,#54798);
#45394=AXIS2_PLACEMENT_3D('',#66968,#54801,#54802);
#45395=AXIS2_PLACEMENT_3D('',#66970,#54804,#54805);
#45396=AXIS2_PLACEMENT_3D('',#66972,#54807,#54808);
#45397=AXIS2_PLACEMENT_3D('',#66973,#54809,#54810);
#45398=AXIS2_PLACEMENT_3D('',#66976,#54813,#54814);
#45399=AXIS2_PLACEMENT_3D('',#66978,#54816,#54817);
#45400=AXIS2_PLACEMENT_3D('',#66980,#54819,#54820);
#45401=AXIS2_PLACEMENT_3D('',#66981,#54821,#54822);
#45402=AXIS2_PLACEMENT_3D('',#66983,#54824,#54825);
#45403=AXIS2_PLACEMENT_3D('',#66985,#54827,#54828);
#45404=AXIS2_PLACEMENT_3D('',#66987,#54830,#54831);
#45405=AXIS2_PLACEMENT_3D('',#66989,#54833,#54834);
#45406=AXIS2_PLACEMENT_3D('',#66991,#54836,#54837);
#45407=AXIS2_PLACEMENT_3D('',#66993,#54839,#54840);
#45408=AXIS2_PLACEMENT_3D('',#66995,#54842,#54843);
#45409=AXIS2_PLACEMENT_3D('',#66997,#54845,#54846);
#45410=AXIS2_PLACEMENT_3D('',#66999,#54848,#54849);
#45411=AXIS2_PLACEMENT_3D('',#67001,#54851,#54852);
#45412=AXIS2_PLACEMENT_3D('',#67003,#54854,#54855);
#45413=AXIS2_PLACEMENT_3D('',#67005,#54857,#54858);
#45414=AXIS2_PLACEMENT_3D('',#67007,#54860,#54861);
#45415=AXIS2_PLACEMENT_3D('',#67009,#54863,#54864);
#45416=AXIS2_PLACEMENT_3D('',#67011,#54866,#54867);
#45417=AXIS2_PLACEMENT_3D('',#67013,#54869,#54870);
#45418=AXIS2_PLACEMENT_3D('',#67015,#54872,#54873);
#45419=AXIS2_PLACEMENT_3D('',#67017,#54875,#54876);
#45420=AXIS2_PLACEMENT_3D('',#67019,#54878,#54879);
#45421=AXIS2_PLACEMENT_3D('',#67021,#54881,#54882);
#45422=AXIS2_PLACEMENT_3D('',#67023,#54884,#54885);
#45423=AXIS2_PLACEMENT_3D('',#67025,#54887,#54888);
#45424=AXIS2_PLACEMENT_3D('',#67027,#54890,#54891);
#45425=AXIS2_PLACEMENT_3D('',#67029,#54893,#54894);
#45426=AXIS2_PLACEMENT_3D('',#67031,#54896,#54897);
#45427=AXIS2_PLACEMENT_3D('',#67033,#54899,#54900);
#45428=AXIS2_PLACEMENT_3D('',#67035,#54902,#54903);
#45429=AXIS2_PLACEMENT_3D('',#67037,#54905,#54906);
#45430=AXIS2_PLACEMENT_3D('',#67039,#54908,#54909);
#45431=AXIS2_PLACEMENT_3D('',#67041,#54911,#54912);
#45432=AXIS2_PLACEMENT_3D('',#67043,#54914,#54915);
#45433=AXIS2_PLACEMENT_3D('',#67045,#54917,#54918);
#45434=AXIS2_PLACEMENT_3D('',#67047,#54920,#54921);
#45435=AXIS2_PLACEMENT_3D('',#67049,#54923,#54924);
#45436=AXIS2_PLACEMENT_3D('',#67051,#54926,#54927);
#45437=AXIS2_PLACEMENT_3D('',#67053,#54929,#54930);
#45438=AXIS2_PLACEMENT_3D('',#67055,#54932,#54933);
#45439=AXIS2_PLACEMENT_3D('',#67057,#54935,#54936);
#45440=AXIS2_PLACEMENT_3D('',#67059,#54938,#54939);
#45441=AXIS2_PLACEMENT_3D('',#67061,#54941,#54942);
#45442=AXIS2_PLACEMENT_3D('',#67063,#54944,#54945);
#45443=AXIS2_PLACEMENT_3D('',#67065,#54947,#54948);
#45444=AXIS2_PLACEMENT_3D('',#67067,#54950,#54951);
#45445=AXIS2_PLACEMENT_3D('',#67069,#54953,#54954);
#45446=AXIS2_PLACEMENT_3D('',#67071,#54956,#54957);
#45447=AXIS2_PLACEMENT_3D('',#67073,#54959,#54960);
#45448=AXIS2_PLACEMENT_3D('',#67075,#54962,#54963);
#45449=AXIS2_PLACEMENT_3D('',#67077,#54965,#54966);
#45450=AXIS2_PLACEMENT_3D('',#67079,#54968,#54969);
#45451=AXIS2_PLACEMENT_3D('',#67081,#54971,#54972);
#45452=AXIS2_PLACEMENT_3D('',#67083,#54974,#54975);
#45453=AXIS2_PLACEMENT_3D('',#67085,#54977,#54978);
#45454=AXIS2_PLACEMENT_3D('',#67087,#54980,#54981);
#45455=AXIS2_PLACEMENT_3D('',#67088,#54982,#54983);
#45456=AXIS2_PLACEMENT_3D('',#67089,#54984,#54985);
#45457=AXIS2_PLACEMENT_3D('',#67090,#54986,#54987);
#45458=AXIS2_PLACEMENT_3D('',#67091,#54988,#54989);
#45459=AXIS2_PLACEMENT_3D('',#67092,#54990,#54991);
#45460=AXIS2_PLACEMENT_3D('',#67093,#54992,#54993);
#45461=AXIS2_PLACEMENT_3D('',#67094,#54994,#54995);
#45462=AXIS2_PLACEMENT_3D('',#67095,#54996,#54997);
#45463=AXIS2_PLACEMENT_3D('',#67096,#54998,#54999);
#45464=AXIS2_PLACEMENT_3D('',#67097,#55000,#55001);
#45465=AXIS2_PLACEMENT_3D('',#67098,#55002,#55003);
#45466=AXIS2_PLACEMENT_3D('',#67099,#55004,#55005);
#45467=AXIS2_PLACEMENT_3D('',#67100,#55006,#55007);
#45468=AXIS2_PLACEMENT_3D('',#67101,#55008,#55009);
#45469=AXIS2_PLACEMENT_3D('',#67102,#55010,#55011);
#45470=AXIS2_PLACEMENT_3D('',#67103,#55012,#55013);
#45471=AXIS2_PLACEMENT_3D('',#67104,#55014,#55015);
#45472=AXIS2_PLACEMENT_3D('',#67105,#55016,#55017);
#45473=AXIS2_PLACEMENT_3D('',#67106,#55018,#55019);
#45474=AXIS2_PLACEMENT_3D('',#67107,#55020,#55021);
#45475=AXIS2_PLACEMENT_3D('',#67108,#55022,#55023);
#45476=AXIS2_PLACEMENT_3D('',#67109,#55024,#55025);
#45477=AXIS2_PLACEMENT_3D('',#67110,#55026,#55027);
#45478=AXIS2_PLACEMENT_3D('',#67111,#55028,#55029);
#45479=AXIS2_PLACEMENT_3D('',#67112,#55030,#55031);
#45480=AXIS2_PLACEMENT_3D('',#67113,#55032,#55033);
#45481=AXIS2_PLACEMENT_3D('',#67114,#55034,#55035);
#45482=AXIS2_PLACEMENT_3D('',#67115,#55036,#55037);
#45483=AXIS2_PLACEMENT_3D('',#67116,#55038,#55039);
#45484=AXIS2_PLACEMENT_3D('',#67117,#55040,#55041);
#45485=AXIS2_PLACEMENT_3D('',#67118,#55042,#55043);
#45486=AXIS2_PLACEMENT_3D('',#67119,#55044,#55045);
#45487=AXIS2_PLACEMENT_3D('',#67120,#55046,#55047);
#45488=AXIS2_PLACEMENT_3D('',#67121,#55048,#55049);
#45489=AXIS2_PLACEMENT_3D('',#67122,#55050,#55051);
#45490=AXIS2_PLACEMENT_3D('',#67123,#55052,#55053);
#45491=AXIS2_PLACEMENT_3D('',#67124,#55054,#55055);
#45492=AXIS2_PLACEMENT_3D('',#67125,#55056,#55057);
#45493=AXIS2_PLACEMENT_3D('',#67126,#55058,#55059);
#45494=AXIS2_PLACEMENT_3D('',#67127,#55060,#55061);
#45495=AXIS2_PLACEMENT_3D('',#67128,#55062,#55063);
#45496=AXIS2_PLACEMENT_3D('',#67129,#55064,#55065);
#45497=AXIS2_PLACEMENT_3D('',#67130,#55066,#55067);
#45498=AXIS2_PLACEMENT_3D('',#67131,#55068,#55069);
#45499=AXIS2_PLACEMENT_3D('',#67132,#55070,#55071);
#45500=AXIS2_PLACEMENT_3D('',#67133,#55072,#55073);
#45501=AXIS2_PLACEMENT_3D('',#67134,#55074,#55075);
#45502=AXIS2_PLACEMENT_3D('',#67135,#55076,#55077);
#45503=AXIS2_PLACEMENT_3D('',#67136,#55078,#55079);
#45504=AXIS2_PLACEMENT_3D('',#67137,#55080,#55081);
#45505=AXIS2_PLACEMENT_3D('',#67138,#55082,#55083);
#45506=AXIS2_PLACEMENT_3D('',#67139,#55084,#55085);
#45507=AXIS2_PLACEMENT_3D('',#67140,#55086,#55087);
#45508=AXIS2_PLACEMENT_3D('',#67141,#55088,#55089);
#45509=AXIS2_PLACEMENT_3D('',#67142,#55090,#55091);
#45510=AXIS2_PLACEMENT_3D('',#67143,#55092,#55093);
#45511=AXIS2_PLACEMENT_3D('',#67144,#55094,#55095);
#45512=AXIS2_PLACEMENT_3D('',#67145,#55096,#55097);
#45513=AXIS2_PLACEMENT_3D('',#67146,#55098,#55099);
#45514=AXIS2_PLACEMENT_3D('',#67147,#55100,#55101);
#45515=AXIS2_PLACEMENT_3D('',#67148,#55102,#55103);
#45516=AXIS2_PLACEMENT_3D('',#67149,#55104,#55105);
#45517=AXIS2_PLACEMENT_3D('',#67150,#55106,#55107);
#45518=AXIS2_PLACEMENT_3D('',#67151,#55108,#55109);
#45519=AXIS2_PLACEMENT_3D('',#67152,#55110,#55111);
#45520=AXIS2_PLACEMENT_3D('',#67153,#55112,#55113);
#45521=AXIS2_PLACEMENT_3D('',#67154,#55114,#55115);
#45522=AXIS2_PLACEMENT_3D('',#67155,#55116,#55117);
#45523=AXIS2_PLACEMENT_3D('',#67156,#55118,#55119);
#45524=AXIS2_PLACEMENT_3D('placement',#67157,#55120,#55121);
#45525=AXIS2_PLACEMENT_3D('',#67158,#55122,#55123);
#45526=AXIS2_PLACEMENT_3D('',#67167,#55128,#55129);
#45527=AXIS2_PLACEMENT_3D('',#67173,#55133,#55134);
#45528=AXIS2_PLACEMENT_3D('',#67179,#55138,#55139);
#45529=AXIS2_PLACEMENT_3D('',#67182,#55142,#55143);
#45530=AXIS2_PLACEMENT_3D('',#67188,#55147,#55148);
#45531=AXIS2_PLACEMENT_3D('',#67192,#55151,#55152);
#45532=AXIS2_PLACEMENT_3D('',#67201,#55157,#55158);
#45533=AXIS2_PLACEMENT_3D('',#67204,#55159,#55160);
#45534=AXIS2_PLACEMENT_3D('',#67208,#55162,#55163);
#45535=AXIS2_PLACEMENT_3D('',#67211,#55165,#55166);
#45536=AXIS2_PLACEMENT_3D('',#67212,#55167,#55168);
#45537=AXIS2_PLACEMENT_3D('',#67216,#55170,#55171);
#45538=AXIS2_PLACEMENT_3D('',#67222,#55175,#55176);
#45539=AXIS2_PLACEMENT_3D('',#67226,#55179,#55180);
#45540=AXIS2_PLACEMENT_3D('',#67228,#55181,#55182);
#45541=AXIS2_PLACEMENT_3D('',#67231,#55184,#55185);
#45542=AXIS2_PLACEMENT_3D('',#67232,#55186,#55187);
#45543=AXIS2_PLACEMENT_3D('',#67235,#55188,#55189);
#45544=AXIS2_PLACEMENT_3D('',#67239,#55191,#55192);
#45545=AXIS2_PLACEMENT_3D('',#67242,#55194,#55195);
#45546=AXIS2_PLACEMENT_3D('',#67243,#55196,#55197);
#45547=AXIS2_PLACEMENT_3D('',#67247,#55199,#55200);
#45548=AXIS2_PLACEMENT_3D('',#67253,#55204,#55205);
#45549=AXIS2_PLACEMENT_3D('',#67257,#55208,#55209);
#45550=AXIS2_PLACEMENT_3D('',#67259,#55210,#55211);
#45551=AXIS2_PLACEMENT_3D('',#67262,#55213,#55214);
#45552=AXIS2_PLACEMENT_3D('',#67263,#55215,#55216);
#45553=AXIS2_PLACEMENT_3D('',#67266,#55219,#55220);
#45554=AXIS2_PLACEMENT_3D('',#67269,#55223,#55224);
#45555=AXIS2_PLACEMENT_3D('',#67276,#55229,#55230);
#45556=AXIS2_PLACEMENT_3D('',#67277,#55231,#55232);
#45557=AXIS2_PLACEMENT_3D('',#67281,#55235,#55236);
#45558=AXIS2_PLACEMENT_3D('',#67285,#55239,#55240);
#45559=AXIS2_PLACEMENT_3D('',#67287,#55242,#55243);
#45560=AXIS2_PLACEMENT_3D('',#67291,#55246,#55247);
#45561=AXIS2_PLACEMENT_3D('',#67293,#55249,#55250);
#45562=AXIS2_PLACEMENT_3D('',#67295,#55252,#55253);
#45563=AXIS2_PLACEMENT_3D('',#67296,#55254,#55255);
#45564=AXIS2_PLACEMENT_3D('placement',#67297,#55256,#55257);
#45565=AXIS2_PLACEMENT_3D('',#67298,#55258,#55259);
#45566=AXIS2_PLACEMENT_3D('',#67301,#55260,#55261);
#45567=AXIS2_PLACEMENT_3D('',#67305,#55263,#55264);
#45568=AXIS2_PLACEMENT_3D('',#67307,#55266,#55267);
#45569=AXIS2_PLACEMENT_3D('',#67309,#55268,#55269);
#45570=AXIS2_PLACEMENT_3D('',#67311,#55270,#55271);
#45571=AXIS2_PLACEMENT_3D('',#67315,#55273,#55274);
#45572=AXIS2_PLACEMENT_3D('',#67317,#55275,#55276);
#45573=AXIS2_PLACEMENT_3D('',#67319,#55277,#55278);
#45574=AXIS2_PLACEMENT_3D('',#67321,#55280,#55281);
#45575=AXIS2_PLACEMENT_3D('',#67323,#55282,#55283);
#45576=AXIS2_PLACEMENT_3D('',#67335,#55289,#55290);
#45577=AXIS2_PLACEMENT_3D('',#67339,#55292,#55293);
#45578=AXIS2_PLACEMENT_3D('',#67343,#55295,#55296);
#45579=AXIS2_PLACEMENT_3D('',#67347,#55298,#55299);
#45580=AXIS2_PLACEMENT_3D('',#67349,#55301,#55302);
#45581=AXIS2_PLACEMENT_3D('',#67351,#55303,#55304);
#45582=AXIS2_PLACEMENT_3D('',#67353,#55306,#55307);
#45583=AXIS2_PLACEMENT_3D('',#67355,#55308,#55309);
#45584=AXIS2_PLACEMENT_3D('',#67359,#55311,#55312);
#45585=AXIS2_PLACEMENT_3D('',#67361,#55313,#55314);
#45586=AXIS2_PLACEMENT_3D('',#67363,#55315,#55316);
#45587=AXIS2_PLACEMENT_3D('',#67365,#55318,#55319);
#45588=AXIS2_PLACEMENT_3D('',#67367,#55320,#55321);
#45589=AXIS2_PLACEMENT_3D('',#67369,#55323,#55324);
#45590=AXIS2_PLACEMENT_3D('',#67371,#55325,#55326);
#45591=AXIS2_PLACEMENT_3D('',#67375,#55328,#55329);
#45592=AXIS2_PLACEMENT_3D('',#67377,#55330,#55331);
#45593=AXIS2_PLACEMENT_3D('',#67379,#55332,#55333);
#45594=AXIS2_PLACEMENT_3D('',#67381,#55335,#55336);
#45595=AXIS2_PLACEMENT_3D('',#67383,#55337,#55338);
#45596=AXIS2_PLACEMENT_3D('',#67385,#55340,#55341);
#45597=AXIS2_PLACEMENT_3D('',#67387,#55342,#55343);
#45598=AXIS2_PLACEMENT_3D('',#67391,#55345,#55346);
#45599=AXIS2_PLACEMENT_3D('',#67393,#55347,#55348);
#45600=AXIS2_PLACEMENT_3D('',#67395,#55349,#55350);
#45601=AXIS2_PLACEMENT_3D('',#67397,#55352,#55353);
#45602=AXIS2_PLACEMENT_3D('',#67399,#55354,#55355);
#45603=AXIS2_PLACEMENT_3D('',#67401,#55357,#55358);
#45604=AXIS2_PLACEMENT_3D('',#67403,#55359,#55360);
#45605=AXIS2_PLACEMENT_3D('',#67407,#55362,#55363);
#45606=AXIS2_PLACEMENT_3D('',#67409,#55364,#55365);
#45607=AXIS2_PLACEMENT_3D('',#67411,#55366,#55367);
#45608=AXIS2_PLACEMENT_3D('',#67413,#55369,#55370);
#45609=AXIS2_PLACEMENT_3D('',#67416,#55371,#55372);
#45610=AXIS2_PLACEMENT_3D('',#67422,#55376,#55377);
#45611=AXIS2_PLACEMENT_3D('',#67426,#55379,#55380);
#45612=AXIS2_PLACEMENT_3D('',#67427,#55381,#55382);
#45613=AXIS2_PLACEMENT_3D('',#67428,#55383,#55384);
#45614=AXIS2_PLACEMENT_3D('',#67434,#55388,#55389);
#45615=AXIS2_PLACEMENT_3D('',#67437,#55391,#55392);
#45616=AXIS2_PLACEMENT_3D('',#67438,#55393,#55394);
#45617=AXIS2_PLACEMENT_3D('',#67439,#55395,#55396);
#45618=AXIS2_PLACEMENT_3D('',#67441,#55397,#55398);
#45619=AXIS2_PLACEMENT_3D('',#67443,#55400,#55401);
#45620=AXIS2_PLACEMENT_3D('',#67446,#55403,#55404);
#45621=AXIS2_PLACEMENT_3D('',#67447,#55405,#55406);
#45622=AXIS2_PLACEMENT_3D('',#67451,#55409,#55410);
#45623=AXIS2_PLACEMENT_3D('',#67454,#55412,#55413);
#45624=AXIS2_PLACEMENT_3D('',#67455,#55414,#55415);
#45625=AXIS2_PLACEMENT_3D('',#67457,#55416,#55417);
#45626=AXIS2_PLACEMENT_3D('',#67463,#55421,#55422);
#45627=AXIS2_PLACEMENT_3D('',#67467,#55424,#55425);
#45628=AXIS2_PLACEMENT_3D('',#67469,#55426,#55427);
#45629=AXIS2_PLACEMENT_3D('',#67471,#55428,#55429);
#45630=AXIS2_PLACEMENT_3D('',#67474,#55431,#55432);
#45631=AXIS2_PLACEMENT_3D('',#67475,#55433,#55434);
#45632=AXIS2_PLACEMENT_3D('',#67479,#55437,#55438);
#45633=AXIS2_PLACEMENT_3D('',#67483,#55440,#55441);
#45634=AXIS2_PLACEMENT_3D('',#67484,#55442,#55443);
#45635=AXIS2_PLACEMENT_3D('',#67485,#55444,#55445);
#45636=AXIS2_PLACEMENT_3D('',#67491,#55449,#55450);
#45637=AXIS2_PLACEMENT_3D('',#67494,#55452,#55453);
#45638=AXIS2_PLACEMENT_3D('',#67495,#55454,#55455);
#45639=AXIS2_PLACEMENT_3D('',#67496,#55456,#55457);
#45640=AXIS2_PLACEMENT_3D('',#67498,#55459,#55460);
#45641=AXIS2_PLACEMENT_3D('',#67504,#55463,#55464);
#45642=AXIS2_PLACEMENT_3D('',#67508,#55466,#55467);
#45643=AXIS2_PLACEMENT_3D('',#67512,#55470,#55471);
#45644=AXIS2_PLACEMENT_3D('',#67515,#55472,#55473);
#45645=AXIS2_PLACEMENT_3D('',#67516,#55474,#55475);
#45646=AXIS2_PLACEMENT_3D('',#67520,#55477,#55478);
#45647=AXIS2_PLACEMENT_3D('',#67521,#55479,#55480);
#45648=AXIS2_PLACEMENT_3D('',#67522,#55481,#55482);
#45649=AXIS2_PLACEMENT_3D('',#67525,#55484,#55485);
#45650=AXIS2_PLACEMENT_3D('',#67526,#55486,#55487);
#45651=AXIS2_PLACEMENT_3D('',#67529,#55488,#55489);
#45652=AXIS2_PLACEMENT_3D('',#67530,#55490,#55491);
#45653=AXIS2_PLACEMENT_3D('',#67534,#55493,#55494);
#45654=AXIS2_PLACEMENT_3D('',#67535,#55495,#55496);
#45655=AXIS2_PLACEMENT_3D('',#67536,#55497,#55498);
#45656=AXIS2_PLACEMENT_3D('',#67539,#55500,#55501);
#45657=AXIS2_PLACEMENT_3D('',#67540,#55502,#55503);
#45658=AXIS2_PLACEMENT_3D('',#67543,#55504,#55505);
#45659=AXIS2_PLACEMENT_3D('',#67544,#55506,#55507);
#45660=AXIS2_PLACEMENT_3D('',#67548,#55509,#55510);
#45661=AXIS2_PLACEMENT_3D('',#67549,#55511,#55512);
#45662=AXIS2_PLACEMENT_3D('',#67550,#55513,#55514);
#45663=AXIS2_PLACEMENT_3D('',#67553,#55516,#55517);
#45664=AXIS2_PLACEMENT_3D('',#67554,#55518,#55519);
#45665=AXIS2_PLACEMENT_3D('',#67557,#55520,#55521);
#45666=AXIS2_PLACEMENT_3D('',#67558,#55522,#55523);
#45667=AXIS2_PLACEMENT_3D('',#67562,#55525,#55526);
#45668=AXIS2_PLACEMENT_3D('',#67563,#55527,#55528);
#45669=AXIS2_PLACEMENT_3D('',#67564,#55529,#55530);
#45670=AXIS2_PLACEMENT_3D('',#67567,#55532,#55533);
#45671=AXIS2_PLACEMENT_3D('',#67568,#55534,#55535);
#45672=AXIS2_PLACEMENT_3D('',#67571,#55536,#55537);
#45673=AXIS2_PLACEMENT_3D('',#67575,#55539,#55540);
#45674=AXIS2_PLACEMENT_3D('',#67577,#55542,#55543);
#45675=AXIS2_PLACEMENT_3D('',#67583,#55547,#55548);
#45676=AXIS2_PLACEMENT_3D('',#67591,#55552,#55553);
#45677=AXIS2_PLACEMENT_3D('',#67601,#55558,#55559);
#45678=AXIS2_PLACEMENT_3D('',#67605,#55561,#55562);
#45679=AXIS2_PLACEMENT_3D('',#67612,#55566,#55567);
#45680=AXIS2_PLACEMENT_3D('',#67614,#55568,#55569);
#45681=AXIS2_PLACEMENT_3D('',#67615,#55570,#55571);
#45682=AXIS2_PLACEMENT_3D('',#67619,#55573,#55574);
#45683=AXIS2_PLACEMENT_3D('',#67621,#55576,#55577);
#45684=AXIS2_PLACEMENT_3D('',#67625,#55580,#55581);
#45685=AXIS2_PLACEMENT_3D('',#67628,#55582,#55583);
#45686=AXIS2_PLACEMENT_3D('',#67632,#55585,#55586);
#45687=AXIS2_PLACEMENT_3D('',#67634,#55588,#55589);
#45688=AXIS2_PLACEMENT_3D('',#67640,#55593,#55594);
#45689=AXIS2_PLACEMENT_3D('',#67650,#55599,#55600);
#45690=AXIS2_PLACEMENT_3D('',#67654,#55602,#55603);
#45691=AXIS2_PLACEMENT_3D('',#67664,#55608,#55609);
#45692=AXIS2_PLACEMENT_3D('',#67669,#55612,#55613);
#45693=AXIS2_PLACEMENT_3D('',#67671,#55614,#55615);
#45694=AXIS2_PLACEMENT_3D('',#67672,#55616,#55617);
#45695=AXIS2_PLACEMENT_3D('',#67676,#55619,#55620);
#45696=AXIS2_PLACEMENT_3D('',#67678,#55622,#55623);
#45697=AXIS2_PLACEMENT_3D('',#67682,#55626,#55627);
#45698=AXIS2_PLACEMENT_3D('',#67685,#55630,#55631);
#45699=AXIS2_PLACEMENT_3D('',#67687,#55633,#55634);
#45700=AXIS2_PLACEMENT_3D('',#67691,#55636,#55637);
#45701=AXIS2_PLACEMENT_3D('',#67693,#55639,#55640);
#45702=AXIS2_PLACEMENT_3D('',#67697,#55643,#55644);
#45703=AXIS2_PLACEMENT_3D('',#67699,#55645,#55646);
#45704=AXIS2_PLACEMENT_3D('',#67701,#55648,#55649);
#45705=AXIS2_PLACEMENT_3D('',#67703,#55651,#55652);
#45706=AXIS2_PLACEMENT_3D('',#67705,#55654,#55655);
#45707=AXIS2_PLACEMENT_3D('',#67709,#55658,#55659);
#45708=AXIS2_PLACEMENT_3D('',#67718,#55665,#55666);
#45709=AXIS2_PLACEMENT_3D('',#67724,#55670,#55671);
#45710=AXIS2_PLACEMENT_3D('',#67729,#55675,#55676);
#45711=AXIS2_PLACEMENT_3D('',#67731,#55678,#55679);
#45712=AXIS2_PLACEMENT_3D('',#67740,#55684,#55685);
#45713=AXIS2_PLACEMENT_3D('',#67746,#55689,#55690);
#45714=AXIS2_PLACEMENT_3D('',#67749,#55693,#55694);
#45715=AXIS2_PLACEMENT_3D('',#67751,#55696,#55697);
#45716=AXIS2_PLACEMENT_3D('',#67753,#55699,#55700);
#45717=AXIS2_PLACEMENT_3D('',#67754,#55701,#55702);
#45718=AXIS2_PLACEMENT_3D('',#67756,#55704,#55705);
#45719=AXIS2_PLACEMENT_3D('',#67762,#55709,#55710);
#45720=AXIS2_PLACEMENT_3D('',#67765,#55713,#55714);
#45721=AXIS2_PLACEMENT_3D('',#67768,#55717,#55718);
#45722=AXIS2_PLACEMENT_3D('',#67772,#55720,#55721);
#45723=AXIS2_PLACEMENT_3D('',#67774,#55723,#55724);
#45724=AXIS2_PLACEMENT_3D('',#67776,#55725,#55726);
#45725=AXIS2_PLACEMENT_3D('',#67780,#55729,#55730);
#45726=AXIS2_PLACEMENT_3D('',#67784,#55733,#55734);
#45727=AXIS2_PLACEMENT_3D('',#67787,#55737,#55738);
#45728=AXIS2_PLACEMENT_3D('',#67790,#55741,#55742);
#45729=AXIS2_PLACEMENT_3D('',#67795,#55746,#55747);
#45730=AXIS2_PLACEMENT_3D('',#67799,#55750,#55751);
#45731=AXIS2_PLACEMENT_3D('',#67807,#55759,#55760);
#45732=AXIS2_PLACEMENT_3D('',#67808,#55761,#55762);
#45733=AXIS2_PLACEMENT_3D('',#67809,#55763,#55764);
#45734=AXIS2_PLACEMENT_3D('',#67810,#55765,#55766);
#45735=AXIS2_PLACEMENT_3D('',#67811,#55767,#55768);
#45736=AXIS2_PLACEMENT_3D('',#67812,#55769,#55770);
#45737=AXIS2_PLACEMENT_3D('',#67813,#55771,#55772);
#45738=AXIS2_PLACEMENT_3D('',#67814,#55773,#55774);
#45739=AXIS2_PLACEMENT_3D('',#67816,#55776,#55777);
#45740=AXIS2_PLACEMENT_3D('',#67817,#55778,#55779);
#45741=AXIS2_PLACEMENT_3D('',#67818,#55780,#55781);
#45742=AXIS2_PLACEMENT_3D('',#67819,#55782,#55783);
#45743=AXIS2_PLACEMENT_3D('',#67820,#55784,#55785);
#45744=AXIS2_PLACEMENT_3D('',#67821,#55786,#55787);
#45745=AXIS2_PLACEMENT_3D('',#67825,#55790,#55791);
#45746=AXIS2_PLACEMENT_3D('',#67827,#55793,#55794);
#45747=AXIS2_PLACEMENT_3D('',#67831,#55797,#55798);
#45748=AXIS2_PLACEMENT_3D('',#67833,#55800,#55801);
#45749=AXIS2_PLACEMENT_3D('',#67836,#55803,#55804);
#45750=AXIS2_PLACEMENT_3D('',#67837,#55805,#55806);
#45751=AXIS2_PLACEMENT_3D('',#67840,#55808,#55809);
#45752=AXIS2_PLACEMENT_3D('',#67841,#55810,#55811);
#45753=AXIS2_PLACEMENT_3D('',#67844,#55813,#55814);
#45754=AXIS2_PLACEMENT_3D('',#67845,#55815,#55816);
#45755=AXIS2_PLACEMENT_3D('',#67848,#55818,#55819);
#45756=AXIS2_PLACEMENT_3D('',#67849,#55820,#55821);
#45757=AXIS2_PLACEMENT_3D('',#67853,#55824,#55825);
#45758=AXIS2_PLACEMENT_3D('',#67855,#55827,#55828);
#45759=AXIS2_PLACEMENT_3D('',#67859,#55831,#55832);
#45760=AXIS2_PLACEMENT_3D('',#67861,#55834,#55835);
#45761=AXIS2_PLACEMENT_3D('',#67862,#55836,#55837);
#45762=AXIS2_PLACEMENT_3D('',#67863,#55838,#55839);
#45763=AXIS2_PLACEMENT_3D('',#67864,#55840,#55841);
#45764=AXIS2_PLACEMENT_3D('',#67865,#55842,#55843);
#45765=AXIS2_PLACEMENT_3D('',#67866,#55844,#55845);
#45766=AXIS2_PLACEMENT_3D('',#67875,#55850,#55851);
#45767=AXIS2_PLACEMENT_3D('',#67881,#55855,#55856);
#45768=AXIS2_PLACEMENT_3D('',#67887,#55860,#55861);
#45769=AXIS2_PLACEMENT_3D('',#67890,#55864,#55865);
#45770=AXIS2_PLACEMENT_3D('',#67891,#55866,#55867);
#45771=AXIS2_PLACEMENT_3D('',#67893,#55868,#55869);
#45772=AXIS2_PLACEMENT_3D('',#67895,#55871,#55872);
#45773=AXIS2_PLACEMENT_3D('',#67899,#55875,#55876);
#45774=AXIS2_PLACEMENT_3D('',#67900,#55877,#55878);
#45775=AXIS2_PLACEMENT_3D('',#67901,#55879,#55880);
#45776=AXIS2_PLACEMENT_3D('',#67902,#55881,#55882);
#45777=AXIS2_PLACEMENT_3D('placement',#67903,#55883,#55884);
#45778=AXIS2_PLACEMENT_3D('',#67904,#55885,#55886);
#45779=AXIS2_PLACEMENT_3D('',#67917,#55893,#55894);
#45780=AXIS2_PLACEMENT_3D('',#67930,#55901,#55902);
#45781=AXIS2_PLACEMENT_3D('',#67936,#55906,#55907);
#45782=AXIS2_PLACEMENT_3D('',#67938,#55908,#55909);
#45783=AXIS2_PLACEMENT_3D('',#67940,#55910,#55911);
#45784=AXIS2_PLACEMENT_3D('',#67941,#55912,#55913);
#45785=AXIS2_PLACEMENT_3D('',#67944,#55915,#55916);
#45786=AXIS2_PLACEMENT_3D('',#67945,#55917,#55918);
#45787=AXIS2_PLACEMENT_3D('',#67949,#55921,#55922);
#45788=AXIS2_PLACEMENT_3D('',#67950,#55923,#55924);
#45789=AXIS2_PLACEMENT_3D('',#67954,#55926,#55927);
#45790=AXIS2_PLACEMENT_3D('',#67956,#55929,#55930);
#45791=AXIS2_PLACEMENT_3D('',#67957,#55931,#55932);
#45792=AXIS2_PLACEMENT_3D('',#67961,#55935,#55936);
#45793=AXIS2_PLACEMENT_3D('',#67963,#55937,#55938);
#45794=AXIS2_PLACEMENT_3D('',#67965,#55940,#55941);
#45795=AXIS2_PLACEMENT_3D('',#67967,#55943,#55944);
#45796=AXIS2_PLACEMENT_3D('',#67969,#55945,#55946);
#45797=AXIS2_PLACEMENT_3D('',#67971,#55947,#55948);
#45798=AXIS2_PLACEMENT_3D('',#67972,#55949,#55950);
#45799=AXIS2_PLACEMENT_3D('',#67973,#55951,#55952);
#45800=AXIS2_PLACEMENT_3D('',#67975,#55954,#55955);
#45801=AXIS2_PLACEMENT_3D('',#67977,#55957,#55958);
#45802=AXIS2_PLACEMENT_3D('',#67978,#55959,#55960);
#45803=AXIS2_PLACEMENT_3D('',#67995,#55969,#55970);
#45804=AXIS2_PLACEMENT_3D('',#68012,#55979,#55980);
#45805=AXIS2_PLACEMENT_3D('',#68029,#55989,#55990);
#45806=AXIS2_PLACEMENT_3D('',#68042,#55997,#55998);
#45807=AXIS2_PLACEMENT_3D('',#68059,#56007,#56008);
#45808=AXIS2_PLACEMENT_3D('',#68076,#56017,#56018);
#45809=AXIS2_PLACEMENT_3D('',#68089,#56025,#56026);
#45810=AXIS2_PLACEMENT_3D('',#68095,#56030,#56031);
#45811=AXIS2_PLACEMENT_3D('',#68101,#56035,#56036);
#45812=AXIS2_PLACEMENT_3D('',#68107,#56040,#56041);
#45813=AXIS2_PLACEMENT_3D('',#68113,#56045,#56046);
#45814=AXIS2_PLACEMENT_3D('',#68119,#56050,#56051);
#45815=AXIS2_PLACEMENT_3D('',#68125,#56055,#56056);
#45816=AXIS2_PLACEMENT_3D('',#68127,#56057,#56058);
#45817=AXIS2_PLACEMENT_3D('',#68131,#56061,#56062);
#45818=AXIS2_PLACEMENT_3D('',#68132,#56063,#56064);
#45819=AXIS2_PLACEMENT_3D('',#68138,#56068,#56069);
#45820=AXIS2_PLACEMENT_3D('',#68144,#56073,#56074);
#45821=AXIS2_PLACEMENT_3D('',#68146,#56075,#56076);
#45822=AXIS2_PLACEMENT_3D('',#68150,#56079,#56080);
#45823=AXIS2_PLACEMENT_3D('',#68151,#56081,#56082);
#45824=AXIS2_PLACEMENT_3D('',#68157,#56086,#56087);
#45825=AXIS2_PLACEMENT_3D('',#68163,#56091,#56092);
#45826=AXIS2_PLACEMENT_3D('',#68165,#56093,#56094);
#45827=AXIS2_PLACEMENT_3D('',#68169,#56097,#56098);
#45828=AXIS2_PLACEMENT_3D('',#68170,#56099,#56100);
#45829=AXIS2_PLACEMENT_3D('',#68176,#56104,#56105);
#45830=AXIS2_PLACEMENT_3D('',#68182,#56109,#56110);
#45831=AXIS2_PLACEMENT_3D('',#68184,#56111,#56112);
#45832=AXIS2_PLACEMENT_3D('',#68187,#56114,#56115);
#45833=AXIS2_PLACEMENT_3D('',#68190,#56117,#56118);
#45834=AXIS2_PLACEMENT_3D('',#68193,#56120,#56121);
#45835=AXIS2_PLACEMENT_3D('',#68196,#56123,#56124);
#45836=AXIS2_PLACEMENT_3D('',#68199,#56126,#56127);
#45837=AXIS2_PLACEMENT_3D('',#68203,#56129,#56130);
#45838=AXIS2_PLACEMENT_3D('',#68207,#56132,#56133);
#45839=AXIS2_PLACEMENT_3D('',#68211,#56135,#56136);
#45840=AXIS2_PLACEMENT_3D('',#68215,#56138,#56139);
#45841=AXIS2_PLACEMENT_3D('',#68218,#56141,#56142);
#45842=AXIS2_PLACEMENT_3D('',#68222,#56144,#56145);
#45843=AXIS2_PLACEMENT_3D('',#68224,#56147,#56148);
#45844=AXIS2_PLACEMENT_3D('',#68228,#56151,#56152);
#45845=AXIS2_PLACEMENT_3D('',#68231,#56154,#56155);
#45846=AXIS2_PLACEMENT_3D('',#68233,#56156,#56157);
#45847=AXIS2_PLACEMENT_3D('',#68235,#56159,#56160);
#45848=AXIS2_PLACEMENT_3D('',#68238,#56162,#56163);
#45849=AXIS2_PLACEMENT_3D('',#68242,#56165,#56166);
#45850=AXIS2_PLACEMENT_3D('',#68244,#56168,#56169);
#45851=AXIS2_PLACEMENT_3D('',#68247,#56171,#56172);
#45852=AXIS2_PLACEMENT_3D('',#68249,#56173,#56174);
#45853=AXIS2_PLACEMENT_3D('',#68251,#56176,#56177);
#45854=AXIS2_PLACEMENT_3D('',#68255,#56179,#56180);
#45855=AXIS2_PLACEMENT_3D('',#68258,#56182,#56183);
#45856=AXIS2_PLACEMENT_3D('',#68262,#56185,#56186);
#45857=AXIS2_PLACEMENT_3D('',#68266,#56188,#56189);
#45858=AXIS2_PLACEMENT_3D('',#68270,#56191,#56192);
#45859=AXIS2_PLACEMENT_3D('',#68274,#56194,#56195);
#45860=AXIS2_PLACEMENT_3D('',#68277,#56197,#56198);
#45861=AXIS2_PLACEMENT_3D('',#68280,#56200,#56201);
#45862=AXIS2_PLACEMENT_3D('',#68283,#56203,#56204);
#45863=AXIS2_PLACEMENT_3D('',#68286,#56206,#56207);
#45864=AXIS2_PLACEMENT_3D('',#68289,#56209,#56210);
#45865=AXIS2_PLACEMENT_3D('',#68292,#56212,#56213);
#45866=AXIS2_PLACEMENT_3D('',#68293,#56214,#56215);
#45867=AXIS2_PLACEMENT_3D('',#68295,#56217,#56218);
#45868=AXIS2_PLACEMENT_3D('',#68297,#56220,#56221);
#45869=AXIS2_PLACEMENT_3D('',#68299,#56223,#56224);
#45870=AXIS2_PLACEMENT_3D('',#68301,#56226,#56227);
#45871=AXIS2_PLACEMENT_3D('',#68303,#56229,#56230);
#45872=AXIS2_PLACEMENT_3D('',#68305,#56232,#56233);
#45873=AXIS2_PLACEMENT_3D('',#68307,#56235,#56236);
#45874=AXIS2_PLACEMENT_3D('',#68309,#56238,#56239);
#45875=AXIS2_PLACEMENT_3D('',#68311,#56241,#56242);
#45876=AXIS2_PLACEMENT_3D('',#68313,#56244,#56245);
#45877=AXIS2_PLACEMENT_3D('',#68314,#56246,#56247);
#45878=AXIS2_PLACEMENT_3D('',#68316,#56249,#56250);
#45879=AXIS2_PLACEMENT_3D('',#68318,#56252,#56253);
#45880=AXIS2_PLACEMENT_3D('',#68320,#56255,#56256);
#45881=AXIS2_PLACEMENT_3D('',#68321,#56257,#56258);
#45882=AXIS2_PLACEMENT_3D('',#68322,#56259,#56260);
#45883=AXIS2_PLACEMENT_3D('',#68324,#56262,#56263);
#45884=AXIS2_PLACEMENT_3D('',#68326,#56265,#56266);
#45885=AXIS2_PLACEMENT_3D('',#68327,#56267,#56268);
#45886=AXIS2_PLACEMENT_3D('',#68328,#56269,#56270);
#45887=AXIS2_PLACEMENT_3D('',#68330,#56272,#56273);
#45888=AXIS2_PLACEMENT_3D('',#68331,#56274,#56275);
#45889=AXIS2_PLACEMENT_3D('',#68333,#56277,#56278);
#45890=AXIS2_PLACEMENT_3D('',#68334,#56279,#56280);
#45891=AXIS2_PLACEMENT_3D('',#68336,#56282,#56283);
#45892=AXIS2_PLACEMENT_3D('',#68338,#56285,#56286);
#45893=AXIS2_PLACEMENT_3D('',#68339,#56287,#56288);
#45894=AXIS2_PLACEMENT_3D('',#68340,#56289,#56290);
#45895=AXIS2_PLACEMENT_3D('',#68342,#56292,#56293);
#45896=AXIS2_PLACEMENT_3D('',#68344,#56295,#56296);
#45897=AXIS2_PLACEMENT_3D('',#68345,#56297,#56298);
#45898=AXIS2_PLACEMENT_3D('',#68346,#56299,#56300);
#45899=AXIS2_PLACEMENT_3D('',#68348,#56302,#56303);
#45900=AXIS2_PLACEMENT_3D('',#68350,#56305,#56306);
#45901=AXIS2_PLACEMENT_3D('',#68351,#56307,#56308);
#45902=AXIS2_PLACEMENT_3D('',#68352,#56309,#56310);
#45903=AXIS2_PLACEMENT_3D('',#68361,#56315,#56316);
#45904=AXIS2_PLACEMENT_3D('',#68367,#56320,#56321);
#45905=AXIS2_PLACEMENT_3D('',#68371,#56323,#56324);
#45906=AXIS2_PLACEMENT_3D('',#68387,#56332,#56333);
#45907=AXIS2_PLACEMENT_3D('',#68395,#56337,#56338);
#45908=AXIS2_PLACEMENT_3D('',#68403,#56342,#56343);
#45909=AXIS2_PLACEMENT_3D('',#68411,#56347,#56348);
#45910=AXIS2_PLACEMENT_3D('',#68419,#56352,#56353);
#45911=AXIS2_PLACEMENT_3D('',#68421,#56355,#56356);
#45912=AXIS2_PLACEMENT_3D('',#68427,#56360,#56361);
#45913=AXIS2_PLACEMENT_3D('',#68433,#56365,#56366);
#45914=AXIS2_PLACEMENT_3D('',#68439,#56370,#56371);
#45915=AXIS2_PLACEMENT_3D('',#68445,#56375,#56376);
#45916=AXIS2_PLACEMENT_3D('',#68451,#56380,#56381);
#45917=AXIS2_PLACEMENT_3D('',#68457,#56385,#56386);
#45918=AXIS2_PLACEMENT_3D('',#68463,#56390,#56391);
#45919=AXIS2_PLACEMENT_3D('',#68469,#56395,#56396);
#45920=AXIS2_PLACEMENT_3D('',#68478,#56401,#56402);
#45921=AXIS2_PLACEMENT_3D('',#68482,#56404,#56405);
#45922=AXIS2_PLACEMENT_3D('',#68483,#56406,#56407);
#45923=AXIS2_PLACEMENT_3D('',#68484,#56408,#56409);
#45924=AXIS2_PLACEMENT_3D('',#68490,#56413,#56414);
#45925=AXIS2_PLACEMENT_3D('',#68493,#56416,#56417);
#45926=AXIS2_PLACEMENT_3D('',#68494,#56418,#56419);
#45927=AXIS2_PLACEMENT_3D('',#68495,#56420,#56421);
#45928=AXIS2_PLACEMENT_3D('',#68499,#56424,#56425);
#45929=AXIS2_PLACEMENT_3D('',#68509,#56431,#56432);
#45930=AXIS2_PLACEMENT_3D('',#68513,#56435,#56436);
#45931=AXIS2_PLACEMENT_3D('',#68533,#56446,#56447);
#45932=AXIS2_PLACEMENT_3D('',#68535,#56449,#56450);
#45933=AXIS2_PLACEMENT_3D('',#68541,#56454,#56455);
#45934=AXIS2_PLACEMENT_3D('',#68547,#56459,#56460);
#45935=AXIS2_PLACEMENT_3D('',#68551,#56463,#56464);
#45936=AXIS2_PLACEMENT_3D('',#68557,#56468,#56469);
#45937=AXIS2_PLACEMENT_3D('',#68561,#56471,#56472);
#45938=AXIS2_PLACEMENT_3D('',#68562,#56473,#56474);
#45939=AXIS2_PLACEMENT_3D('',#68563,#56475,#56476);
#45940=AXIS2_PLACEMENT_3D('',#68569,#56480,#56481);
#45941=AXIS2_PLACEMENT_3D('',#68572,#56483,#56484);
#45942=AXIS2_PLACEMENT_3D('',#68573,#56485,#56486);
#45943=AXIS2_PLACEMENT_3D('',#68574,#56487,#56488);
#45944=AXIS2_PLACEMENT_3D('',#68578,#56491,#56492);
#45945=AXIS2_PLACEMENT_3D('',#68584,#56496,#56497);
#45946=AXIS2_PLACEMENT_3D('',#68592,#56502,#56503);
#45947=AXIS2_PLACEMENT_3D('',#68596,#56506,#56507);
#45948=AXIS2_PLACEMENT_3D('',#68600,#56510,#56511);
#45949=AXIS2_PLACEMENT_3D('',#68604,#56514,#56515);
#45950=AXIS2_PLACEMENT_3D('',#68610,#56519,#56520);
#45951=AXIS2_PLACEMENT_3D('',#68612,#56522,#56523);
#45952=AXIS2_PLACEMENT_3D('',#68616,#56526,#56527);
#45953=AXIS2_PLACEMENT_3D('',#68622,#56531,#56532);
#45954=AXIS2_PLACEMENT_3D('',#68624,#56534,#56535);
#45955=AXIS2_PLACEMENT_3D('',#68628,#56538,#56539);
#45956=AXIS2_PLACEMENT_3D('',#68634,#56543,#56544);
#45957=AXIS2_PLACEMENT_3D('',#68636,#56546,#56547);
#45958=AXIS2_PLACEMENT_3D('',#68640,#56550,#56551);
#45959=AXIS2_PLACEMENT_3D('',#68646,#56555,#56556);
#45960=AXIS2_PLACEMENT_3D('',#68648,#56558,#56559);
#45961=AXIS2_PLACEMENT_3D('',#68652,#56562,#56563);
#45962=AXIS2_PLACEMENT_3D('',#68658,#56567,#56568);
#45963=AXIS2_PLACEMENT_3D('',#68660,#56570,#56571);
#45964=AXIS2_PLACEMENT_3D('',#68664,#56574,#56575);
#45965=AXIS2_PLACEMENT_3D('',#68668,#56578,#56579);
#45966=AXIS2_PLACEMENT_3D('',#68672,#56582,#56583);
#45967=AXIS2_PLACEMENT_3D('',#68676,#56586,#56587);
#45968=AXIS2_PLACEMENT_3D('',#68680,#56590,#56591);
#45969=AXIS2_PLACEMENT_3D('',#68684,#56594,#56595);
#45970=AXIS2_PLACEMENT_3D('',#68686,#56596,#56597);
#45971=AXIS2_PLACEMENT_3D('',#68688,#56599,#56600);
#45972=AXIS2_PLACEMENT_3D('',#68690,#56602,#56603);
#45973=AXIS2_PLACEMENT_3D('',#68692,#56604,#56605);
#45974=AXIS2_PLACEMENT_3D('',#68694,#56607,#56608);
#45975=AXIS2_PLACEMENT_3D('',#68696,#56610,#56611);
#45976=AXIS2_PLACEMENT_3D('',#68698,#56612,#56613);
#45977=AXIS2_PLACEMENT_3D('',#68700,#56615,#56616);
#45978=AXIS2_PLACEMENT_3D('',#68702,#56618,#56619);
#45979=AXIS2_PLACEMENT_3D('',#68704,#56620,#56621);
#45980=AXIS2_PLACEMENT_3D('',#68706,#56623,#56624);
#45981=AXIS2_PLACEMENT_3D('',#68708,#56626,#56627);
#45982=AXIS2_PLACEMENT_3D('',#68710,#56628,#56629);
#45983=AXIS2_PLACEMENT_3D('',#68712,#56631,#56632);
#45984=AXIS2_PLACEMENT_3D('',#68714,#56634,#56635);
#45985=AXIS2_PLACEMENT_3D('',#68715,#56636,#56637);
#45986=AXIS2_PLACEMENT_3D('',#68716,#56638,#56639);
#45987=AXIS2_PLACEMENT_3D('',#68730,#56647,#56648);
#45988=AXIS2_PLACEMENT_3D('',#68731,#56649,#56650);
#45989=AXIS2_PLACEMENT_3D('',#68732,#56651,#56652);
#45990=AXIS2_PLACEMENT_3D('',#68736,#56655,#56656);
#45991=AXIS2_PLACEMENT_3D('',#68738,#56658,#56659);
#45992=AXIS2_PLACEMENT_3D('',#68752,#56667,#56668);
#45993=AXIS2_PLACEMENT_3D('',#68754,#56669,#56670);
#45994=AXIS2_PLACEMENT_3D('',#68756,#56671,#56672);
#45995=AXIS2_PLACEMENT_3D('',#68758,#56673,#56674);
#45996=AXIS2_PLACEMENT_3D('',#68760,#56676,#56677);
#45997=AXIS2_PLACEMENT_3D('',#68762,#56678,#56679);
#45998=AXIS2_PLACEMENT_3D('',#68764,#56681,#56682);
#45999=AXIS2_PLACEMENT_3D('',#68768,#56684,#56685);
#46000=AXIS2_PLACEMENT_3D('',#68770,#56686,#56687);
#46001=AXIS2_PLACEMENT_3D('',#68771,#56688,#56689);
#46002=AXIS2_PLACEMENT_3D('',#68772,#56690,#56691);
#46003=AXIS2_PLACEMENT_3D('',#68775,#56693,#56694);
#46004=AXIS2_PLACEMENT_3D('',#68776,#56695,#56696);
#46005=AXIS2_PLACEMENT_3D('',#68778,#56697,#56698);
#46006=AXIS2_PLACEMENT_3D('',#68781,#56700,#56701);
#46007=AXIS2_PLACEMENT_3D('',#69433,#56751,#56752);
#46008=AXIS2_PLACEMENT_3D('',#69437,#56754,#56755);
#46009=AXIS2_PLACEMENT_3D('',#69441,#56757,#56758);
#46010=AXIS2_PLACEMENT_3D('',#69445,#56760,#56761);
#46011=AXIS2_PLACEMENT_3D('',#69449,#56763,#56764);
#46012=AXIS2_PLACEMENT_3D('',#69453,#56766,#56767);
#46013=AXIS2_PLACEMENT_3D('',#69457,#56769,#56770);
#46014=AXIS2_PLACEMENT_3D('',#69461,#56772,#56773);
#46015=AXIS2_PLACEMENT_3D('',#69465,#56775,#56776);
#46016=AXIS2_PLACEMENT_3D('',#69469,#56778,#56779);
#46017=AXIS2_PLACEMENT_3D('',#69473,#56781,#56782);
#46018=AXIS2_PLACEMENT_3D('',#69477,#56784,#56785);
#46019=AXIS2_PLACEMENT_3D('',#69481,#56787,#56788);
#46020=AXIS2_PLACEMENT_3D('',#69485,#56790,#56791);
#46021=AXIS2_PLACEMENT_3D('',#69489,#56793,#56794);
#46022=AXIS2_PLACEMENT_3D('',#69493,#56796,#56797);
#46023=AXIS2_PLACEMENT_3D('',#69497,#56799,#56800);
#46024=AXIS2_PLACEMENT_3D('',#69501,#56802,#56803);
#46025=AXIS2_PLACEMENT_3D('',#69505,#56805,#56806);
#46026=AXIS2_PLACEMENT_3D('',#69509,#56808,#56809);
#46027=AXIS2_PLACEMENT_3D('',#69513,#56811,#56812);
#46028=AXIS2_PLACEMENT_3D('',#69517,#56814,#56815);
#46029=AXIS2_PLACEMENT_3D('',#69521,#56817,#56818);
#46030=AXIS2_PLACEMENT_3D('',#69525,#56820,#56821);
#46031=AXIS2_PLACEMENT_3D('',#69527,#56823,#56824);
#46032=AXIS2_PLACEMENT_3D('',#69529,#56826,#56827);
#46033=AXIS2_PLACEMENT_3D('',#69532,#56829,#56830);
#46034=AXIS2_PLACEMENT_3D('',#69533,#56831,#56832);
#46035=AXIS2_PLACEMENT_3D('',#69535,#56833,#56834);
#46036=AXIS2_PLACEMENT_3D('',#69537,#56836,#56837);
#46037=AXIS2_PLACEMENT_3D('',#69539,#56839,#56840);
#46038=AXIS2_PLACEMENT_3D('',#69540,#56841,#56842);
#46039=AXIS2_PLACEMENT_3D('',#69546,#56846,#56847);
#46040=AXIS2_PLACEMENT_3D('',#69635,#56855,#56856);
#46041=AXIS2_PLACEMENT_3D('',#69724,#56864,#56865);
#46042=AXIS2_PLACEMENT_3D('',#69728,#56868,#56869);
#46043=AXIS2_PLACEMENT_3D('',#69732,#56872,#56873);
#46044=AXIS2_PLACEMENT_3D('',#69855,#56883,#56884);
#46045=AXIS2_PLACEMENT_3D('',#69910,#56890,#56891);
#46046=AXIS2_PLACEMENT_3D('',#69914,#56894,#56895);
#46047=AXIS2_PLACEMENT_3D('',#69918,#56898,#56899);
#46048=AXIS2_PLACEMENT_3D('',#69922,#56902,#56903);
#46049=AXIS2_PLACEMENT_3D('',#69924,#56905,#56906);
#46050=AXIS2_PLACEMENT_3D('',#70012,#56913,#56914);
#46051=AXIS2_PLACEMENT_3D('',#70016,#56917,#56918);
#46052=AXIS2_PLACEMENT_3D('',#70020,#56921,#56922);
#46053=AXIS2_PLACEMENT_3D('',#70092,#56929,#56930);
#46054=AXIS2_PLACEMENT_3D('',#70147,#56936,#56937);
#46055=AXIS2_PLACEMENT_3D('',#70202,#56943,#56944);
#46056=AXIS2_PLACEMENT_3D('',#70274,#56951,#56952);
#46057=AXIS2_PLACEMENT_3D('',#70278,#56955,#56956);
#46058=AXIS2_PLACEMENT_3D('',#70282,#56959,#56960);
#46059=AXIS2_PLACEMENT_3D('',#70437,#56971,#56972);
#46060=AXIS2_PLACEMENT_3D('',#70457,#56975,#56976);
#46061=AXIS2_PLACEMENT_3D('',#70461,#56979,#56980);
#46062=AXIS2_PLACEMENT_3D('',#70516,#56986,#56987);
#46063=AXIS2_PLACEMENT_3D('',#70520,#56990,#56991);
#46064=AXIS2_PLACEMENT_3D('',#70524,#56994,#56995);
#46065=AXIS2_PLACEMENT_3D('',#70528,#56998,#56999);
#46066=AXIS2_PLACEMENT_3D('',#70530,#57001,#57002);
#46067=AXIS2_PLACEMENT_3D('',#70669,#57012,#57013);
#46068=AXIS2_PLACEMENT_3D('',#70673,#57016,#57017);
#46069=AXIS2_PLACEMENT_3D('',#70677,#57020,#57021);
#46070=AXIS2_PLACEMENT_3D('',#70783,#57030,#57031);
#46071=AXIS2_PLACEMENT_3D('',#70787,#57034,#57035);
#46072=AXIS2_PLACEMENT_3D('',#70791,#57038,#57039);
#46073=AXIS2_PLACEMENT_3D('',#70795,#57042,#57043);
#46074=AXIS2_PLACEMENT_3D('',#70797,#57045,#57046);
#46075=AXIS2_PLACEMENT_3D('',#70798,#57047,#57048);
#46076=AXIS2_PLACEMENT_3D('',#70807,#57053,#57054);
#46077=AXIS2_PLACEMENT_3D('',#70813,#57058,#57059);
#46078=AXIS2_PLACEMENT_3D('',#70816,#57062,#57063);
#46079=AXIS2_PLACEMENT_3D('',#70822,#57067,#57068);
#46080=AXIS2_PLACEMENT_3D('',#70826,#57071,#57072);
#46081=AXIS2_PLACEMENT_3D('',#70830,#57075,#57076);
#46082=AXIS2_PLACEMENT_3D('',#70834,#57079,#57080);
#46083=AXIS2_PLACEMENT_3D('',#70838,#57083,#57084);
#46084=AXIS2_PLACEMENT_3D('',#70842,#57087,#57088);
#46085=AXIS2_PLACEMENT_3D('',#70846,#57091,#57092);
#46086=AXIS2_PLACEMENT_3D('',#70850,#57095,#57096);
#46087=AXIS2_PLACEMENT_3D('',#70854,#57099,#57100);
#46088=AXIS2_PLACEMENT_3D('',#70858,#57103,#57104);
#46089=AXIS2_PLACEMENT_3D('',#70860,#57106,#57107);
#46090=AXIS2_PLACEMENT_3D('',#70956,#57113,#57114);
#46091=AXIS2_PLACEMENT_3D('',#71054,#57122,#57123);
#46092=AXIS2_PLACEMENT_3D('',#71161,#57133,#57134);
#46093=AXIS2_PLACEMENT_3D('',#71301,#57145,#57146);
#46094=AXIS2_PLACEMENT_3D('',#71390,#57154,#57155);
#46095=AXIS2_PLACEMENT_3D('',#71496,#57164,#57165);
#46096=AXIS2_PLACEMENT_3D('',#71515,#57168,#57169);
#46097=AXIS2_PLACEMENT_3D('',#71516,#57170,#57171);
#46098=AXIS2_PLACEMENT_3D('',#71517,#57172,#57173);
#46099=AXIS2_PLACEMENT_3D('',#71519,#57174,#57175);
#46100=AXIS2_PLACEMENT_3D('',#71520,#57176,#57177);
#46101=AXIS2_PLACEMENT_3D('',#71522,#57178,#57179);
#46102=AXIS2_PLACEMENT_3D('',#71523,#57180,#57181);
#46103=AXIS2_PLACEMENT_3D('',#71525,#57182,#57183);
#46104=AXIS2_PLACEMENT_3D('',#71526,#57184,#57185);
#46105=AXIS2_PLACEMENT_3D('',#71528,#57186,#57187);
#46106=AXIS2_PLACEMENT_3D('',#71529,#57188,#57189);
#46107=AXIS2_PLACEMENT_3D('',#71531,#57190,#57191);
#46108=AXIS2_PLACEMENT_3D('',#71532,#57192,#57193);
#46109=AXIS2_PLACEMENT_3D('',#71534,#57194,#57195);
#46110=AXIS2_PLACEMENT_3D('',#71535,#57196,#57197);
#46111=AXIS2_PLACEMENT_3D('',#71540,#57199,#57200);
#46112=AXIS2_PLACEMENT_3D('',#71543,#57202,#57203);
#46113=AXIS2_PLACEMENT_3D('',#71544,#57204,#57205);
#46114=AXIS2_PLACEMENT_3D('',#71548,#57207,#57208);
#46115=AXIS2_PLACEMENT_3D('',#71550,#57210,#57211);
#46116=AXIS2_PLACEMENT_3D('',#71554,#57213,#57214);
#46117=AXIS2_PLACEMENT_3D('',#71556,#57216,#57217);
#46118=AXIS2_PLACEMENT_3D('',#71560,#57219,#57220);
#46119=AXIS2_PLACEMENT_3D('',#71566,#57223,#57224);
#46120=AXIS2_PLACEMENT_3D('',#71568,#57225,#57226);
#46121=AXIS2_PLACEMENT_3D('',#71569,#57227,#57228);
#46122=AXIS2_PLACEMENT_3D('',#71571,#57229,#57230);
#46123=AXIS2_PLACEMENT_3D('',#71573,#57231,#57232);
#46124=AXIS2_PLACEMENT_3D('',#71575,#57233,#57234);
#46125=AXIS2_PLACEMENT_3D('',#71581,#57237,#57238);
#46126=AXIS2_PLACEMENT_3D('',#71609,#57252,#57253);
#46127=AXIS2_PLACEMENT_3D('',#71613,#57255,#57256);
#46128=AXIS2_PLACEMENT_3D('',#71617,#57258,#57259);
#46129=AXIS2_PLACEMENT_3D('',#71621,#57261,#57262);
#46130=AXIS2_PLACEMENT_3D('',#71625,#57264,#57265);
#46131=AXIS2_PLACEMENT_3D('',#71629,#57267,#57268);
#46132=AXIS2_PLACEMENT_3D('',#71633,#57270,#57271);
#46133=AXIS2_PLACEMENT_3D('',#71637,#57273,#57274);
#46134=AXIS2_PLACEMENT_3D('',#71641,#57276,#57277);
#46135=AXIS2_PLACEMENT_3D('',#71645,#57279,#57280);
#46136=AXIS2_PLACEMENT_3D('',#71649,#57282,#57283);
#46137=AXIS2_PLACEMENT_3D('',#71653,#57285,#57286);
#46138=AXIS2_PLACEMENT_3D('',#71657,#57288,#57289);
#46139=AXIS2_PLACEMENT_3D('',#71661,#57291,#57292);
#46140=AXIS2_PLACEMENT_3D('',#71665,#57294,#57295);
#46141=AXIS2_PLACEMENT_3D('',#71669,#57297,#57298);
#46142=AXIS2_PLACEMENT_3D('',#71673,#57300,#57301);
#46143=AXIS2_PLACEMENT_3D('',#71677,#57303,#57304);
#46144=AXIS2_PLACEMENT_3D('',#71681,#57306,#57307);
#46145=AXIS2_PLACEMENT_3D('',#71685,#57309,#57310);
#46146=AXIS2_PLACEMENT_3D('',#71689,#57312,#57313);
#46147=AXIS2_PLACEMENT_3D('',#71693,#57315,#57316);
#46148=AXIS2_PLACEMENT_3D('',#71697,#57318,#57319);
#46149=AXIS2_PLACEMENT_3D('',#71701,#57321,#57322);
#46150=AXIS2_PLACEMENT_3D('',#71720,#57333,#57334);
#46151=AXIS2_PLACEMENT_3D('',#71722,#57335,#57336);
#46152=AXIS2_PLACEMENT_3D('',#71725,#57338,#57339);
#46153=AXIS2_PLACEMENT_3D('',#71726,#57340,#57341);
#46154=AXIS2_PLACEMENT_3D('',#71730,#57343,#57344);
#46155=AXIS2_PLACEMENT_3D('',#71731,#57345,#57346);
#46156=AXIS2_PLACEMENT_3D('',#71732,#57347,#57348);
#46157=AXIS2_PLACEMENT_3D('',#71734,#57350,#57351);
#46158=AXIS2_PLACEMENT_3D('',#71736,#57352,#57353);
#46159=AXIS2_PLACEMENT_3D('',#71739,#57355,#57356);
#46160=AXIS2_PLACEMENT_3D('',#71740,#57357,#57358);
#46161=AXIS2_PLACEMENT_3D('',#71744,#57360,#57361);
#46162=AXIS2_PLACEMENT_3D('',#71745,#57362,#57363);
#46163=AXIS2_PLACEMENT_3D('',#71746,#57364,#57365);
#46164=AXIS2_PLACEMENT_3D('',#71748,#57367,#57368);
#46165=AXIS2_PLACEMENT_3D('',#71750,#57369,#57370);
#46166=AXIS2_PLACEMENT_3D('',#71753,#57372,#57373);
#46167=AXIS2_PLACEMENT_3D('',#71754,#57374,#57375);
#46168=AXIS2_PLACEMENT_3D('',#71758,#57377,#57378);
#46169=AXIS2_PLACEMENT_3D('',#71759,#57379,#57380);
#46170=AXIS2_PLACEMENT_3D('',#71760,#57381,#57382);
#46171=AXIS2_PLACEMENT_3D('',#71762,#57384,#57385);
#46172=AXIS2_PLACEMENT_3D('',#71764,#57386,#57387);
#46173=AXIS2_PLACEMENT_3D('',#71767,#57389,#57390);
#46174=AXIS2_PLACEMENT_3D('',#71768,#57391,#57392);
#46175=AXIS2_PLACEMENT_3D('',#71772,#57394,#57395);
#46176=AXIS2_PLACEMENT_3D('',#71773,#57396,#57397);
#46177=AXIS2_PLACEMENT_3D('',#71774,#57398,#57399);
#46178=AXIS2_PLACEMENT_3D('',#71776,#57401,#57402);
#46179=AXIS2_PLACEMENT_3D('',#71778,#57403,#57404);
#46180=AXIS2_PLACEMENT_3D('',#71781,#57406,#57407);
#46181=AXIS2_PLACEMENT_3D('',#71782,#57408,#57409);
#46182=AXIS2_PLACEMENT_3D('',#71786,#57411,#57412);
#46183=AXIS2_PLACEMENT_3D('',#71787,#57413,#57414);
#46184=AXIS2_PLACEMENT_3D('',#71788,#57415,#57416);
#46185=AXIS2_PLACEMENT_3D('',#71790,#57418,#57419);
#46186=AXIS2_PLACEMENT_3D('',#71792,#57420,#57421);
#46187=AXIS2_PLACEMENT_3D('',#71794,#57423,#57424);
#46188=AXIS2_PLACEMENT_3D('',#71800,#57428,#57429);
#46189=AXIS2_PLACEMENT_3D('',#71804,#57432,#57433);
#46190=AXIS2_PLACEMENT_3D('',#71808,#57436,#57437);
#46191=AXIS2_PLACEMENT_3D('',#71810,#57439,#57440);
#46192=AXIS2_PLACEMENT_3D('',#71811,#57441,#57442);
#46193=AXIS2_PLACEMENT_3D('',#71813,#57443,#57444);
#46194=AXIS2_PLACEMENT_3D('',#71815,#57446,#57447);
#46195=AXIS2_PLACEMENT_3D('',#71821,#57451,#57452);
#46196=AXIS2_PLACEMENT_3D('',#71825,#57455,#57456);
#46197=AXIS2_PLACEMENT_3D('',#71829,#57459,#57460);
#46198=AXIS2_PLACEMENT_3D('',#71831,#57462,#57463);
#46199=AXIS2_PLACEMENT_3D('',#71832,#57464,#57465);
#46200=AXIS2_PLACEMENT_3D('',#71834,#57466,#57467);
#46201=AXIS2_PLACEMENT_3D('',#71836,#57469,#57470);
#46202=AXIS2_PLACEMENT_3D('',#71842,#57474,#57475);
#46203=AXIS2_PLACEMENT_3D('',#71846,#57478,#57479);
#46204=AXIS2_PLACEMENT_3D('',#71850,#57482,#57483);
#46205=AXIS2_PLACEMENT_3D('',#71852,#57485,#57486);
#46206=AXIS2_PLACEMENT_3D('',#71853,#57487,#57488);
#46207=AXIS2_PLACEMENT_3D('',#71855,#57489,#57490);
#46208=AXIS2_PLACEMENT_3D('',#71857,#57492,#57493);
#46209=AXIS2_PLACEMENT_3D('',#71863,#57497,#57498);
#46210=AXIS2_PLACEMENT_3D('',#71867,#57501,#57502);
#46211=AXIS2_PLACEMENT_3D('',#71871,#57505,#57506);
#46212=AXIS2_PLACEMENT_3D('',#71873,#57508,#57509);
#46213=AXIS2_PLACEMENT_3D('',#71874,#57510,#57511);
#46214=AXIS2_PLACEMENT_3D('',#71876,#57512,#57513);
#46215=AXIS2_PLACEMENT_3D('',#71878,#57515,#57516);
#46216=AXIS2_PLACEMENT_3D('',#71884,#57520,#57521);
#46217=AXIS2_PLACEMENT_3D('',#71888,#57524,#57525);
#46218=AXIS2_PLACEMENT_3D('',#71892,#57528,#57529);
#46219=AXIS2_PLACEMENT_3D('',#71894,#57531,#57532);
#46220=AXIS2_PLACEMENT_3D('',#71895,#57533,#57534);
#46221=AXIS2_PLACEMENT_3D('',#71897,#57535,#57536);
#46222=AXIS2_PLACEMENT_3D('',#71899,#57538,#57539);
#46223=AXIS2_PLACEMENT_3D('',#71905,#57543,#57544);
#46224=AXIS2_PLACEMENT_3D('',#71909,#57547,#57548);
#46225=AXIS2_PLACEMENT_3D('',#71913,#57551,#57552);
#46226=AXIS2_PLACEMENT_3D('',#71915,#57554,#57555);
#46227=AXIS2_PLACEMENT_3D('',#71916,#57556,#57557);
#46228=AXIS2_PLACEMENT_3D('',#71920,#57560,#57561);
#46229=AXIS2_PLACEMENT_3D('',#71922,#57562,#57563);
#46230=AXIS2_PLACEMENT_3D('',#71924,#57565,#57566);
#46231=AXIS2_PLACEMENT_3D('',#71926,#57567,#57568);
#46232=AXIS2_PLACEMENT_3D('',#71929,#57570,#57571);
#46233=AXIS2_PLACEMENT_3D('',#71930,#57572,#57573);
#46234=AXIS2_PLACEMENT_3D('',#71934,#57576,#57577);
#46235=AXIS2_PLACEMENT_3D('',#71936,#57579,#57580);
#46236=AXIS2_PLACEMENT_3D('',#71939,#57581,#57582);
#46237=AXIS2_PLACEMENT_3D('',#71942,#57585,#57586);
#46238=AXIS2_PLACEMENT_3D('',#71944,#57587,#57588);
#46239=AXIS2_PLACEMENT_3D('',#71946,#57590,#57591);
#46240=AXIS2_PLACEMENT_3D('',#71948,#57592,#57593);
#46241=AXIS2_PLACEMENT_3D('',#71951,#57595,#57596);
#46242=AXIS2_PLACEMENT_3D('',#71952,#57597,#57598);
#46243=AXIS2_PLACEMENT_3D('',#71953,#57599,#57600);
#46244=AXIS2_PLACEMENT_3D('',#71954,#57601,#57602);
#46245=AXIS2_PLACEMENT_3D('',#71956,#57604,#57605);
#46246=AXIS2_PLACEMENT_3D('',#71957,#57606,#57607);
#46247=AXIS2_PLACEMENT_3D('',#71958,#57608,#57609);
#46248=AXIS2_PLACEMENT_3D('',#71964,#57613,#57614);
#46249=AXIS2_PLACEMENT_3D('',#71966,#57615,#57616);
#46250=AXIS2_PLACEMENT_3D('',#71968,#57618,#57619);
#46251=AXIS2_PLACEMENT_3D('',#71970,#57620,#57621);
#46252=AXIS2_PLACEMENT_3D('',#71973,#57623,#57624);
#46253=AXIS2_PLACEMENT_3D('',#71974,#57625,#57626);
#46254=AXIS2_PLACEMENT_3D('',#71976,#57628,#57629);
#46255=AXIS2_PLACEMENT_3D('',#71978,#57631,#57632);
#46256=AXIS2_PLACEMENT_3D('',#71982,#57635,#57636);
#46257=AXIS2_PLACEMENT_3D('',#71983,#57637,#57638);
#46258=AXIS2_PLACEMENT_3D('',#71985,#57639,#57640);
#46259=AXIS2_PLACEMENT_3D('',#71987,#57642,#57643);
#46260=AXIS2_PLACEMENT_3D('',#71989,#57644,#57645);
#46261=AXIS2_PLACEMENT_3D('',#71991,#57647,#57648);
#46262=AXIS2_PLACEMENT_3D('',#71993,#57649,#57650);
#46263=AXIS2_PLACEMENT_3D('',#71996,#57652,#57653);
#46264=AXIS2_PLACEMENT_3D('',#71997,#57654,#57655);
#46265=AXIS2_PLACEMENT_3D('',#71998,#57656,#57657);
#46266=AXIS2_PLACEMENT_3D('',#71999,#57658,#57659);
#46267=AXIS2_PLACEMENT_3D('',#72000,#57660,#57661);
#46268=AXIS2_PLACEMENT_3D('',#72001,#57662,#57663);
#46269=AXIS2_PLACEMENT_3D('',#72004,#57666,#57667);
#46270=AXIS2_PLACEMENT_3D('',#72006,#57669,#57670);
#46271=AXIS2_PLACEMENT_3D('',#72008,#57672,#57673);
#46272=AXIS2_PLACEMENT_3D('',#72010,#57675,#57676);
#46273=AXIS2_PLACEMENT_3D('',#72012,#57678,#57679);
#46274=AXIS2_PLACEMENT_3D('',#72014,#57681,#57682);
#46275=AXIS2_PLACEMENT_3D('',#72016,#57684,#57685);
#46276=AXIS2_PLACEMENT_3D('',#72017,#57686,#57687);
#46277=AXIS2_PLACEMENT_3D('',#72020,#57690,#57691);
#46278=AXIS2_PLACEMENT_3D('',#72022,#57693,#57694);
#46279=AXIS2_PLACEMENT_3D('',#72024,#57696,#57697);
#46280=AXIS2_PLACEMENT_3D('',#72026,#57699,#57700);
#46281=AXIS2_PLACEMENT_3D('',#72028,#57702,#57703);
#46282=AXIS2_PLACEMENT_3D('',#72030,#57705,#57706);
#46283=AXIS2_PLACEMENT_3D('',#72032,#57708,#57709);
#46284=AXIS2_PLACEMENT_3D('',#72033,#57710,#57711);
#46285=AXIS2_PLACEMENT_3D('',#72036,#57714,#57715);
#46286=AXIS2_PLACEMENT_3D('',#72038,#57717,#57718);
#46287=AXIS2_PLACEMENT_3D('',#72040,#57720,#57721);
#46288=AXIS2_PLACEMENT_3D('',#72042,#57723,#57724);
#46289=AXIS2_PLACEMENT_3D('',#72044,#57726,#57727);
#46290=AXIS2_PLACEMENT_3D('',#72046,#57729,#57730);
#46291=AXIS2_PLACEMENT_3D('',#72048,#57732,#57733);
#46292=AXIS2_PLACEMENT_3D('',#72049,#57734,#57735);
#46293=AXIS2_PLACEMENT_3D('',#72052,#57738,#57739);
#46294=AXIS2_PLACEMENT_3D('',#72054,#57741,#57742);
#46295=AXIS2_PLACEMENT_3D('',#72056,#57744,#57745);
#46296=AXIS2_PLACEMENT_3D('',#72058,#57747,#57748);
#46297=AXIS2_PLACEMENT_3D('',#72060,#57750,#57751);
#46298=AXIS2_PLACEMENT_3D('',#72062,#57753,#57754);
#46299=AXIS2_PLACEMENT_3D('',#72064,#57756,#57757);
#46300=AXIS2_PLACEMENT_3D('',#72065,#57758,#57759);
#46301=AXIS2_PLACEMENT_3D('',#72068,#57762,#57763);
#46302=AXIS2_PLACEMENT_3D('',#72070,#57765,#57766);
#46303=AXIS2_PLACEMENT_3D('',#72072,#57768,#57769);
#46304=AXIS2_PLACEMENT_3D('',#72074,#57771,#57772);
#46305=AXIS2_PLACEMENT_3D('',#72076,#57774,#57775);
#46306=AXIS2_PLACEMENT_3D('',#72078,#57777,#57778);
#46307=AXIS2_PLACEMENT_3D('',#72080,#57780,#57781);
#46308=AXIS2_PLACEMENT_3D('',#72081,#57782,#57783);
#46309=AXIS2_PLACEMENT_3D('',#72084,#57786,#57787);
#46310=AXIS2_PLACEMENT_3D('',#72086,#57789,#57790);
#46311=AXIS2_PLACEMENT_3D('',#72088,#57792,#57793);
#46312=AXIS2_PLACEMENT_3D('',#72090,#57795,#57796);
#46313=AXIS2_PLACEMENT_3D('',#72092,#57798,#57799);
#46314=AXIS2_PLACEMENT_3D('',#72094,#57801,#57802);
#46315=AXIS2_PLACEMENT_3D('',#72096,#57804,#57805);
#46316=AXIS2_PLACEMENT_3D('',#72097,#57806,#57807);
#46317=AXIS2_PLACEMENT_3D('',#72098,#57808,#57809);
#46318=AXIS2_PLACEMENT_3D('',#72099,#57810,#57811);
#46319=AXIS2_PLACEMENT_3D('',#72100,#57812,#57813);
#46320=AXIS2_PLACEMENT_3D('',#72101,#57814,#57815);
#46321=AXIS2_PLACEMENT_3D('',#72102,#57816,#57817);
#46322=AXIS2_PLACEMENT_3D('',#72103,#57818,#57819);
#46323=AXIS2_PLACEMENT_3D('',#72104,#57820,#57821);
#46324=AXIS2_PLACEMENT_3D('',#72105,#57822,#57823);
#46325=AXIS2_PLACEMENT_3D('',#72106,#57824,#57825);
#46326=AXIS2_PLACEMENT_3D('',#72107,#57826,#57827);
#46327=AXIS2_PLACEMENT_3D('',#72108,#57828,#57829);
#46328=AXIS2_PLACEMENT_3D('',#72109,#57830,#57831);
#46329=DIRECTION('axis',(0.,0.,1.));
#46330=DIRECTION('refdir',(1.,0.,0.));
#46331=DIRECTION('axis',(0.,0.,1.));
#46332=DIRECTION('refdir',(1.,0.,0.));
#46333=DIRECTION('axis',(0.,0.,1.));
#46334=DIRECTION('refdir',(1.,0.,0.));
#46335=DIRECTION('axis',(0.,0.,1.));
#46336=DIRECTION('refdir',(1.,0.,0.));
#46337=DIRECTION('center_axis',(1.,0.,0.));
#46338=DIRECTION('ref_axis',(0.,0.,1.));
#46339=DIRECTION('',(0.,0.,1.));
#46340=DIRECTION('',(0.,-1.,0.));
#46341=DIRECTION('',(0.,0.,1.));
#46342=DIRECTION('',(0.,-1.,0.));
#46343=DIRECTION('center_axis',(0.,0.,-1.));
#46344=DIRECTION('ref_axis',(1.,0.,0.));
#46345=DIRECTION('',(1.,0.,0.));
#46346=DIRECTION('',(1.,0.,0.));
#46347=DIRECTION('',(0.,-1.,0.));
#46348=DIRECTION('center_axis',(-1.,0.,0.));
#46349=DIRECTION('ref_axis',(0.,0.,-1.));
#46350=DIRECTION('',(0.,0.,-1.));
#46351=DIRECTION('',(0.,0.,-1.));
#46352=DIRECTION('',(0.,-1.,0.));
#46353=DIRECTION('center_axis',(0.,0.,1.));
#46354=DIRECTION('ref_axis',(-1.,0.,0.));
#46355=DIRECTION('',(-1.,0.,0.));
#46356=DIRECTION('',(-1.,0.,0.));
#46357=DIRECTION('center_axis',(0.,1.,0.));
#46358=DIRECTION('ref_axis',(1.,0.,0.));
#46359=DIRECTION('center_axis',(0.,-1.,0.));
#46360=DIRECTION('ref_axis',(0.,0.,-1.));
#46361=DIRECTION('center_axis',(-1.,0.,0.));
#46362=DIRECTION('ref_axis',(0.,0.,1.));
#46363=DIRECTION('',(0.,0.,-1.));
#46364=DIRECTION('',(0.,1.,0.));
#46365=DIRECTION('',(0.,0.,1.));
#46366=DIRECTION('',(0.,1.,0.));
#46367=DIRECTION('center_axis',(0.,0.,1.));
#46368=DIRECTION('ref_axis',(1.,0.,0.));
#46369=DIRECTION('',(-1.,0.,0.));
#46370=DIRECTION('',(0.,1.,0.));
#46371=DIRECTION('',(1.,0.,0.));
#46372=DIRECTION('center_axis',(0.,0.,-1.));
#46373=DIRECTION('ref_axis',(-1.,0.,0.));
#46374=DIRECTION('',(1.,0.,0.));
#46375=DIRECTION('',(-1.,0.,0.));
#46376=DIRECTION('',(0.,1.,0.));
#46377=DIRECTION('center_axis',(1.,0.,0.));
#46378=DIRECTION('ref_axis',(0.,0.,-1.));
#46379=DIRECTION('',(0.,0.,1.));
#46380=DIRECTION('',(0.,0.,-1.));
#46381=DIRECTION('center_axis',(0.,1.,0.));
#46382=DIRECTION('ref_axis',(0.,0.,1.));
#46383=DIRECTION('center_axis',(-1.,0.,0.));
#46384=DIRECTION('ref_axis',(0.,0.,1.));
#46385=DIRECTION('',(0.,0.,-1.));
#46386=DIRECTION('',(0.,1.,0.));
#46387=DIRECTION('',(0.,0.,1.));
#46388=DIRECTION('',(0.,1.,0.));
#46389=DIRECTION('center_axis',(0.,0.,-1.));
#46390=DIRECTION('ref_axis',(-1.,0.,0.));
#46391=DIRECTION('',(1.,0.,0.));
#46392=DIRECTION('',(-1.,0.,0.));
#46393=DIRECTION('',(0.,1.,0.));
#46394=DIRECTION('center_axis',(1.,0.,0.));
#46395=DIRECTION('ref_axis',(0.,0.,-1.));
#46396=DIRECTION('',(0.,0.,1.));
#46397=DIRECTION('',(0.,0.,-1.));
#46398=DIRECTION('',(0.,1.,0.));
#46399=DIRECTION('center_axis',(0.,0.,1.));
#46400=DIRECTION('ref_axis',(1.,0.,0.));
#46401=DIRECTION('',(-1.,0.,0.));
#46402=DIRECTION('',(1.,0.,0.));
#46403=DIRECTION('center_axis',(0.,1.,0.));
#46404=DIRECTION('ref_axis',(0.,0.,1.));
#46405=DIRECTION('center_axis',(0.,1.,0.));
#46406=DIRECTION('ref_axis',(1.,0.,0.));
#46407=DIRECTION('center_axis',(1.353930517836E-16,0.,-1.));
#46408=DIRECTION('ref_axis',(1.,0.,1.353930517836E-16));
#46409=DIRECTION('',(-1.,0.,-1.353930517836E-16));
#46410=DIRECTION('',(0.,-1.,0.));
#46411=DIRECTION('',(1.,0.,1.353930517836E-16));
#46412=DIRECTION('',(0.,-1.,0.));
#46413=DIRECTION('center_axis',(-1.,0.,0.));
#46414=DIRECTION('ref_axis',(0.,0.,-1.));
#46415=DIRECTION('',(0.,0.,1.));
#46416=DIRECTION('',(0.,0.,-1.));
#46417=DIRECTION('',(0.,-1.,0.));
#46418=DIRECTION('center_axis',(-1.353930517836E-16,0.,1.));
#46419=DIRECTION('ref_axis',(-1.,0.,-1.353930517836E-16));
#46420=DIRECTION('',(-1.,0.,-1.353930517836E-16));
#46421=DIRECTION('',(-1.,0.,-1.353930517836E-16));
#46422=DIRECTION('',(0.,-1.,0.));
#46423=DIRECTION('center_axis',(1.,0.,0.));
#46424=DIRECTION('ref_axis',(0.,0.,1.));
#46425=DIRECTION('',(0.,0.,-1.));
#46426=DIRECTION('',(0.,0.,1.));
#46427=DIRECTION('center_axis',(0.,-1.,0.));
#46428=DIRECTION('ref_axis',(0.,0.,-1.));
#46429=DIRECTION('center_axis',(0.,-1.,0.));
#46430=DIRECTION('ref_axis',(1.,0.,0.));
#46431=DIRECTION('center_axis',(1.353930517836E-16,0.,1.));
#46432=DIRECTION('ref_axis',(-1.,0.,1.353930517836E-16));
#46433=DIRECTION('',(1.,0.,-1.353930517836E-16));
#46434=DIRECTION('',(0.,-1.,0.));
#46435=DIRECTION('',(-1.,0.,1.353930517836E-16));
#46436=DIRECTION('',(0.,-1.,0.));
#46437=DIRECTION('center_axis',(1.,0.,0.));
#46438=DIRECTION('ref_axis',(0.,0.,1.));
#46439=DIRECTION('',(0.,0.,1.));
#46440=DIRECTION('',(0.,0.,1.));
#46441=DIRECTION('',(0.,-1.,0.));
#46442=DIRECTION('center_axis',(0.,0.,-1.));
#46443=DIRECTION('ref_axis',(1.,0.,0.));
#46444=DIRECTION('',(1.,0.,0.));
#46445=DIRECTION('',(1.,0.,0.));
#46446=DIRECTION('',(0.,-1.,0.));
#46447=DIRECTION('center_axis',(-1.,0.,0.));
#46448=DIRECTION('ref_axis',(0.,0.,-1.));
#46449=DIRECTION('',(0.,0.,-1.));
#46450=DIRECTION('',(0.,0.,-1.));
#46451=DIRECTION('center_axis',(0.,-1.,0.));
#46452=DIRECTION('ref_axis',(0.,0.,-1.));
#46453=DIRECTION('center_axis',(0.,-1.,0.));
#46454=DIRECTION('ref_axis',(1.,0.,0.));
#46455=DIRECTION('center_axis',(1.015447888377E-16,0.,-1.));
#46456=DIRECTION('ref_axis',(1.,0.,1.015447888377E-16));
#46457=DIRECTION('',(-1.,0.,-1.015447888377E-16));
#46458=DIRECTION('',(0.,-1.,0.));
#46459=DIRECTION('',(1.,0.,1.015447888377E-16));
#46460=DIRECTION('',(0.,-1.,0.));
#46461=DIRECTION('center_axis',(-1.,0.,0.));
#46462=DIRECTION('ref_axis',(0.,0.,-1.));
#46463=DIRECTION('',(0.,0.,1.));
#46464=DIRECTION('',(0.,0.,-1.));
#46465=DIRECTION('',(0.,-1.,0.));
#46466=DIRECTION('center_axis',(-1.015447888377E-16,0.,1.));
#46467=DIRECTION('ref_axis',(-1.,0.,-1.015447888377E-16));
#46468=DIRECTION('',(-1.,0.,-1.015447888377E-16));
#46469=DIRECTION('',(-1.,0.,-1.015447888377E-16));
#46470=DIRECTION('',(0.,-1.,0.));
#46471=DIRECTION('center_axis',(1.,0.,0.));
#46472=DIRECTION('ref_axis',(0.,0.,1.));
#46473=DIRECTION('',(0.,0.,-1.));
#46474=DIRECTION('',(0.,0.,1.));
#46475=DIRECTION('center_axis',(0.,-1.,0.));
#46476=DIRECTION('ref_axis',(0.,0.,-1.));
#46477=DIRECTION('center_axis',(0.,-1.,0.));
#46478=DIRECTION('ref_axis',(1.,0.,0.));
#46479=DIRECTION('center_axis',(-1.,0.,0.));
#46480=DIRECTION('ref_axis',(0.,0.,-1.));
#46481=DIRECTION('',(0.,0.,-1.));
#46482=DIRECTION('',(0.,-1.,0.));
#46483=DIRECTION('',(0.,0.,-1.));
#46484=DIRECTION('',(0.,-1.,0.));
#46485=DIRECTION('center_axis',(1.353930517836E-16,0.,1.));
#46486=DIRECTION('ref_axis',(-1.,0.,1.353930517836E-16));
#46487=DIRECTION('',(1.,0.,-1.353930517836E-16));
#46488=DIRECTION('',(-1.,0.,1.353930517836E-16));
#46489=DIRECTION('',(0.,-1.,0.));
#46490=DIRECTION('center_axis',(1.,0.,0.));
#46491=DIRECTION('ref_axis',(0.,0.,1.));
#46492=DIRECTION('',(0.,0.,1.));
#46493=DIRECTION('',(0.,0.,1.));
#46494=DIRECTION('',(0.,-1.,0.));
#46495=DIRECTION('center_axis',(0.,0.,-1.));
#46496=DIRECTION('ref_axis',(1.,0.,0.));
#46497=DIRECTION('',(1.,0.,0.));
#46498=DIRECTION('',(1.,0.,0.));
#46499=DIRECTION('center_axis',(0.,-1.,0.));
#46500=DIRECTION('ref_axis',(0.,0.,-1.));
#46501=DIRECTION('center_axis',(0.,-1.,0.));
#46502=DIRECTION('ref_axis',(1.,0.,0.));
#46503=DIRECTION('center_axis',(-1.,0.,0.));
#46504=DIRECTION('ref_axis',(0.,0.,-1.));
#46505=DIRECTION('',(0.,0.,-1.));
#46506=DIRECTION('',(0.,-1.,0.));
#46507=DIRECTION('',(0.,0.,-1.));
#46508=DIRECTION('',(0.,-1.,0.));
#46509=DIRECTION('center_axis',(1.353930517836E-16,0.,1.));
#46510=DIRECTION('ref_axis',(-1.,0.,1.353930517836E-16));
#46511=DIRECTION('',(1.,0.,-1.353930517836E-16));
#46512=DIRECTION('',(-1.,0.,1.353930517836E-16));
#46513=DIRECTION('',(0.,-1.,0.));
#46514=DIRECTION('center_axis',(1.,0.,0.));
#46515=DIRECTION('ref_axis',(0.,0.,1.));
#46516=DIRECTION('',(0.,0.,1.));
#46517=DIRECTION('',(0.,0.,1.));
#46518=DIRECTION('',(0.,-1.,0.));
#46519=DIRECTION('center_axis',(0.,0.,-1.));
#46520=DIRECTION('ref_axis',(1.,0.,0.));
#46521=DIRECTION('',(1.,0.,0.));
#46522=DIRECTION('',(1.,0.,0.));
#46523=DIRECTION('center_axis',(0.,-1.,0.));
#46524=DIRECTION('ref_axis',(0.,0.,-1.));
#46525=DIRECTION('center_axis',(0.,-1.,0.));
#46526=DIRECTION('ref_axis',(1.,0.,0.));
#46527=DIRECTION('center_axis',(1.353930517836E-16,0.,-1.));
#46528=DIRECTION('ref_axis',(1.,0.,1.353930517836E-16));
#46529=DIRECTION('',(-1.,0.,-1.353930517836E-16));
#46530=DIRECTION('',(0.,-1.,0.));
#46531=DIRECTION('',(1.,0.,1.353930517836E-16));
#46532=DIRECTION('',(0.,-1.,0.));
#46533=DIRECTION('center_axis',(-1.,0.,0.));
#46534=DIRECTION('ref_axis',(0.,0.,-1.));
#46535=DIRECTION('',(0.,0.,1.));
#46536=DIRECTION('',(0.,0.,-1.));
#46537=DIRECTION('',(0.,-1.,0.));
#46538=DIRECTION('center_axis',(-1.353930517836E-16,0.,1.));
#46539=DIRECTION('ref_axis',(-1.,0.,-1.353930517836E-16));
#46540=DIRECTION('',(-1.,0.,-1.353930517836E-16));
#46541=DIRECTION('',(-1.,0.,-1.353930517836E-16));
#46542=DIRECTION('',(0.,-1.,0.));
#46543=DIRECTION('center_axis',(1.,0.,0.));
#46544=DIRECTION('ref_axis',(0.,0.,1.));
#46545=DIRECTION('',(0.,0.,-1.));
#46546=DIRECTION('',(0.,0.,1.));
#46547=DIRECTION('center_axis',(0.,-1.,0.));
#46548=DIRECTION('ref_axis',(0.,0.,-1.));
#46549=DIRECTION('center_axis',(0.,-1.,0.));
#46550=DIRECTION('ref_axis',(1.,0.,0.));
#46551=DIRECTION('',(0.,0.,1.));
#46552=DIRECTION('',(1.,0.,0.));
#46553=DIRECTION('axis',(0.,0.,1.));
#46554=DIRECTION('refdir',(1.,0.,0.));
#46555=DIRECTION('axis',(0.,0.,1.));
#46556=DIRECTION('refdir',(1.,0.,0.));
#46557=DIRECTION('center_axis',(0.,-1.,0.));
#46558=DIRECTION('ref_axis',(1.,0.,0.));
#46559=DIRECTION('center_axis',(0.,-1.,0.));
#46560=DIRECTION('ref_axis',(1.,0.,0.));
#46561=DIRECTION('',(0.,1.,0.));
#46562=DIRECTION('center_axis',(0.,1.,0.));
#46563=DIRECTION('ref_axis',(1.,0.,0.));
#46564=DIRECTION('center_axis',(0.,-1.,0.));
#46565=DIRECTION('ref_axis',(1.,0.,0.));
#46566=DIRECTION('center_axis',(0.,-1.,0.));
#46567=DIRECTION('ref_axis',(1.,0.,0.));
#46568=DIRECTION('center_axis',(0.,-1.,0.));
#46569=DIRECTION('ref_axis',(1.,0.,0.));
#46570=DIRECTION('',(0.,1.,0.));
#46571=DIRECTION('center_axis',(0.,1.,0.));
#46572=DIRECTION('ref_axis',(1.,0.,0.));
#46573=DIRECTION('center_axis',(0.,-1.,0.));
#46574=DIRECTION('ref_axis',(1.,0.,0.));
#46575=DIRECTION('center_axis',(0.,-1.,0.));
#46576=DIRECTION('ref_axis',(1.,0.,0.));
#46577=DIRECTION('center_axis',(0.,-1.,0.));
#46578=DIRECTION('ref_axis',(1.,0.,0.));
#46579=DIRECTION('',(0.,1.,0.));
#46580=DIRECTION('center_axis',(0.,1.,0.));
#46581=DIRECTION('ref_axis',(1.,0.,0.));
#46582=DIRECTION('center_axis',(0.,-1.,0.));
#46583=DIRECTION('ref_axis',(1.,0.,0.));
#46584=DIRECTION('center_axis',(0.,-1.,0.));
#46585=DIRECTION('ref_axis',(1.,0.,0.));
#46586=DIRECTION('center_axis',(0.,-1.,0.));
#46587=DIRECTION('ref_axis',(1.,0.,0.));
#46588=DIRECTION('',(0.,1.,0.));
#46589=DIRECTION('center_axis',(0.,1.,0.));
#46590=DIRECTION('ref_axis',(1.,0.,0.));
#46591=DIRECTION('center_axis',(0.,-1.,0.));
#46592=DIRECTION('ref_axis',(1.,0.,0.));
#46593=DIRECTION('center_axis',(0.,-1.,0.));
#46594=DIRECTION('ref_axis',(1.,0.,0.));
#46595=DIRECTION('center_axis',(0.,-1.,0.));
#46596=DIRECTION('ref_axis',(1.,0.,0.));
#46597=DIRECTION('',(0.,1.,0.));
#46598=DIRECTION('center_axis',(0.,1.,0.));
#46599=DIRECTION('ref_axis',(1.,0.,0.));
#46600=DIRECTION('center_axis',(0.,-1.,0.));
#46601=DIRECTION('ref_axis',(1.,0.,0.));
#46602=DIRECTION('center_axis',(-1.,0.,4.86623728326E-16));
#46603=DIRECTION('ref_axis',(-4.86623728326E-16,0.,-1.));
#46604=DIRECTION('center_axis',(-1.,0.,4.93432455389E-16));
#46605=DIRECTION('ref_axis',(-4.93432455389E-16,0.,-1.));
#46606=DIRECTION('',(-1.,0.,4.86623728326E-16));
#46607=DIRECTION('center_axis',(1.,0.,0.));
#46608=DIRECTION('ref_axis',(0.,0.,-1.));
#46609=DIRECTION('center_axis',(1.,0.,-4.93432455389E-16));
#46610=DIRECTION('ref_axis',(-4.93432455389E-16,0.,-1.));
#46611=DIRECTION('center_axis',(1.,0.,-4.93432455389E-16));
#46612=DIRECTION('ref_axis',(-4.93432455389E-16,0.,-1.));
#46613=DIRECTION('',(4.93432455389E-16,0.,1.));
#46614=DIRECTION('center_axis',(1.,0.,-4.93432455389E-16));
#46615=DIRECTION('ref_axis',(-4.93432455389E-16,0.,-1.));
#46616=DIRECTION('center_axis',(1.,0.,-4.93432455389E-16));
#46617=DIRECTION('ref_axis',(-4.93432455389E-16,0.,-1.));
#46618=DIRECTION('',(-4.93432455389E-16,0.,-1.));
#46619=DIRECTION('center_axis',(1.,0.,-5.551115123126E-16));
#46620=DIRECTION('ref_axis',(-5.551115123126E-16,0.,-1.));
#46621=DIRECTION('center_axis',(1.,0.,-4.93432455389E-16));
#46622=DIRECTION('ref_axis',(-4.93432455389E-16,0.,-1.));
#46623=DIRECTION('',(-1.,0.,5.551115123126E-16));
#46624=DIRECTION('center_axis',(-1.,0.,4.93432455389E-16));
#46625=DIRECTION('ref_axis',(-4.93432455389E-16,0.,-1.));
#46626=DIRECTION('center_axis',(-1.,0.,4.93432455389E-16));
#46627=DIRECTION('ref_axis',(-4.93432455389E-16,0.,-1.));
#46628=DIRECTION('center_axis',(-1.,0.,4.93432455389E-16));
#46629=DIRECTION('ref_axis',(-4.93432455389E-16,0.,-1.));
#46630=DIRECTION('center_axis',(1.,0.,-4.93432455389E-16));
#46631=DIRECTION('ref_axis',(-4.93432455389E-16,0.,-1.));
#46632=DIRECTION('center_axis',(1.,0.,-4.93432455389E-16));
#46633=DIRECTION('ref_axis',(-4.93432455389E-16,0.,-1.));
#46634=DIRECTION('',(-4.93432455389E-16,0.,-1.));
#46635=DIRECTION('',(0.,1.,0.));
#46636=DIRECTION('',(4.93432455389E-16,0.,1.));
#46637=DIRECTION('center_axis',(1.,0.,-4.93432455389E-16));
#46638=DIRECTION('ref_axis',(-4.93432455389E-16,0.,-1.));
#46639=DIRECTION('',(4.93432455389E-16,0.,1.));
#46640=DIRECTION('',(0.,1.,0.));
#46641=DIRECTION('',(-4.93432455389E-16,0.,-1.));
#46642=DIRECTION('center_axis',(1.,0.,0.));
#46643=DIRECTION('ref_axis',(0.,0.,-1.));
#46644=DIRECTION('',(0.,0.,1.));
#46645=DIRECTION('',(0.,1.,0.));
#46646=DIRECTION('',(0.,0.,-1.));
#46647=DIRECTION('',(0.,1.,0.));
#46648=DIRECTION('center_axis',(0.,0.,1.));
#46649=DIRECTION('ref_axis',(1.,0.,0.));
#46650=DIRECTION('',(-1.,0.,0.));
#46651=DIRECTION('',(1.,0.,0.));
#46652=DIRECTION('',(0.,1.,0.));
#46653=DIRECTION('center_axis',(-1.,0.,0.));
#46654=DIRECTION('ref_axis',(0.,0.,1.));
#46655=DIRECTION('',(0.,0.,-1.));
#46656=DIRECTION('',(0.,0.,1.));
#46657=DIRECTION('',(0.,1.,0.));
#46658=DIRECTION('center_axis',(0.,0.,-1.));
#46659=DIRECTION('ref_axis',(-1.,0.,0.));
#46660=DIRECTION('',(1.,0.,0.));
#46661=DIRECTION('',(-1.,0.,0.));
#46662=DIRECTION('',(0.,1.,0.));
#46663=DIRECTION('center_axis',(1.,0.,0.));
#46664=DIRECTION('ref_axis',(0.,0.,-1.));
#46665=DIRECTION('',(0.,0.,1.));
#46666=DIRECTION('',(0.,0.,-1.));
#46667=DIRECTION('',(0.,1.,0.));
#46668=DIRECTION('center_axis',(0.,0.,-1.));
#46669=DIRECTION('ref_axis',(-1.,0.,0.));
#46670=DIRECTION('',(1.,0.,0.));
#46671=DIRECTION('',(-1.,0.,0.));
#46672=DIRECTION('center_axis',(0.,0.,1.));
#46673=DIRECTION('ref_axis',(1.,0.,0.));
#46674=DIRECTION('',(-1.,0.,0.));
#46675=DIRECTION('',(1.,0.,0.));
#46676=DIRECTION('center_axis',(0.,1.,0.));
#46677=DIRECTION('ref_axis',(0.,0.,1.));
#46678=DIRECTION('center_axis',(0.,1.,0.));
#46679=DIRECTION('ref_axis',(1.,0.,0.));
#46680=DIRECTION('center_axis',(1.,0.,-1.387778780781E-15));
#46681=DIRECTION('ref_axis',(1.387778780781E-15,0.,1.));
#46682=DIRECTION('',(1.387778780781E-15,0.,1.));
#46683=DIRECTION('',(0.,-1.,0.));
#46684=DIRECTION('',(1.387778780781E-15,0.,1.));
#46685=DIRECTION('',(0.,-1.,0.));
#46686=DIRECTION('center_axis',(-1.480297366167E-15,0.,-1.));
#46687=DIRECTION('ref_axis',(1.,0.,-1.480297366167E-15));
#46688=DIRECTION('',(1.,0.,-1.480297366167E-15));
#46689=DIRECTION('',(1.,0.,-1.480297366167E-15));
#46690=DIRECTION('',(0.,-1.,0.));
#46691=DIRECTION('center_axis',(-1.,0.,1.387778780781E-15));
#46692=DIRECTION('ref_axis',(-1.387778780781E-15,0.,-1.));
#46693=DIRECTION('',(-1.387778780781E-15,0.,-1.));
#46694=DIRECTION('',(-1.387778780781E-15,0.,-1.));
#46695=DIRECTION('',(0.,-1.,0.));
#46696=DIRECTION('center_axis',(1.480297366167E-15,0.,1.));
#46697=DIRECTION('ref_axis',(-1.,0.,1.480297366167E-15));
#46698=DIRECTION('',(-1.,0.,1.480297366167E-15));
#46699=DIRECTION('',(-1.,0.,1.480297366167E-15));
#46700=DIRECTION('center_axis',(0.,-1.,0.));
#46701=DIRECTION('ref_axis',(0.,0.,-1.));
#46702=DIRECTION('center_axis',(0.,-1.,0.));
#46703=DIRECTION('ref_axis',(1.,0.,0.));
#46704=DIRECTION('center_axis',(-1.,0.,0.));
#46705=DIRECTION('ref_axis',(0.,0.,-1.));
#46706=DIRECTION('',(0.,0.,1.));
#46707=DIRECTION('',(0.,-1.,0.));
#46708=DIRECTION('',(0.,0.,-1.));
#46709=DIRECTION('',(0.,-1.,0.));
#46710=DIRECTION('center_axis',(0.,0.,1.));
#46711=DIRECTION('ref_axis',(-1.,0.,0.));
#46712=DIRECTION('',(1.,0.,0.));
#46713=DIRECTION('',(-1.,0.,0.));
#46714=DIRECTION('',(0.,-1.,0.));
#46715=DIRECTION('center_axis',(1.,0.,0.));
#46716=DIRECTION('ref_axis',(0.,0.,1.));
#46717=DIRECTION('',(0.,0.,-1.));
#46718=DIRECTION('',(0.,0.,1.));
#46719=DIRECTION('',(0.,-1.,0.));
#46720=DIRECTION('center_axis',(0.,0.,-1.));
#46721=DIRECTION('ref_axis',(1.,0.,0.));
#46722=DIRECTION('',(-1.,0.,0.));
#46723=DIRECTION('',(1.,0.,0.));
#46724=DIRECTION('center_axis',(0.,-1.,0.));
#46725=DIRECTION('ref_axis',(0.,0.,-1.));
#46726=DIRECTION('center_axis',(0.,-1.,0.));
#46727=DIRECTION('ref_axis',(1.,0.,0.));
#46728=DIRECTION('center_axis',(1.480297366167E-15,0.,1.));
#46729=DIRECTION('ref_axis',(-1.,0.,1.480297366167E-15));
#46730=DIRECTION('',(-1.,0.,1.480297366167E-15));
#46731=DIRECTION('',(0.,-1.,0.));
#46732=DIRECTION('',(-1.,0.,1.480297366167E-15));
#46733=DIRECTION('',(0.,-1.,0.));
#46734=DIRECTION('center_axis',(1.,0.,-1.387778780781E-15));
#46735=DIRECTION('ref_axis',(1.387778780781E-15,0.,1.));
#46736=DIRECTION('',(1.387778780781E-15,0.,1.));
#46737=DIRECTION('',(1.387778780781E-15,0.,1.));
#46738=DIRECTION('',(0.,-1.,0.));
#46739=DIRECTION('center_axis',(-1.480297366167E-15,0.,-1.));
#46740=DIRECTION('ref_axis',(1.,0.,-1.480297366167E-15));
#46741=DIRECTION('',(1.,0.,-1.480297366167E-15));
#46742=DIRECTION('',(1.,0.,-1.480297366167E-15));
#46743=DIRECTION('',(0.,-1.,0.));
#46744=DIRECTION('center_axis',(-1.,0.,1.387778780781E-15));
#46745=DIRECTION('ref_axis',(-1.387778780781E-15,0.,-1.));
#46746=DIRECTION('',(-1.387778780781E-15,0.,-1.));
#46747=DIRECTION('',(-1.387778780781E-15,0.,-1.));
#46748=DIRECTION('center_axis',(0.,-1.,0.));
#46749=DIRECTION('ref_axis',(0.,0.,-1.));
#46750=DIRECTION('center_axis',(0.,-1.,0.));
#46751=DIRECTION('ref_axis',(1.,0.,0.));
#46752=DIRECTION('center_axis',(-1.,0.,0.));
#46753=DIRECTION('ref_axis',(0.,0.,-1.));
#46754=DIRECTION('',(0.,0.,1.));
#46755=DIRECTION('',(0.,-1.,0.));
#46756=DIRECTION('',(0.,0.,-1.));
#46757=DIRECTION('',(0.,-1.,0.));
#46758=DIRECTION('center_axis',(0.,0.,1.));
#46759=DIRECTION('ref_axis',(-1.,0.,0.));
#46760=DIRECTION('',(1.,0.,0.));
#46761=DIRECTION('',(-1.,0.,0.));
#46762=DIRECTION('',(0.,-1.,0.));
#46763=DIRECTION('center_axis',(1.,0.,0.));
#46764=DIRECTION('ref_axis',(0.,0.,1.));
#46765=DIRECTION('',(0.,0.,-1.));
#46766=DIRECTION('',(0.,0.,1.));
#46767=DIRECTION('',(0.,-1.,0.));
#46768=DIRECTION('center_axis',(0.,0.,-1.));
#46769=DIRECTION('ref_axis',(1.,0.,0.));
#46770=DIRECTION('',(-1.,0.,0.));
#46771=DIRECTION('',(1.,0.,0.));
#46772=DIRECTION('center_axis',(0.,-1.,0.));
#46773=DIRECTION('ref_axis',(0.,0.,-1.));
#46774=DIRECTION('center_axis',(0.,-1.,0.));
#46775=DIRECTION('ref_axis',(1.,0.,0.));
#46776=DIRECTION('center_axis',(-1.,0.,0.));
#46777=DIRECTION('ref_axis',(0.,0.,-1.));
#46778=DIRECTION('',(0.,0.,1.));
#46779=DIRECTION('',(0.,-1.,0.));
#46780=DIRECTION('',(0.,0.,-1.));
#46781=DIRECTION('',(0.,-1.,0.));
#46782=DIRECTION('center_axis',(0.,0.,1.));
#46783=DIRECTION('ref_axis',(-1.,0.,0.));
#46784=DIRECTION('',(1.,0.,0.));
#46785=DIRECTION('',(-1.,0.,0.));
#46786=DIRECTION('',(0.,-1.,0.));
#46787=DIRECTION('center_axis',(1.,0.,0.));
#46788=DIRECTION('ref_axis',(0.,0.,1.));
#46789=DIRECTION('',(0.,0.,-1.));
#46790=DIRECTION('',(0.,0.,1.));
#46791=DIRECTION('',(0.,-1.,0.));
#46792=DIRECTION('center_axis',(1.734723475977E-15,0.,-1.));
#46793=DIRECTION('ref_axis',(1.,0.,1.734723475977E-15));
#46794=DIRECTION('',(-1.,0.,-1.734723475977E-15));
#46795=DIRECTION('',(1.,0.,1.734723475977E-15));
#46796=DIRECTION('center_axis',(0.,-1.,0.));
#46797=DIRECTION('ref_axis',(0.,0.,-1.));
#46798=DIRECTION('center_axis',(0.,-1.,0.));
#46799=DIRECTION('ref_axis',(1.,0.,0.));
#46800=DIRECTION('',(0.,0.,1.));
#46801=DIRECTION('',(1.,0.,0.));
#46802=DIRECTION('axis',(0.,0.,1.));
#46803=DIRECTION('refdir',(1.,0.,0.));
#46804=DIRECTION('axis',(0.,0.,1.));
#46805=DIRECTION('refdir',(1.,0.,0.));
#46806=DIRECTION('center_axis',(0.,0.,-1.));
#46807=DIRECTION('ref_axis',(0.923879532511277,0.382683432365115,0.));
#46808=DIRECTION('center_axis',(0.,0.,-1.));
#46809=DIRECTION('ref_axis',(0.923879532511276,0.382683432365115,0.));
#46810=DIRECTION('',(-0.923879532511277,-0.382683432365115,0.));
#46811=DIRECTION('',(-0.923879532511277,0.382683432365115,0.));
#46812=DIRECTION('center_axis',(0.,0.,-1.));
#46813=DIRECTION('ref_axis',(0.923879532511276,0.382683432365115,0.));
#46814=DIRECTION('center_axis',(0.,0.,1.));
#46815=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46816=DIRECTION('',(0.,0.,-1.));
#46817=DIRECTION('center_axis',(0.,0.,-1.));
#46818=DIRECTION('ref_axis',(0.923879532511276,-0.382683432365115,0.));
#46819=DIRECTION('center_axis',(0.,0.,-1.));
#46820=DIRECTION('ref_axis',(0.923879532511276,-0.382683432365115,0.));
#46821=DIRECTION('center_axis',(0.,0.,1.));
#46822=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46823=DIRECTION('center_axis',(0.,0.,-1.));
#46824=DIRECTION('ref_axis',(0.923879532511277,-0.382683432365115,0.));
#46825=DIRECTION('center_axis',(0.,0.,1.));
#46826=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46827=DIRECTION('center_axis',(-1.889979252092E-31,4.08046586736E-33,1.));
#46828=DIRECTION('ref_axis',(-1.,1.224646799147E-16,-1.889979252092E-31));
#46829=DIRECTION('center_axis',(0.,0.,1.));
#46830=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46831=DIRECTION('center_axis',(0.,0.,1.));
#46832=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46833=DIRECTION('center_axis',(0.,0.,1.));
#46834=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46835=DIRECTION('center_axis',(0.,0.,1.));
#46836=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46837=DIRECTION('center_axis',(0.,0.,1.));
#46838=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46839=DIRECTION('',(0.,0.,-1.));
#46840=DIRECTION('center_axis',(0.,0.,1.));
#46841=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46842=DIRECTION('center_axis',(0.,0.,1.));
#46843=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46844=DIRECTION('center_axis',(0.,0.,1.));
#46845=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46846=DIRECTION('center_axis',(0.,0.,1.));
#46847=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46848=DIRECTION('center_axis',(0.,0.,1.));
#46849=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46850=DIRECTION('center_axis',(0.,0.,-1.));
#46851=DIRECTION('ref_axis',(-1.,3.96508223080413E-17,0.));
#46852=DIRECTION('',(0.,0.,-1.));
#46853=DIRECTION('center_axis',(0.,0.,-1.));
#46854=DIRECTION('ref_axis',(0.923879532511276,-0.382683432365115,0.));
#46855=DIRECTION('',(0.,0.,-1.));
#46856=DIRECTION('center_axis',(0.,0.,-1.));
#46857=DIRECTION('ref_axis',(0.923879532511276,0.382683432365115,0.));
#46858=DIRECTION('center_axis',(0.,0.,-1.));
#46859=DIRECTION('ref_axis',(0.923879532511276,0.382683432365115,0.));
#46860=DIRECTION('center_axis',(0.,0.,1.));
#46861=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46862=DIRECTION('',(0.,0.,-1.));
#46863=DIRECTION('center_axis',(0.,0.,1.));
#46864=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46865=DIRECTION('center_axis',(0.,0.,1.));
#46866=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46867=DIRECTION('center_axis',(0.,0.,-1.));
#46868=DIRECTION('ref_axis',(0.923879532511277,-0.382683432365115,0.));
#46869=DIRECTION('',(0.923879532511277,-0.382683432365115,0.));
#46870=DIRECTION('center_axis',(0.,0.,-1.));
#46871=DIRECTION('ref_axis',(0.923879532511276,-0.382683432365115,0.));
#46872=DIRECTION('',(0.923879532511277,0.382683432365115,0.));
#46873=DIRECTION('center_axis',(0.,0.,-1.));
#46874=DIRECTION('ref_axis',(0.923879532511277,0.382683432365115,0.));
#46875=DIRECTION('center_axis',(0.,0.,-1.));
#46876=DIRECTION('ref_axis',(0.923879532511276,0.382683432365115,0.));
#46877=DIRECTION('center_axis',(2.218671295934E-31,4.08046586736E-33,1.));
#46878=DIRECTION('ref_axis',(-1.,1.224646799147E-16,2.218671295934E-31));
#46879=DIRECTION('center_axis',(1.13751793765805E-16,-4.47951243186783E-17,
1.));
#46880=DIRECTION('ref_axis',(-1.,-3.21624529935327E-16,1.11022302462509E-16));
#46881=DIRECTION('center_axis',(-0.382683432365115,-0.923879532511277,0.));
#46882=DIRECTION('ref_axis',(0.,0.,-1.));
#46883=DIRECTION('center_axis',(0.,0.,-1.));
#46884=DIRECTION('ref_axis',(0.923879532511277,-0.382683432365115,0.));
#46885=DIRECTION('center_axis',(0.382683432365115,-0.923879532511277,0.));
#46886=DIRECTION('ref_axis',(0.,0.,-1.));
#46887=DIRECTION('center_axis',(-1.39590664622256E-16,-5.86740053367483E-17,
1.));
#46888=DIRECTION('ref_axis',(1.,0.,1.44328993201262E-16));
#46889=DIRECTION('center_axis',(0.,0.,-1.));
#46890=DIRECTION('ref_axis',(0.923879532511277,0.382683432365115,0.));
#46891=DIRECTION('center_axis',(0.,0.,1.));
#46892=DIRECTION('ref_axis',(-1.,-3.88578058618805E-16,0.));
#46893=DIRECTION('center_axis',(2.97142647860729E-10,5.77577625541182E-23,
-1.));
#46894=DIRECTION('ref_axis',(0.923879532511086,0.382683432365574,2.74524014631794E-10));
#46895=DIRECTION('',(0.,0.,1.));
#46896=DIRECTION('',(0.,0.,1.));
#46897=DIRECTION('center_axis',(0.,0.,1.));
#46898=DIRECTION('ref_axis',(0.923879532511277,0.382683432365115,0.));
#46899=DIRECTION('center_axis',(0.,0.,-1.));
#46900=DIRECTION('ref_axis',(0.923879532511245,-0.38268343236519,0.));
#46901=DIRECTION('center_axis',(-0.382683432369874,-0.923879532509305,1.52578635435128E-12));
#46902=DIRECTION('ref_axis',(0.676371889709487,-0.280162409928567,0.681197541740893));
#46903=DIRECTION('center_axis',(0.382683432377181,-0.923879532506278,5.62505272103005E-12));
#46904=DIRECTION('ref_axis',(0.676371889705587,0.280162409938492,0.681197541740683));
#46905=DIRECTION('center_axis',(-3.01392436386937E-15,-5.99503373756029E-16,
-1.));
#46906=DIRECTION('ref_axis',(0.923880452943071,-0.382681210238635,-2.52360544273962E-15));
#46907=DIRECTION('center_axis',(4.23394857694672E-16,2.59456702489728E-16,
1.));
#46908=DIRECTION('ref_axis',(-1.,-1.6109676234517E-14,4.08619385976791E-16));
#46909=DIRECTION('center_axis',(0.382683432365115,0.923879532511277,2.77555756156383E-17));
#46910=DIRECTION('ref_axis',(-0.52340438404314,0.216801194476221,-0.824041924199312));
#46911=DIRECTION('center_axis',(-0.382683432365115,0.923879532511277,-2.77555756156383E-17));
#46912=DIRECTION('ref_axis',(-0.52340438404314,-0.216801194476221,-0.824041924199312));
#46913=DIRECTION('center_axis',(2.69158118718412E-16,4.27874633745383E-16,
1.));
#46914=DIRECTION('ref_axis',(1.,0.,-2.72412923984528E-16));
#46915=DIRECTION('center_axis',(0.,0.,-1.));
#46916=DIRECTION('ref_axis',(0.99464891716536,0.103312785180619,0.));
#46917=DIRECTION('center_axis',(-0.000374176491250664,0.999999905614761,
0.000220822151688891));
#46918=DIRECTION('ref_axis',(-0.730099786097645,-0.000424082916983305,0.683340414796207));
#46919=DIRECTION('',(0.,1.,-1.38777878078044E-13));
#46920=DIRECTION('center_axis',(0.000374176362856859,0.999999905614822,
-0.000220822092658506));
#46921=DIRECTION('ref_axis',(-0.730099740761898,0.000424082776637739,0.683340463234256));
#46922=DIRECTION('center_axis',(0.,0.,-1.));
#46923=DIRECTION('ref_axis',(0.923876351512304,-0.382691111885701,0.));
#46924=DIRECTION('center_axis',(-0.382683432369498,-0.923879532509461,-1.68324802500082E-12));
#46925=DIRECTION('ref_axis',(0.923879532509461,-0.382683432369498,-1.4941751539762E-12));
#46926=DIRECTION('center_axis',(-2.97142676714485E-10,-5.77577681626312E-23,
-1.));
#46927=DIRECTION('ref_axis',(0.923879532511086,0.382683432365574,-2.74524070142945E-10));
#46928=DIRECTION('center_axis',(0.382683432376947,-0.923879532506375,-1.45975882315231E-12));
#46929=DIRECTION('ref_axis',(0.923879532506375,0.382683432376947,-7.22570151864061E-13));
#46930=DIRECTION('center_axis',(0.,0.,-1.));
#46931=DIRECTION('ref_axis',(0.994987435821359,0.100000012788181,0.));
#46932=DIRECTION('center_axis',(1.03001461728457E-8,1.,-4.07397759995733E-9));
#46933=DIRECTION('ref_axis',(0.999724990215637,-1.03928519060689E-8,-0.0234508835301599));
#46934=DIRECTION('center_axis',(2.69158118718412E-16,4.27874633745383E-16,
1.));
#46935=DIRECTION('ref_axis',(1.,0.,-2.72412923984528E-16));
#46936=DIRECTION('center_axis',(0.,0.,-1.));
#46937=DIRECTION('ref_axis',(0.994648770034535,-0.10331420168005,0.));
#46938=DIRECTION('center_axis',(-1.03111967173725E-8,1.,4.07564528956329E-9));
#46939=DIRECTION('ref_axis',(0.999724988804633,1.0403938750991E-8,-0.0234509436820132));
#46940=DIRECTION('center_axis',(0.,0.,-1.));
#46941=DIRECTION('ref_axis',(0.923879532511243,-0.382683432365196,0.));
#46942=DIRECTION('center_axis',(0.,0.,1.));
#46943=DIRECTION('ref_axis',(-1.,2.77555756156289E-16,0.));
#46944=DIRECTION('center_axis',(0.,0.,-1.));
#46945=DIRECTION('ref_axis',(0.923879532511277,-0.382683432365115,0.));
#46946=DIRECTION('',(0.,0.,1.));
#46947=DIRECTION('',(0.,0.,1.));
#46948=DIRECTION('center_axis',(0.,0.,-1.));
#46949=DIRECTION('ref_axis',(0.994987435998892,-0.100000011021749,0.));
#46950=DIRECTION('center_axis',(0.,0.,1.));
#46951=DIRECTION('ref_axis',(0.923879532511277,0.382683432365115,0.));
#46952=DIRECTION('center_axis',(0.,0.,-1.));
#46953=DIRECTION('ref_axis',(0.923879532511277,0.382683432365115,0.));
#46954=DIRECTION('center_axis',(0.,0.,1.));
#46955=DIRECTION('ref_axis',(-1.,-3.88578058618805E-16,0.));
#46956=DIRECTION('center_axis',(-0.382683432365115,-0.923879532511277,0.));
#46957=DIRECTION('ref_axis',(0.923879532511277,-0.382683432365115,-4.44089209850333E-15));
#46958=DIRECTION('center_axis',(0.,0.,-1.));
#46959=DIRECTION('ref_axis',(0.923879532511276,-0.382683432365115,0.));
#46960=DIRECTION('center_axis',(0.382683432365115,-0.923879532511277,0.));
#46961=DIRECTION('ref_axis',(0.923879532511277,0.382683432365115,-4.44089209850333E-15));
#46962=DIRECTION('center_axis',(0.,0.,1.));
#46963=DIRECTION('ref_axis',(0.923879532511277,0.382683432365115,0.));
#46964=DIRECTION('center_axis',(0.,0.,1.));
#46965=DIRECTION('ref_axis',(0.923879532511277,0.382683432365115,0.));
#46966=DIRECTION('center_axis',(0.,0.,-1.));
#46967=DIRECTION('ref_axis',(0.923879532511276,0.382683432365115,0.));
#46968=DIRECTION('center_axis',(0.,0.,-1.));
#46969=DIRECTION('ref_axis',(0.923879532511277,-0.382683432365115,0.));
#46970=DIRECTION('',(-0.923879532511277,0.382683432365115,0.));
#46971=DIRECTION('center_axis',(0.,0.,-1.));
#46972=DIRECTION('ref_axis',(0.923879532511276,-0.382683432365115,0.));
#46973=DIRECTION('',(-0.923879532511277,-0.382683432365115,0.));
#46974=DIRECTION('center_axis',(0.,0.,-1.));
#46975=DIRECTION('ref_axis',(0.923879532511277,0.382683432365115,0.));
#46976=DIRECTION('center_axis',(0.,0.,-1.));
#46977=DIRECTION('ref_axis',(0.923879532511276,0.382683432365115,0.));
#46978=DIRECTION('center_axis',(0.,0.,-1.));
#46979=DIRECTION('ref_axis',(-1.,3.96508223080413E-17,0.));
#46980=DIRECTION('',(0.,0.,-1.));
#46981=DIRECTION('center_axis',(0.,0.,1.));
#46982=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46983=DIRECTION('',(0.,0.,-1.));
#46984=DIRECTION('center_axis',(0.,0.,-1.));
#46985=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46986=DIRECTION('',(0.,0.,-1.));
#46987=DIRECTION('center_axis',(0.,0.,1.));
#46988=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46989=DIRECTION('',(0.,0.,-1.));
#46990=DIRECTION('center_axis',(0.,0.,-1.));
#46991=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46992=DIRECTION('',(0.,0.,-1.));
#46993=DIRECTION('center_axis',(0.,0.,1.));
#46994=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46995=DIRECTION('',(0.,0.,-1.));
#46996=DIRECTION('center_axis',(0.,0.,-1.));
#46997=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#46998=DIRECTION('',(0.,0.,-1.));
#46999=DIRECTION('center_axis',(0.,0.,1.));
#47000=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#47001=DIRECTION('',(0.,0.,-1.));
#47002=DIRECTION('center_axis',(0.,0.,-1.));
#47003=DIRECTION('ref_axis',(0.923879532511276,0.382683432365115,0.));
#47004=DIRECTION('center_axis',(0.,0.,1.));
#47005=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#47006=DIRECTION('',(0.,0.,-1.));
#47007=DIRECTION('center_axis',(0.,0.,-1.));
#47008=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#47009=DIRECTION('',(0.,0.,-1.));
#47010=DIRECTION('center_axis',(0.,0.,1.));
#47011=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#47012=DIRECTION('center_axis',(0.,0.,1.));
#47013=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#47014=DIRECTION('',(1.224646799147E-16,1.,0.));
#47015=DIRECTION('',(1.,-1.224646799147E-16,0.));
#47016=DIRECTION('center_axis',(1.,-1.224646799147E-16,0.));
#47017=DIRECTION('ref_axis',(1.224646799147E-16,1.,0.));
#47018=DIRECTION('',(0.,0.,-1.));
#47019=DIRECTION('',(1.224646799147E-16,1.,0.));
#47020=DIRECTION('center_axis',(0.,0.,1.));
#47021=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#47022=DIRECTION('',(1.224646799147E-16,1.,0.));
#47023=DIRECTION('',(-1.,1.224646799147E-16,0.));
#47024=DIRECTION('center_axis',(-6.92799192911E-33,5.09829149655E-32,1.));
#47025=DIRECTION('ref_axis',(-1.224646799147E-16,-1.,5.09829149655E-32));
#47026=DIRECTION('',(1.,-5.723907721801E-17,0.));
#47027=DIRECTION('',(-1.224646799147E-16,-1.,0.));
#47028=DIRECTION('',(1.,-1.224646799147E-16,0.));
#47029=DIRECTION('',(-1.,1.224646799147E-16,0.));
#47030=DIRECTION('',(1.224646799147E-16,1.,0.));
#47031=DIRECTION('',(-1.224646799147E-16,-1.,0.));
#47032=DIRECTION('',(-1.,1.224646799147E-16,0.));
#47033=DIRECTION('center_axis',(1.,-1.224646799147E-16,0.));
#47034=DIRECTION('ref_axis',(1.224646799147E-16,1.,0.));
#47035=DIRECTION('',(0.,0.,-1.));
#47036=DIRECTION('center_axis',(-1.,1.224646799147E-16,0.));
#47037=DIRECTION('ref_axis',(-1.224646799147E-16,-1.,0.));
#47038=DIRECTION('',(-1.224646799147E-16,-1.,0.));
#47039=DIRECTION('',(0.,0.,-1.));
#47040=DIRECTION('center_axis',(0.,0.,1.));
#47041=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#47042=DIRECTION('',(1.,-5.723907721801E-17,0.));
#47043=DIRECTION('center_axis',(-1.,1.224646799147E-16,0.));
#47044=DIRECTION('ref_axis',(-1.224646799147E-16,-1.,0.));
#47045=DIRECTION('',(-1.224646799147E-16,-1.,0.));
#47046=DIRECTION('',(0.,0.,-1.));
#47047=DIRECTION('center_axis',(-5.723907721801E-17,-1.,0.));
#47048=DIRECTION('ref_axis',(1.,-5.723907721801E-17,0.));
#47049=DIRECTION('center_axis',(0.,0.,1.));
#47050=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#47051=DIRECTION('',(-1.,1.224646799147E-16,0.));
#47052=DIRECTION('center_axis',(1.224646799147E-16,1.,0.));
#47053=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#47054=DIRECTION('center_axis',(1.224646799147E-16,1.,0.));
#47055=DIRECTION('ref_axis',(-1.,1.224646799147E-16,0.));
#47056=DIRECTION('center_axis',(-1.224646799147E-16,-1.,0.));
#47057=DIRECTION('ref_axis',(1.,-1.224646799147E-16,0.));
#47058=DIRECTION('',(0.,0.,1.));
#47059=DIRECTION('',(1.,0.,0.));
#47060=DIRECTION('axis',(0.,0.,1.));
#47061=DIRECTION('refdir',(1.,0.,0.));
#47062=DIRECTION('axis',(0.,0.,1.));
#47063=DIRECTION('refdir',(1.,0.,0.));
#47064=DIRECTION('center_axis',(1.,0.,0.));
#47065=DIRECTION('ref_axis',(0.,0.,1.));
#47066=DIRECTION('',(0.,0.,1.));
#47067=DIRECTION('',(0.,1.,0.));
#47068=DIRECTION('',(0.,0.,1.));
#47069=DIRECTION('',(0.,1.,0.));
#47070=DIRECTION('center_axis',(0.,0.,1.));
#47071=DIRECTION('ref_axis',(-1.,0.,0.));
#47072=DIRECTION('center_axis',(0.,0.,1.));
#47073=DIRECTION('ref_axis',(0.,-1.,0.));
#47074=DIRECTION('',(0.,0.,1.));
#47075=DIRECTION('center_axis',(0.,0.,-1.));
#47076=DIRECTION('ref_axis',(0.,-1.,0.));
#47077=DIRECTION('center_axis',(0.,1.,0.));
#47078=DIRECTION('ref_axis',(0.,0.,1.));
#47079=DIRECTION('',(0.,1.,0.));
#47080=DIRECTION('center_axis',(0.,-1.,0.));
#47081=DIRECTION('ref_axis',(0.,0.,1.));
#47082=DIRECTION('center_axis',(6.123233995737E-17,-1.,0.));
#47083=DIRECTION('ref_axis',(-1.,-6.123233995737E-17,0.));
#47084=DIRECTION('center_axis',(0.,0.,1.));
#47085=DIRECTION('ref_axis',(1.,0.,0.));
#47086=DIRECTION('center_axis',(0.,0.,-1.));
#47087=DIRECTION('ref_axis',(0.,-1.,0.));
#47088=DIRECTION('',(1.,0.,0.));
#47089=DIRECTION('center_axis',(0.,0.,1.));
#47090=DIRECTION('ref_axis',(0.,-1.,0.));
#47091=DIRECTION('',(0.,1.,0.));
#47092=DIRECTION('center_axis',(0.,0.,-1.));
#47093=DIRECTION('ref_axis',(0.,-1.,0.));
#47094=DIRECTION('',(1.,0.,0.));
#47095=DIRECTION('center_axis',(0.,0.,1.));
#47096=DIRECTION('ref_axis',(1.,0.,0.));
#47097=DIRECTION('center_axis',(0.,0.,1.));
#47098=DIRECTION('ref_axis',(1.,0.,0.));
#47099=DIRECTION('center_axis',(0.,0.,1.));
#47100=DIRECTION('ref_axis',(1.,0.,0.));
#47101=DIRECTION('center_axis',(0.,0.,1.));
#47102=DIRECTION('ref_axis',(1.,0.,0.));
#47103=DIRECTION('center_axis',(0.,0.,1.));
#47104=DIRECTION('ref_axis',(-1.,0.,0.));
#47105=DIRECTION('center_axis',(0.,0.,-1.));
#47106=DIRECTION('ref_axis',(-1.,0.,0.));
#47107=DIRECTION('',(0.,0.,1.));
#47108=DIRECTION('center_axis',(0.,0.,-1.));
#47109=DIRECTION('ref_axis',(0.,-1.,0.));
#47110=DIRECTION('center_axis',(1.,0.,0.));
#47111=DIRECTION('ref_axis',(0.,0.,1.));
#47112=DIRECTION('center_axis',(0.,1.,0.));
#47113=DIRECTION('ref_axis',(0.,0.,1.));
#47114=DIRECTION('',(0.,0.,1.));
#47115=DIRECTION('',(-1.,0.,0.));
#47116=DIRECTION('center_axis',(0.,0.,1.));
#47117=DIRECTION('ref_axis',(1.,0.,0.));
#47118=DIRECTION('',(-1.,0.,0.));
#47119=DIRECTION('',(0.,-1.,0.));
#47120=DIRECTION('',(1.,0.,0.));
#47121=DIRECTION('center_axis',(0.,0.,-1.));
#47122=DIRECTION('ref_axis',(-1.,0.,0.));
#47123=DIRECTION('center_axis',(-1.,-6.123233995737E-17,0.));
#47124=DIRECTION('ref_axis',(-6.123233995737E-17,1.,0.));
#47125=DIRECTION('center_axis',(0.,1.,0.));
#47126=DIRECTION('ref_axis',(0.,0.,1.));
#47127=DIRECTION('',(1.,0.,0.));
#47128=DIRECTION('',(0.,0.,1.));
#47129=DIRECTION('center_axis',(0.,0.,1.));
#47130=DIRECTION('ref_axis',(1.,0.,0.));
#47131=DIRECTION('center_axis',(0.,0.,-1.));
#47132=DIRECTION('ref_axis',(1.,0.,0.));
#47133=DIRECTION('',(0.,0.,1.));
#47134=DIRECTION('center_axis',(0.,0.,1.));
#47135=DIRECTION('ref_axis',(1.,0.,0.));
#47136=DIRECTION('',(0.,0.,1.));
#47137=DIRECTION('center_axis',(0.,0.,-1.));
#47138=DIRECTION('ref_axis',(0.,1.,0.));
#47139=DIRECTION('center_axis',(1.,0.,0.));
#47140=DIRECTION('ref_axis',(0.,0.,1.));
#47141=DIRECTION('',(0.,-1.,0.));
#47142=DIRECTION('center_axis',(0.,0.,1.));
#47143=DIRECTION('ref_axis',(1.,0.,0.));
#47144=DIRECTION('',(0.,0.,-1.));
#47145=DIRECTION('center_axis',(0.,0.,1.));
#47146=DIRECTION('ref_axis',(1.,0.,0.));
#47147=DIRECTION('center_axis',(0.,0.,1.));
#47148=DIRECTION('ref_axis',(1.,0.,0.));
#47149=DIRECTION('center_axis',(0.,0.,1.));
#47150=DIRECTION('ref_axis',(1.,0.,0.));
#47151=DIRECTION('',(0.,0.,-1.));
#47152=DIRECTION('center_axis',(0.,0.,1.));
#47153=DIRECTION('ref_axis',(1.,0.,0.));
#47154=DIRECTION('center_axis',(0.,0.,1.));
#47155=DIRECTION('ref_axis',(1.,0.,0.));
#47156=DIRECTION('center_axis',(-1.,0.,0.));
#47157=DIRECTION('ref_axis',(0.,0.,1.));
#47158=DIRECTION('center_axis',(1.,6.123233995737E-17,0.));
#47159=DIRECTION('ref_axis',(6.123233995737E-17,-1.,0.));
#47160=DIRECTION('center_axis',(0.,-1.,0.));
#47161=DIRECTION('ref_axis',(0.,0.,1.));
#47162=DIRECTION('center_axis',(0.,1.,0.));
#47163=DIRECTION('ref_axis',(1.,0.,0.));
#47164=DIRECTION('center_axis',(-6.123233995737E-17,1.,0.));
#47165=DIRECTION('ref_axis',(1.,6.123233995737E-17,0.));
#47166=DIRECTION('center_axis',(1.,0.,0.));
#47167=DIRECTION('ref_axis',(0.,0.,1.));
#47168=DIRECTION('center_axis',(-1.,0.,0.));
#47169=DIRECTION('ref_axis',(0.,0.,1.));
#47170=DIRECTION('center_axis',(0.,0.,-1.));
#47171=DIRECTION('ref_axis',(1.,0.,0.));
#47172=DIRECTION('center_axis',(0.,0.,-1.));
#47173=DIRECTION('ref_axis',(0.,1.,0.));
#47174=DIRECTION('center_axis',(0.,0.,1.));
#47175=DIRECTION('ref_axis',(1.,0.,0.));
#47176=DIRECTION('center_axis',(0.,0.,1.));
#47177=DIRECTION('ref_axis',(1.,0.,0.));
#47178=DIRECTION('',(0.,0.,1.));
#47179=DIRECTION('',(1.,0.,0.));
#47180=DIRECTION('axis',(0.,0.,1.));
#47181=DIRECTION('refdir',(1.,0.,0.));
#47182=DIRECTION('axis',(0.,0.,1.));
#47183=DIRECTION('refdir',(1.,0.,0.));
#47184=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47185=DIRECTION('ref_axis',(0.,0.,1.));
#47186=DIRECTION('',(1.,1.110223024625E-16,0.));
#47187=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47188=DIRECTION('ref_axis',(0.,0.,1.));
#47189=DIRECTION('',(1.,1.110223024625E-16,0.));
#47190=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47191=DIRECTION('ref_axis',(0.,0.,1.));
#47192=DIRECTION('center_axis',(0.,0.,1.));
#47193=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#47194=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47195=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47196=DIRECTION('',(1.,2.22044604925E-16,0.));
#47197=DIRECTION('center_axis',(-1.110223024625E-16,1.,0.));
#47198=DIRECTION('ref_axis',(0.,0.,-1.));
#47199=DIRECTION('',(0.,0.,-1.));
#47200=DIRECTION('',(1.,1.110223024625E-16,0.));
#47201=DIRECTION('',(0.,0.,-1.));
#47202=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47203=DIRECTION('ref_axis',(0.,0.,1.));
#47204=DIRECTION('',(0.,0.,1.));
#47205=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47206=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47207=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47208=DIRECTION('',(0.,0.,1.));
#47209=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47210=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47211=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47212=DIRECTION('',(0.,0.,1.));
#47213=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47214=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47215=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47216=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47217=DIRECTION('ref_axis',(0.,0.,1.));
#47218=DIRECTION('',(0.,0.,1.));
#47219=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47220=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47221=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47222=DIRECTION('',(0.,0.,1.));
#47223=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47224=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47225=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47226=DIRECTION('',(0.,0.,1.));
#47227=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47228=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47229=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47230=DIRECTION('center_axis',(0.,-0.978147600733657,0.207911690818459));
#47231=DIRECTION('ref_axis',(0.,-0.207911690818459,-0.978147600733657));
#47232=DIRECTION('',(1.,2.22044604925E-16,0.));
#47233=DIRECTION('',(-0.103398641623733,0.206797283247466,0.972904725320951));
#47234=DIRECTION('',(1.,2.22044604925E-16,0.));
#47235=DIRECTION('',(1.,2.22044604925E-16,0.));
#47236=DIRECTION('',(1.,2.22044604925E-16,0.));
#47237=DIRECTION('',(1.,2.22044604925E-16,0.));
#47238=DIRECTION('',(1.,2.22044604925E-16,0.));
#47239=DIRECTION('',(1.,2.22044604925E-16,0.));
#47240=DIRECTION('',(1.,2.22044604925E-16,0.));
#47241=DIRECTION('',(1.,2.22044604925E-16,0.));
#47242=DIRECTION('',(1.,2.22044604925E-16,0.));
#47243=DIRECTION('',(1.,2.22044604925E-16,0.));
#47244=DIRECTION('',(1.,2.22044604925E-16,0.));
#47245=DIRECTION('',(1.,2.22044604925E-16,0.));
#47246=DIRECTION('',(1.,2.22044604925E-16,0.));
#47247=DIRECTION('',(1.,2.22044604925E-16,0.));
#47248=DIRECTION('',(1.,2.22044604925E-16,0.));
#47249=DIRECTION('',(1.,2.22044604925E-16,0.));
#47250=DIRECTION('',(1.,2.22044604925E-16,0.));
#47251=DIRECTION('',(1.,2.22044604925E-16,0.));
#47252=DIRECTION('',(1.,2.22044604925E-16,0.));
#47253=DIRECTION('',(1.,2.22044604925E-16,0.));
#47254=DIRECTION('',(1.,2.22044604925E-16,0.));
#47255=DIRECTION('',(1.,2.22044604925E-16,0.));
#47256=DIRECTION('',(1.,2.22044604925E-16,0.));
#47257=DIRECTION('',(1.,2.22044604925E-16,0.));
#47258=DIRECTION('',(0.103398641623733,0.206797283247466,0.972904725320951));
#47259=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47260=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47261=DIRECTION('',(1.,1.110223024625E-16,0.));
#47262=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#47263=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#47264=DIRECTION('',(0.,0.,1.));
#47265=DIRECTION('',(1.,2.22044604925E-16,0.));
#47266=DIRECTION('center_axis',(0.,0.,-1.));
#47267=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#47268=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47269=DIRECTION('',(1.,2.22044604925E-16,0.));
#47270=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47271=DIRECTION('',(1.,1.110223024625E-16,0.));
#47272=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47273=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47274=DIRECTION('',(1.,1.110223024625E-16,0.));
#47275=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#47276=DIRECTION('ref_axis',(0.,0.,1.));
#47277=DIRECTION('',(1.,1.110223024625E-16,0.));
#47278=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47279=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47280=DIRECTION('',(1.,1.110223024625E-16,0.));
#47281=DIRECTION('center_axis',(0.,0.,-1.));
#47282=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#47283=DIRECTION('',(1.,1.110223024625E-16,0.));
#47284=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#47285=DIRECTION('ref_axis',(0.,0.,1.));
#47286=DIRECTION('',(1.,1.110223024625E-16,0.));
#47287=DIRECTION('center_axis',(0.,0.,1.));
#47288=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#47289=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#47290=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#47291=DIRECTION('',(1.,2.22044604925E-16,0.));
#47292=DIRECTION('',(0.,0.,1.));
#47293=DIRECTION('center_axis',(0.,0.,1.));
#47294=DIRECTION('ref_axis',(0.69570023015615,-0.718332227984155,0.));
#47295=DIRECTION('',(0.707106781186548,0.707106781186548,0.));
#47296=DIRECTION('',(0.707106781186548,-0.707106781186548,0.));
#47297=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47298=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.));
#47299=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47300=DIRECTION('',(-0.707106781186548,0.707106781186548,0.));
#47301=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47302=DIRECTION('center_axis',(0.689793693484624,-0.689793693484624,0.219930263623908));
#47303=DIRECTION('ref_axis',(0.303768572933686,0.,-0.952745849688064));
#47304=DIRECTION('',(-0.206797283247466,0.103398641623733,0.972904725320951));
#47305=DIRECTION('',(0.707106781186548,0.707106781186548,0.));
#47306=DIRECTION('center_axis',(-0.689793693484624,-0.689793693484624,0.219930263623908));
#47307=DIRECTION('ref_axis',(0.303768572933686,0.,0.952745849688064));
#47308=DIRECTION('',(0.707106781186548,-0.707106781186548,0.));
#47309=DIRECTION('',(0.206797283247466,0.103398641623733,0.972904725320951));
#47310=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#47311=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#47312=DIRECTION('',(0.,0.,1.));
#47313=DIRECTION('',(1.,2.22044604925E-16,0.));
#47314=DIRECTION('',(0.,0.,1.));
#47315=DIRECTION('center_axis',(0.,0.,1.));
#47316=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#47317=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47318=DIRECTION('',(1.,1.110223024625E-16,0.));
#47319=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47320=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#47321=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#47322=DIRECTION('',(0.,0.,1.));
#47323=DIRECTION('',(1.,2.22044604925E-16,0.));
#47324=DIRECTION('',(0.,0.,1.));
#47325=DIRECTION('center_axis',(0.,0.,1.));
#47326=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#47327=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47328=DIRECTION('',(1.,1.110223024625E-16,0.));
#47329=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47330=DIRECTION('center_axis',(0.,0.,1.));
#47331=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#47332=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47333=DIRECTION('',(1.,1.110223024625E-16,0.));
#47334=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47335=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#47336=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#47337=DIRECTION('',(0.,0.,1.));
#47338=DIRECTION('',(1.,2.22044604925E-16,0.));
#47339=DIRECTION('',(0.,0.,1.));
#47340=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#47341=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#47342=DIRECTION('',(0.,0.,1.));
#47343=DIRECTION('',(1.,2.22044604925E-16,0.));
#47344=DIRECTION('',(0.,0.,1.));
#47345=DIRECTION('center_axis',(0.,0.,1.));
#47346=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#47347=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47348=DIRECTION('',(1.,1.110223024625E-16,0.));
#47349=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47350=DIRECTION('center_axis',(0.,0.,1.));
#47351=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#47352=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47353=DIRECTION('',(1.,1.110223024625E-16,0.));
#47354=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47355=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#47356=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#47357=DIRECTION('',(0.,0.,1.));
#47358=DIRECTION('',(1.,2.22044604925E-16,0.));
#47359=DIRECTION('',(0.,0.,1.));
#47360=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#47361=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#47362=DIRECTION('',(0.,0.,1.));
#47363=DIRECTION('',(1.,2.22044604925E-16,0.));
#47364=DIRECTION('',(0.,0.,1.));
#47365=DIRECTION('center_axis',(0.,0.,1.));
#47366=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#47367=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47368=DIRECTION('',(1.,1.110223024625E-16,0.));
#47369=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47370=DIRECTION('center_axis',(0.,0.,1.));
#47371=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#47372=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47373=DIRECTION('',(1.,1.110223024625E-16,0.));
#47374=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47375=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#47376=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#47377=DIRECTION('',(0.,0.,1.));
#47378=DIRECTION('',(1.,2.22044604925E-16,0.));
#47379=DIRECTION('',(0.,0.,1.));
#47380=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#47381=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#47382=DIRECTION('',(0.,0.,1.));
#47383=DIRECTION('',(1.,2.22044604925E-16,0.));
#47384=DIRECTION('',(0.,0.,1.));
#47385=DIRECTION('center_axis',(0.,0.,1.));
#47386=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#47387=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47388=DIRECTION('',(1.,1.110223024625E-16,0.));
#47389=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47390=DIRECTION('center_axis',(0.,0.,1.));
#47391=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#47392=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47393=DIRECTION('',(1.,1.110223024625E-16,0.));
#47394=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47395=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#47396=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#47397=DIRECTION('',(0.,0.,1.));
#47398=DIRECTION('',(1.,2.22044604925E-16,0.));
#47399=DIRECTION('',(0.,0.,1.));
#47400=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#47401=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#47402=DIRECTION('',(0.,0.,1.));
#47403=DIRECTION('',(1.,2.22044604925E-16,0.));
#47404=DIRECTION('',(0.,0.,1.));
#47405=DIRECTION('center_axis',(0.,0.,1.));
#47406=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#47407=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47408=DIRECTION('',(1.,1.110223024625E-16,0.));
#47409=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47410=DIRECTION('center_axis',(0.,0.,1.));
#47411=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#47412=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47413=DIRECTION('',(1.,1.110223024625E-16,0.));
#47414=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47415=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#47416=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#47417=DIRECTION('',(0.,0.,1.));
#47418=DIRECTION('',(1.,2.22044604925E-16,0.));
#47419=DIRECTION('',(0.,0.,1.));
#47420=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.));
#47421=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.));
#47422=DIRECTION('',(0.,0.,1.));
#47423=DIRECTION('',(0.707106781186548,0.707106781186548,0.));
#47424=DIRECTION('center_axis',(0.,0.,-1.));
#47425=DIRECTION('ref_axis',(-1.,0.,0.));
#47426=DIRECTION('',(0.447213595499955,-0.894427190999917,0.));
#47427=DIRECTION('',(1.,2.22044604925E-16,0.));
#47428=DIRECTION('center_axis',(0.,-0.978147600733657,-0.207911690818459));
#47429=DIRECTION('ref_axis',(0.,0.207911690818459,-0.978147600733657));
#47430=DIRECTION('',(-0.103398641623733,-0.206797283247465,0.972904725320951));
#47431=DIRECTION('',(1.,2.22044604925E-16,0.));
#47432=DIRECTION('',(1.,2.22044604925E-16,0.));
#47433=DIRECTION('',(1.,2.22044604925E-16,0.));
#47434=DIRECTION('',(1.,2.22044604925E-16,0.));
#47435=DIRECTION('',(1.,2.22044604925E-16,0.));
#47436=DIRECTION('',(1.,2.22044604925E-16,0.));
#47437=DIRECTION('',(1.,2.22044604925E-16,0.));
#47438=DIRECTION('',(1.,2.22044604925E-16,0.));
#47439=DIRECTION('',(1.,2.22044604925E-16,0.));
#47440=DIRECTION('',(1.,2.22044604925E-16,0.));
#47441=DIRECTION('',(1.,2.22044604925E-16,0.));
#47442=DIRECTION('',(1.,2.22044604925E-16,0.));
#47443=DIRECTION('',(1.,2.22044604925E-16,0.));
#47444=DIRECTION('',(1.,2.22044604925E-16,0.));
#47445=DIRECTION('',(1.,2.22044604925E-16,0.));
#47446=DIRECTION('',(1.,2.22044604925E-16,0.));
#47447=DIRECTION('',(1.,2.22044604925E-16,0.));
#47448=DIRECTION('',(1.,2.22044604925E-16,0.));
#47449=DIRECTION('',(1.,2.22044604925E-16,0.));
#47450=DIRECTION('',(1.,2.22044604925E-16,0.));
#47451=DIRECTION('',(1.,2.22044604925E-16,0.));
#47452=DIRECTION('',(1.,2.22044604925E-16,0.));
#47453=DIRECTION('',(1.,2.22044604925E-16,0.));
#47454=DIRECTION('',(0.103398641623733,-0.206797283247465,0.972904725320951));
#47455=DIRECTION('',(1.,0.,0.));
#47456=DIRECTION('center_axis',(0.,0.,-1.));
#47457=DIRECTION('ref_axis',(-1.,0.,0.));
#47458=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47459=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47460=DIRECTION('ref_axis',(0.,0.,1.));
#47461=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47462=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47463=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47464=DIRECTION('',(0.,0.,1.));
#47465=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47466=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47467=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47468=DIRECTION('',(0.,0.,1.));
#47469=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47470=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47471=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47472=DIRECTION('',(0.,0.,-1.));
#47473=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47474=DIRECTION('ref_axis',(0.,0.,1.));
#47475=DIRECTION('center_axis',(-0.978147600733657,0.,0.207911690818459));
#47476=DIRECTION('ref_axis',(0.207911690818459,0.,0.978147600733657));
#47477=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47478=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47479=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47480=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47481=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47482=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47483=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47484=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47485=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47486=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47487=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47488=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47489=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47490=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47491=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47492=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47493=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47494=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47495=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47496=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47497=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47498=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47499=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47500=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47501=DIRECTION('',(2.22044604925E-16,-1.,0.));
#47502=DIRECTION('',(0.206797283247466,-0.103398641623733,0.972904725320951));
#47503=DIRECTION('center_axis',(-0.689793693484624,0.689793693484624,0.219930263623908));
#47504=DIRECTION('ref_axis',(0.303768572933686,0.,0.952745849688064));
#47505=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.));
#47506=DIRECTION('',(0.103398641623733,-0.206797283247466,0.972904725320951));
#47507=DIRECTION('center_axis',(0.,0.978147600733657,0.207911690818459));
#47508=DIRECTION('ref_axis',(0.,-0.207911690818459,0.978147600733657));
#47509=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47510=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47511=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47512=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47513=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47514=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47515=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47516=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47517=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47518=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47519=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47520=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47521=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47522=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47523=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47524=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47525=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47526=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47527=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47528=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47529=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47530=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47531=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47532=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47533=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#47534=DIRECTION('',(-0.103398641623733,-0.206797283247466,0.972904725320951));
#47535=DIRECTION('center_axis',(0.689793693484624,0.689793693484624,0.219930263623908));
#47536=DIRECTION('ref_axis',(0.303768572933686,0.,-0.952745849688064));
#47537=DIRECTION('',(-0.707106781186548,0.707106781186548,0.));
#47538=DIRECTION('',(-0.206797283247466,-0.103398641623733,0.972904725320951));
#47539=DIRECTION('center_axis',(0.978147600733657,0.,0.207911690818459));
#47540=DIRECTION('ref_axis',(0.207911690818459,0.,-0.978147600733657));
#47541=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47542=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47543=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47544=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47545=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47546=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47547=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47548=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47549=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47550=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47551=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47552=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47553=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47554=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47555=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47556=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47557=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47558=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47559=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47560=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47561=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47562=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47563=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47564=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47565=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47566=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#47567=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.));
#47568=DIRECTION('',(0.707106781186548,-0.707106781186548,0.));
#47569=DIRECTION('',(0.,0.,1.));
#47570=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47571=DIRECTION('ref_axis',(0.,0.,1.));
#47572=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47573=DIRECTION('ref_axis',(0.,0.,1.));
#47574=DIRECTION('',(0.,0.,-1.));
#47575=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47576=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47577=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47578=DIRECTION('',(0.,0.,1.));
#47579=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47580=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47581=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47582=DIRECTION('',(0.,0.,1.));
#47583=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47584=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47585=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47586=DIRECTION('center_axis',(0.,0.,-1.));
#47587=DIRECTION('ref_axis',(-1.,0.,0.));
#47588=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47589=DIRECTION('',(-0.447213595499955,-0.894427190999917,0.));
#47590=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47591=DIRECTION('ref_axis',(0.,0.,1.));
#47592=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47593=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47594=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47595=DIRECTION('',(0.,0.,1.));
#47596=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47597=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47598=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47599=DIRECTION('',(0.,0.,1.));
#47600=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47601=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47602=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47603=DIRECTION('',(0.,0.,-1.));
#47604=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47605=DIRECTION('ref_axis',(0.,0.,1.));
#47606=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47607=DIRECTION('ref_axis',(0.,0.,1.));
#47608=DIRECTION('',(1.,1.110223024625E-16,0.));
#47609=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47610=DIRECTION('ref_axis',(0.,0.,1.));
#47611=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47612=DIRECTION('ref_axis',(0.,0.,1.));
#47613=DIRECTION('',(0.,0.,-1.));
#47614=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47615=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47616=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47617=DIRECTION('',(0.,0.,1.));
#47618=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47619=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47620=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47621=DIRECTION('',(0.,0.,1.));
#47622=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47623=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47624=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47625=DIRECTION('center_axis',(0.,0.,-1.));
#47626=DIRECTION('ref_axis',(-1.,0.,0.));
#47627=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47628=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47629=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47630=DIRECTION('ref_axis',(0.,0.,1.));
#47631=DIRECTION('',(1.,1.110223024625E-16,0.));
#47632=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47633=DIRECTION('ref_axis',(0.,0.,1.));
#47634=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47635=DIRECTION('ref_axis',(0.,0.,1.));
#47636=DIRECTION('',(0.,0.,-1.));
#47637=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47638=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47639=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47640=DIRECTION('',(0.,0.,1.));
#47641=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47642=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47643=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47644=DIRECTION('',(0.,0.,1.));
#47645=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47646=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47647=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47648=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47649=DIRECTION('ref_axis',(0.,0.,1.));
#47650=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47651=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47652=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47653=DIRECTION('',(0.,0.,1.));
#47654=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47655=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47656=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47657=DIRECTION('',(0.,0.,1.));
#47658=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47659=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47660=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47661=DIRECTION('',(0.,0.,-1.));
#47662=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47663=DIRECTION('ref_axis',(0.,0.,1.));
#47664=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47665=DIRECTION('ref_axis',(0.,0.,1.));
#47666=DIRECTION('',(1.,1.110223024625E-16,0.));
#47667=DIRECTION('center_axis',(0.,0.,-1.));
#47668=DIRECTION('ref_axis',(-1.,0.,0.));
#47669=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47670=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47671=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47672=DIRECTION('ref_axis',(0.,0.,1.));
#47673=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47674=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47675=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47676=DIRECTION('',(0.,0.,1.));
#47677=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47678=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47679=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47680=DIRECTION('',(0.,0.,1.));
#47681=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47682=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47683=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47684=DIRECTION('',(0.,0.,-1.));
#47685=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47686=DIRECTION('ref_axis',(0.,0.,1.));
#47687=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47688=DIRECTION('ref_axis',(0.,0.,1.));
#47689=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47690=DIRECTION('ref_axis',(0.,0.,1.));
#47691=DIRECTION('',(0.,0.,-1.));
#47692=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47693=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47694=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47695=DIRECTION('',(0.,0.,1.));
#47696=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47697=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47698=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47699=DIRECTION('',(0.,0.,1.));
#47700=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47701=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47702=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47703=DIRECTION('center_axis',(0.,0.,-1.));
#47704=DIRECTION('ref_axis',(-1.,0.,0.));
#47705=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47706=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47707=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47708=DIRECTION('ref_axis',(0.,0.,1.));
#47709=DIRECTION('',(1.,1.110223024625E-16,0.));
#47710=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47711=DIRECTION('ref_axis',(0.,0.,1.));
#47712=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47713=DIRECTION('ref_axis',(0.,0.,1.));
#47714=DIRECTION('',(0.,0.,-1.));
#47715=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47716=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47717=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47718=DIRECTION('',(0.,0.,1.));
#47719=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47720=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47721=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47722=DIRECTION('',(0.,0.,1.));
#47723=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47724=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47725=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47726=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47727=DIRECTION('ref_axis',(0.,0.,1.));
#47728=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47729=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47730=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47731=DIRECTION('',(0.,0.,1.));
#47732=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47733=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47734=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47735=DIRECTION('',(0.,0.,1.));
#47736=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47737=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47738=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47739=DIRECTION('',(0.,0.,-1.));
#47740=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47741=DIRECTION('ref_axis',(0.,0.,1.));
#47742=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47743=DIRECTION('ref_axis',(0.,0.,1.));
#47744=DIRECTION('',(1.,1.110223024625E-16,0.));
#47745=DIRECTION('center_axis',(0.,0.,-1.));
#47746=DIRECTION('ref_axis',(-1.,0.,0.));
#47747=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47748=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47749=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47750=DIRECTION('ref_axis',(0.,0.,1.));
#47751=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47752=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47753=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47754=DIRECTION('',(0.,0.,1.));
#47755=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47756=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47757=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47758=DIRECTION('',(0.,0.,1.));
#47759=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47760=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47761=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47762=DIRECTION('',(0.,0.,-1.));
#47763=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47764=DIRECTION('ref_axis',(0.,0.,1.));
#47765=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47766=DIRECTION('ref_axis',(0.,0.,1.));
#47767=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47768=DIRECTION('ref_axis',(0.,0.,1.));
#47769=DIRECTION('',(0.,0.,-1.));
#47770=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47771=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47772=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47773=DIRECTION('',(0.,0.,1.));
#47774=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47775=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47776=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47777=DIRECTION('',(0.,0.,1.));
#47778=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47779=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47780=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47781=DIRECTION('center_axis',(0.,0.,-1.));
#47782=DIRECTION('ref_axis',(-1.,0.,0.));
#47783=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47784=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47785=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47786=DIRECTION('ref_axis',(0.,0.,1.));
#47787=DIRECTION('',(1.,1.110223024625E-16,0.));
#47788=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47789=DIRECTION('ref_axis',(0.,0.,1.));
#47790=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47791=DIRECTION('ref_axis',(0.,0.,1.));
#47792=DIRECTION('',(0.,0.,-1.));
#47793=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47794=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47795=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47796=DIRECTION('',(0.,0.,1.));
#47797=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47798=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47799=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47800=DIRECTION('',(0.,0.,1.));
#47801=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47802=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47803=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47804=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47805=DIRECTION('ref_axis',(0.,0.,1.));
#47806=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47807=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47808=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47809=DIRECTION('',(0.,0.,1.));
#47810=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47811=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47812=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47813=DIRECTION('',(0.,0.,1.));
#47814=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47815=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47816=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47817=DIRECTION('',(0.,0.,-1.));
#47818=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47819=DIRECTION('ref_axis',(0.,0.,1.));
#47820=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47821=DIRECTION('ref_axis',(0.,0.,1.));
#47822=DIRECTION('',(1.,1.110223024625E-16,0.));
#47823=DIRECTION('center_axis',(0.,0.,-1.));
#47824=DIRECTION('ref_axis',(-1.,0.,0.));
#47825=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47826=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47827=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47828=DIRECTION('ref_axis',(0.,0.,1.));
#47829=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47830=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47831=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47832=DIRECTION('',(0.,0.,1.));
#47833=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47834=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47835=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47836=DIRECTION('',(0.,0.,1.));
#47837=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47838=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47839=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47840=DIRECTION('',(0.,0.,-1.));
#47841=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47842=DIRECTION('ref_axis',(0.,0.,1.));
#47843=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47844=DIRECTION('ref_axis',(0.,0.,1.));
#47845=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47846=DIRECTION('ref_axis',(0.,0.,1.));
#47847=DIRECTION('',(0.,0.,-1.));
#47848=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47849=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47850=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47851=DIRECTION('',(0.,0.,1.));
#47852=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47853=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47854=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47855=DIRECTION('',(0.,0.,1.));
#47856=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47857=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47858=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47859=DIRECTION('center_axis',(0.,0.,-1.));
#47860=DIRECTION('ref_axis',(-1.,0.,0.));
#47861=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47862=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47863=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47864=DIRECTION('ref_axis',(0.,0.,1.));
#47865=DIRECTION('',(1.,1.110223024625E-16,0.));
#47866=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47867=DIRECTION('ref_axis',(0.,0.,1.));
#47868=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47869=DIRECTION('ref_axis',(0.,0.,1.));
#47870=DIRECTION('',(0.,0.,-1.));
#47871=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47872=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47873=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47874=DIRECTION('',(0.,0.,1.));
#47875=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47876=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47877=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47878=DIRECTION('',(0.,0.,1.));
#47879=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47880=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47881=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47882=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47883=DIRECTION('ref_axis',(0.,0.,1.));
#47884=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47885=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47886=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47887=DIRECTION('',(0.,0.,1.));
#47888=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47889=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47890=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47891=DIRECTION('',(0.,0.,1.));
#47892=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47893=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47894=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47895=DIRECTION('',(0.,0.,-1.));
#47896=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47897=DIRECTION('ref_axis',(0.,0.,1.));
#47898=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47899=DIRECTION('ref_axis',(0.,0.,1.));
#47900=DIRECTION('',(1.,1.110223024625E-16,0.));
#47901=DIRECTION('center_axis',(0.,0.,-1.));
#47902=DIRECTION('ref_axis',(-1.,0.,0.));
#47903=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47904=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47905=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47906=DIRECTION('ref_axis',(0.,0.,1.));
#47907=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47908=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47909=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47910=DIRECTION('',(0.,0.,1.));
#47911=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47912=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47913=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47914=DIRECTION('',(0.,0.,1.));
#47915=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47916=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47917=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47918=DIRECTION('',(0.,0.,-1.));
#47919=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47920=DIRECTION('ref_axis',(0.,0.,1.));
#47921=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47922=DIRECTION('ref_axis',(0.,0.,1.));
#47923=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47924=DIRECTION('ref_axis',(0.,0.,1.));
#47925=DIRECTION('',(0.,0.,-1.));
#47926=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47927=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47928=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47929=DIRECTION('',(0.,0.,1.));
#47930=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47931=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47932=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47933=DIRECTION('',(0.,0.,1.));
#47934=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47935=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47936=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47937=DIRECTION('center_axis',(0.,0.,-1.));
#47938=DIRECTION('ref_axis',(-1.,0.,0.));
#47939=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47940=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47941=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47942=DIRECTION('ref_axis',(0.,0.,1.));
#47943=DIRECTION('',(1.,1.110223024625E-16,0.));
#47944=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47945=DIRECTION('ref_axis',(0.,0.,1.));
#47946=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47947=DIRECTION('ref_axis',(0.,0.,1.));
#47948=DIRECTION('',(0.,0.,-1.));
#47949=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47950=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47951=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47952=DIRECTION('',(0.,0.,1.));
#47953=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47954=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47955=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47956=DIRECTION('',(0.,0.,1.));
#47957=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47958=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47959=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47960=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47961=DIRECTION('ref_axis',(0.,0.,1.));
#47962=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47963=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47964=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#47965=DIRECTION('',(0.,0.,1.));
#47966=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47967=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#47968=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47969=DIRECTION('',(0.,0.,1.));
#47970=DIRECTION('',(-1.110223024625E-16,1.,0.));
#47971=DIRECTION('center_axis',(1.,1.110223024625E-16,0.));
#47972=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#47973=DIRECTION('',(0.,0.,-1.));
#47974=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47975=DIRECTION('ref_axis',(0.,0.,1.));
#47976=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#47977=DIRECTION('ref_axis',(0.,0.,1.));
#47978=DIRECTION('',(1.,1.110223024625E-16,0.));
#47979=DIRECTION('center_axis',(0.,0.,-1.));
#47980=DIRECTION('ref_axis',(-1.,0.,0.));
#47981=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47982=DIRECTION('',(1.110223024625E-16,-1.,0.));
#47983=DIRECTION('center_axis',(0.,0.,-1.));
#47984=DIRECTION('ref_axis',(-1.,0.,0.));
#47985=DIRECTION('',(0.894427190999917,-0.447213595499955,0.));
#47986=DIRECTION('',(0.707106781186548,0.707106781186548,0.));
#47987=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#47988=DIRECTION('ref_axis',(-2.22044604925E-16,1.,0.));
#47989=DIRECTION('',(0.,0.,1.));
#47990=DIRECTION('',(-2.22044604925E-16,1.,0.));
#47991=DIRECTION('center_axis',(-0.689793693484624,-0.689793693484624,-0.219930263623908));
#47992=DIRECTION('ref_axis',(-0.303768572933686,0.,0.952745849688064));
#47993=DIRECTION('',(-0.206797283247465,-0.103398641623733,0.972904725320951));
#47994=DIRECTION('',(0.707106781186548,-0.707106781186548,0.));
#47995=DIRECTION('',(0.707106781186542,-0.707106781186553,0.));
#47996=DIRECTION('center_axis',(-1.,0.,0.));
#47997=DIRECTION('ref_axis',(0.,0.629320391054133,0.777145961453493));
#47998=DIRECTION('center_axis',(0.923879976313772,0.382682360928412,-8.49065499117685E-7));
#47999=DIRECTION('ref_axis',(0.382682360928513,-0.923879976314119,-4.70798257856876E-8));
#48000=DIRECTION('',(1.,0.,0.));
#48001=DIRECTION('center_axis',(0.923879531596289,-0.382683434574089,-1.81712871518534E-9));
#48002=DIRECTION('ref_axis',(0.382683434574089,0.923879531596289,-3.35548806895001E-8));
#48003=DIRECTION('center_axis',(0.689793693484624,-0.689793693484624,-0.219930263623908));
#48004=DIRECTION('ref_axis',(-0.303768572933686,0.,-0.952745849688064));
#48005=DIRECTION('',(0.206797283247465,-0.103398641623733,0.972904725320951));
#48006=DIRECTION('',(0.707106781186553,0.707106781186542,0.));
#48007=DIRECTION('center_axis',(0.,0.,-1.));
#48008=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48009=DIRECTION('',(1.,1.110223024625E-16,0.));
#48010=DIRECTION('center_axis',(0.,0.,-1.));
#48011=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48012=DIRECTION('',(1.,1.110223024625E-16,0.));
#48013=DIRECTION('center_axis',(0.,0.,-1.));
#48014=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48015=DIRECTION('',(1.,1.110223024625E-16,0.));
#48016=DIRECTION('center_axis',(0.,0.,-1.));
#48017=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48018=DIRECTION('',(1.,1.110223024625E-16,0.));
#48019=DIRECTION('center_axis',(0.,0.,-1.));
#48020=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48021=DIRECTION('',(1.,1.110223024625E-16,0.));
#48022=DIRECTION('center_axis',(0.,0.,-1.));
#48023=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48024=DIRECTION('',(1.,1.110223024625E-16,0.));
#48025=DIRECTION('center_axis',(0.,0.,-1.));
#48026=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48027=DIRECTION('',(1.,1.110223024625E-16,0.));
#48028=DIRECTION('center_axis',(0.,0.,-1.));
#48029=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48030=DIRECTION('',(1.,1.110223024625E-16,0.));
#48031=DIRECTION('center_axis',(0.,0.,-1.));
#48032=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48033=DIRECTION('',(1.,1.110223024625E-16,0.));
#48034=DIRECTION('center_axis',(0.,0.,-1.));
#48035=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48036=DIRECTION('',(1.,1.110223024625E-16,0.));
#48037=DIRECTION('center_axis',(0.,0.,-1.));
#48038=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48039=DIRECTION('',(1.,1.110223024625E-16,0.));
#48040=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48041=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#48042=DIRECTION('',(1.,1.110223024625E-16,0.));
#48043=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48044=DIRECTION('ref_axis',(0.,0.,1.));
#48045=DIRECTION('',(1.,1.110223024625E-16,0.));
#48046=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48047=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#48048=DIRECTION('',(1.,1.110223024625E-16,0.));
#48049=DIRECTION('center_axis',(0.,0.,-1.));
#48050=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48051=DIRECTION('',(1.,1.110223024625E-16,0.));
#48052=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48053=DIRECTION('ref_axis',(0.,0.,1.));
#48054=DIRECTION('',(1.,1.110223024625E-16,0.));
#48055=DIRECTION('center_axis',(0.,0.,1.));
#48056=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#48057=DIRECTION('',(1.,1.110223024625E-16,0.));
#48058=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48059=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#48060=DIRECTION('',(1.,1.110223024625E-16,0.));
#48061=DIRECTION('center_axis',(-1.110223024625E-16,1.,0.));
#48062=DIRECTION('ref_axis',(0.,0.,-1.));
#48063=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#48064=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#48065=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48066=DIRECTION('',(0.,0.,1.));
#48067=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#48068=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#48069=DIRECTION('',(0.,0.,1.));
#48070=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48071=DIRECTION('',(0.,0.,1.));
#48072=DIRECTION('center_axis',(0.,0.,1.));
#48073=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#48074=DIRECTION('',(1.,2.22044604925E-16,0.));
#48075=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48076=DIRECTION('',(1.,2.22044604925E-16,0.));
#48077=DIRECTION('center_axis',(0.,0.,1.));
#48078=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#48079=DIRECTION('',(1.,2.22044604925E-16,0.));
#48080=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48081=DIRECTION('',(1.,2.22044604925E-16,0.));
#48082=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#48083=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#48084=DIRECTION('',(0.,0.,1.));
#48085=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48086=DIRECTION('',(0.,0.,1.));
#48087=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#48088=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#48089=DIRECTION('',(0.,0.,1.));
#48090=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48091=DIRECTION('',(0.,0.,1.));
#48092=DIRECTION('center_axis',(0.,0.,1.));
#48093=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#48094=DIRECTION('',(1.,2.22044604925E-16,0.));
#48095=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48096=DIRECTION('',(1.,2.22044604925E-16,0.));
#48097=DIRECTION('center_axis',(0.,0.,1.));
#48098=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#48099=DIRECTION('',(1.,2.22044604925E-16,0.));
#48100=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48101=DIRECTION('',(1.,2.22044604925E-16,0.));
#48102=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#48103=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#48104=DIRECTION('',(0.,0.,1.));
#48105=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48106=DIRECTION('',(0.,0.,1.));
#48107=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#48108=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#48109=DIRECTION('',(0.,0.,1.));
#48110=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48111=DIRECTION('',(0.,0.,1.));
#48112=DIRECTION('center_axis',(0.,0.,1.));
#48113=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#48114=DIRECTION('',(1.,2.22044604925E-16,0.));
#48115=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48116=DIRECTION('',(1.,2.22044604925E-16,0.));
#48117=DIRECTION('center_axis',(0.,0.,1.));
#48118=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#48119=DIRECTION('',(1.,2.22044604925E-16,0.));
#48120=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48121=DIRECTION('',(1.,2.22044604925E-16,0.));
#48122=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#48123=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#48124=DIRECTION('',(0.,0.,1.));
#48125=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48126=DIRECTION('',(0.,0.,1.));
#48127=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#48128=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#48129=DIRECTION('',(0.,0.,1.));
#48130=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48131=DIRECTION('',(0.,0.,1.));
#48132=DIRECTION('center_axis',(0.,0.,1.));
#48133=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#48134=DIRECTION('',(1.,2.22044604925E-16,0.));
#48135=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48136=DIRECTION('',(1.,2.22044604925E-16,0.));
#48137=DIRECTION('center_axis',(0.,0.,1.));
#48138=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#48139=DIRECTION('',(1.,2.22044604925E-16,0.));
#48140=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48141=DIRECTION('',(1.,2.22044604925E-16,0.));
#48142=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#48143=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#48144=DIRECTION('',(0.,0.,1.));
#48145=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48146=DIRECTION('',(0.,0.,1.));
#48147=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#48148=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#48149=DIRECTION('',(0.,0.,1.));
#48150=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48151=DIRECTION('',(0.,0.,1.));
#48152=DIRECTION('center_axis',(0.,0.,1.));
#48153=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#48154=DIRECTION('',(1.,2.22044604925E-16,0.));
#48155=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48156=DIRECTION('',(1.,2.22044604925E-16,0.));
#48157=DIRECTION('center_axis',(0.,0.,1.));
#48158=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#48159=DIRECTION('',(1.,2.22044604925E-16,0.));
#48160=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48161=DIRECTION('',(1.,2.22044604925E-16,0.));
#48162=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#48163=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#48164=DIRECTION('',(0.,0.,1.));
#48165=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48166=DIRECTION('',(0.,0.,1.));
#48167=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#48168=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#48169=DIRECTION('',(0.,0.,1.));
#48170=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48171=DIRECTION('',(0.,0.,1.));
#48172=DIRECTION('center_axis',(0.,0.,1.));
#48173=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#48174=DIRECTION('',(1.,2.22044604925E-16,0.));
#48175=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48176=DIRECTION('',(1.,2.22044604925E-16,0.));
#48177=DIRECTION('center_axis',(0.,0.,1.));
#48178=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#48179=DIRECTION('',(1.,2.22044604925E-16,0.));
#48180=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48181=DIRECTION('',(1.,2.22044604925E-16,0.));
#48182=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#48183=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#48184=DIRECTION('',(0.,0.,1.));
#48185=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48186=DIRECTION('',(0.,0.,1.));
#48187=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#48188=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.));
#48189=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.));
#48190=DIRECTION('',(0.,0.,1.));
#48191=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48192=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#48193=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48194=DIRECTION('',(0.,0.,1.));
#48195=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48196=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#48197=DIRECTION('',(0.,0.,1.));
#48198=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48199=DIRECTION('',(0.,0.,1.));
#48200=DIRECTION('center_axis',(0.,0.,1.));
#48201=DIRECTION('ref_axis',(0.,-1.,0.));
#48202=DIRECTION('',(0.,-1.,0.));
#48203=DIRECTION('',(-1.,0.,0.));
#48204=DIRECTION('',(0.,-1.,0.));
#48205=DIRECTION('center_axis',(0.,0.,1.));
#48206=DIRECTION('ref_axis',(0.,-1.,0.));
#48207=DIRECTION('',(0.,-1.,0.));
#48208=DIRECTION('',(-1.,0.,0.));
#48209=DIRECTION('',(0.,-1.,0.));
#48210=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48211=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#48212=DIRECTION('',(0.,0.,1.));
#48213=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48214=DIRECTION('',(0.,0.,1.));
#48215=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48216=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#48217=DIRECTION('',(0.,0.,1.));
#48218=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48219=DIRECTION('',(0.,0.,1.));
#48220=DIRECTION('center_axis',(0.,0.,1.));
#48221=DIRECTION('ref_axis',(0.,-1.,0.));
#48222=DIRECTION('',(0.,-1.,0.));
#48223=DIRECTION('',(-1.,0.,0.));
#48224=DIRECTION('',(0.,-1.,0.));
#48225=DIRECTION('center_axis',(0.,0.,1.));
#48226=DIRECTION('ref_axis',(0.,-1.,0.));
#48227=DIRECTION('',(0.,-1.,0.));
#48228=DIRECTION('',(-1.,0.,0.));
#48229=DIRECTION('',(0.,-1.,0.));
#48230=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48231=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#48232=DIRECTION('',(0.,0.,1.));
#48233=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48234=DIRECTION('',(0.,0.,1.));
#48235=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48236=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#48237=DIRECTION('',(0.,0.,1.));
#48238=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48239=DIRECTION('',(0.,0.,1.));
#48240=DIRECTION('center_axis',(0.,0.,1.));
#48241=DIRECTION('ref_axis',(0.,-1.,0.));
#48242=DIRECTION('',(0.,-1.,0.));
#48243=DIRECTION('',(-1.,0.,0.));
#48244=DIRECTION('',(0.,-1.,0.));
#48245=DIRECTION('center_axis',(0.,0.,1.));
#48246=DIRECTION('ref_axis',(0.,-1.,0.));
#48247=DIRECTION('',(0.,-1.,0.));
#48248=DIRECTION('',(-1.,0.,0.));
#48249=DIRECTION('',(0.,-1.,0.));
#48250=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48251=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#48252=DIRECTION('',(0.,0.,1.));
#48253=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48254=DIRECTION('',(0.,0.,1.));
#48255=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48256=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#48257=DIRECTION('',(0.,0.,1.));
#48258=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48259=DIRECTION('',(0.,0.,1.));
#48260=DIRECTION('center_axis',(0.,0.,1.));
#48261=DIRECTION('ref_axis',(0.,-1.,0.));
#48262=DIRECTION('',(0.,-1.,0.));
#48263=DIRECTION('',(-1.,0.,0.));
#48264=DIRECTION('',(0.,-1.,0.));
#48265=DIRECTION('center_axis',(0.,0.,1.));
#48266=DIRECTION('ref_axis',(0.,-1.,0.));
#48267=DIRECTION('',(0.,-1.,0.));
#48268=DIRECTION('',(-1.,0.,0.));
#48269=DIRECTION('',(0.,-1.,0.));
#48270=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48271=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#48272=DIRECTION('',(0.,0.,1.));
#48273=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48274=DIRECTION('',(0.,0.,1.));
#48275=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48276=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#48277=DIRECTION('',(0.,0.,1.));
#48278=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48279=DIRECTION('',(0.,0.,1.));
#48280=DIRECTION('center_axis',(0.,0.,1.));
#48281=DIRECTION('ref_axis',(0.,-1.,0.));
#48282=DIRECTION('',(0.,-1.,0.));
#48283=DIRECTION('',(-1.,0.,0.));
#48284=DIRECTION('',(0.,-1.,0.));
#48285=DIRECTION('center_axis',(0.,0.,1.));
#48286=DIRECTION('ref_axis',(0.,-1.,0.));
#48287=DIRECTION('',(0.,-1.,0.));
#48288=DIRECTION('',(-1.,0.,0.));
#48289=DIRECTION('',(0.,-1.,0.));
#48290=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48291=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#48292=DIRECTION('',(0.,0.,1.));
#48293=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48294=DIRECTION('',(0.,0.,1.));
#48295=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48296=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#48297=DIRECTION('',(0.,0.,1.));
#48298=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48299=DIRECTION('',(0.,0.,1.));
#48300=DIRECTION('center_axis',(0.,0.,1.));
#48301=DIRECTION('ref_axis',(0.,-1.,0.));
#48302=DIRECTION('',(0.,-1.,0.));
#48303=DIRECTION('',(-1.,0.,0.));
#48304=DIRECTION('',(0.,-1.,0.));
#48305=DIRECTION('center_axis',(0.,0.,1.));
#48306=DIRECTION('ref_axis',(0.,-1.,0.));
#48307=DIRECTION('',(0.,-1.,0.));
#48308=DIRECTION('',(-1.,0.,0.));
#48309=DIRECTION('',(0.,-1.,0.));
#48310=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48311=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#48312=DIRECTION('',(0.,0.,1.));
#48313=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48314=DIRECTION('',(0.,0.,1.));
#48315=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.));
#48316=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.));
#48317=DIRECTION('',(-0.707106781186548,0.707106781186548,0.));
#48318=DIRECTION('',(0.,0.,1.));
#48319=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#48320=DIRECTION('ref_axis',(-2.22044604925E-16,1.,0.));
#48321=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48322=DIRECTION('',(0.,0.,1.));
#48323=DIRECTION('center_axis',(0.,0.,1.));
#48324=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#48325=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48326=DIRECTION('',(-9.95799250103E-17,1.,0.));
#48327=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48328=DIRECTION('center_axis',(0.,0.,1.));
#48329=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#48330=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48331=DIRECTION('',(-9.95799250103E-17,1.,0.));
#48332=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48333=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#48334=DIRECTION('ref_axis',(-2.22044604925E-16,1.,0.));
#48335=DIRECTION('',(0.,0.,1.));
#48336=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48337=DIRECTION('',(0.,0.,1.));
#48338=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#48339=DIRECTION('ref_axis',(-2.22044604925E-16,1.,0.));
#48340=DIRECTION('',(0.,0.,1.));
#48341=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48342=DIRECTION('',(0.,0.,1.));
#48343=DIRECTION('center_axis',(0.,0.,1.));
#48344=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#48345=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48346=DIRECTION('',(-9.95799250103E-17,1.,0.));
#48347=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48348=DIRECTION('center_axis',(0.,0.,1.));
#48349=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#48350=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48351=DIRECTION('',(-9.95799250103E-17,1.,0.));
#48352=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48353=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#48354=DIRECTION('ref_axis',(-2.22044604925E-16,1.,0.));
#48355=DIRECTION('',(0.,0.,1.));
#48356=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48357=DIRECTION('',(0.,0.,1.));
#48358=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#48359=DIRECTION('ref_axis',(-2.22044604925E-16,1.,0.));
#48360=DIRECTION('',(0.,0.,1.));
#48361=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48362=DIRECTION('',(0.,0.,1.));
#48363=DIRECTION('center_axis',(0.,0.,1.));
#48364=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#48365=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48366=DIRECTION('',(-9.95799250103E-17,1.,0.));
#48367=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48368=DIRECTION('center_axis',(0.,0.,1.));
#48369=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#48370=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48371=DIRECTION('',(-9.95799250103E-17,1.,0.));
#48372=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48373=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#48374=DIRECTION('ref_axis',(-2.22044604925E-16,1.,0.));
#48375=DIRECTION('',(0.,0.,1.));
#48376=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48377=DIRECTION('',(0.,0.,1.));
#48378=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#48379=DIRECTION('ref_axis',(-2.22044604925E-16,1.,0.));
#48380=DIRECTION('',(0.,0.,1.));
#48381=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48382=DIRECTION('',(0.,0.,1.));
#48383=DIRECTION('center_axis',(0.,0.,1.));
#48384=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#48385=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48386=DIRECTION('',(-9.95799250103E-17,1.,0.));
#48387=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48388=DIRECTION('center_axis',(0.,0.,1.));
#48389=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#48390=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48391=DIRECTION('',(-9.95799250103E-17,1.,0.));
#48392=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48393=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#48394=DIRECTION('ref_axis',(-2.22044604925E-16,1.,0.));
#48395=DIRECTION('',(0.,0.,1.));
#48396=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48397=DIRECTION('',(0.,0.,1.));
#48398=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#48399=DIRECTION('ref_axis',(-2.22044604925E-16,1.,0.));
#48400=DIRECTION('',(0.,0.,1.));
#48401=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48402=DIRECTION('',(0.,0.,1.));
#48403=DIRECTION('center_axis',(0.,0.,1.));
#48404=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#48405=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48406=DIRECTION('',(-9.95799250103E-17,1.,0.));
#48407=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48408=DIRECTION('center_axis',(0.,0.,1.));
#48409=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#48410=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48411=DIRECTION('',(-9.95799250103E-17,1.,0.));
#48412=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48413=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#48414=DIRECTION('ref_axis',(-2.22044604925E-16,1.,0.));
#48415=DIRECTION('',(0.,0.,1.));
#48416=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48417=DIRECTION('',(0.,0.,1.));
#48418=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#48419=DIRECTION('ref_axis',(-2.22044604925E-16,1.,0.));
#48420=DIRECTION('',(0.,0.,1.));
#48421=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48422=DIRECTION('',(0.,0.,1.));
#48423=DIRECTION('center_axis',(0.,0.,1.));
#48424=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#48425=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48426=DIRECTION('',(-9.95799250103E-17,1.,0.));
#48427=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48428=DIRECTION('center_axis',(0.,0.,1.));
#48429=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#48430=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48431=DIRECTION('',(-9.95799250103E-17,1.,0.));
#48432=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48433=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#48434=DIRECTION('ref_axis',(-2.22044604925E-16,1.,0.));
#48435=DIRECTION('',(0.,0.,1.));
#48436=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48437=DIRECTION('',(0.,0.,1.));
#48438=DIRECTION('center_axis',(0.,0.,-1.));
#48439=DIRECTION('ref_axis',(-1.,0.,0.));
#48440=DIRECTION('',(-0.894427190999917,-0.447213595499955,0.));
#48441=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48442=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#48443=DIRECTION('',(1.,1.110223024625E-16,0.));
#48444=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48445=DIRECTION('ref_axis',(0.,0.,1.));
#48446=DIRECTION('',(1.,1.110223024625E-16,0.));
#48447=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48448=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#48449=DIRECTION('',(1.,1.110223024625E-16,0.));
#48450=DIRECTION('center_axis',(0.,0.,-1.));
#48451=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48452=DIRECTION('',(1.,1.110223024625E-16,0.));
#48453=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48454=DIRECTION('ref_axis',(0.,0.,1.));
#48455=DIRECTION('',(1.,1.110223024625E-16,0.));
#48456=DIRECTION('center_axis',(0.,0.,1.));
#48457=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#48458=DIRECTION('',(1.,1.110223024625E-16,0.));
#48459=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48460=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#48461=DIRECTION('',(1.,1.110223024625E-16,0.));
#48462=DIRECTION('center_axis',(-1.110223024625E-16,1.,0.));
#48463=DIRECTION('ref_axis',(0.,0.,-1.));
#48464=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48465=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#48466=DIRECTION('',(1.,1.110223024625E-16,0.));
#48467=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48468=DIRECTION('ref_axis',(0.,0.,1.));
#48469=DIRECTION('',(1.,1.110223024625E-16,0.));
#48470=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48471=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#48472=DIRECTION('',(1.,1.110223024625E-16,0.));
#48473=DIRECTION('center_axis',(0.,0.,-1.));
#48474=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48475=DIRECTION('',(1.,1.110223024625E-16,0.));
#48476=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48477=DIRECTION('ref_axis',(0.,0.,1.));
#48478=DIRECTION('',(1.,1.110223024625E-16,0.));
#48479=DIRECTION('center_axis',(0.,0.,1.));
#48480=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#48481=DIRECTION('',(1.,1.110223024625E-16,0.));
#48482=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48483=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#48484=DIRECTION('',(1.,1.110223024625E-16,0.));
#48485=DIRECTION('center_axis',(-1.110223024625E-16,1.,0.));
#48486=DIRECTION('ref_axis',(0.,0.,-1.));
#48487=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48488=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#48489=DIRECTION('',(1.,1.110223024625E-16,0.));
#48490=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48491=DIRECTION('ref_axis',(0.,0.,1.));
#48492=DIRECTION('',(1.,1.110223024625E-16,0.));
#48493=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48494=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#48495=DIRECTION('',(1.,1.110223024625E-16,0.));
#48496=DIRECTION('center_axis',(0.,0.,-1.));
#48497=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48498=DIRECTION('',(1.,1.110223024625E-16,0.));
#48499=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48500=DIRECTION('ref_axis',(0.,0.,1.));
#48501=DIRECTION('',(1.,1.110223024625E-16,0.));
#48502=DIRECTION('center_axis',(0.,0.,1.));
#48503=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#48504=DIRECTION('',(1.,1.110223024625E-16,0.));
#48505=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48506=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#48507=DIRECTION('',(1.,1.110223024625E-16,0.));
#48508=DIRECTION('center_axis',(-1.110223024625E-16,1.,0.));
#48509=DIRECTION('ref_axis',(0.,0.,-1.));
#48510=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48511=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#48512=DIRECTION('',(1.,1.110223024625E-16,0.));
#48513=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48514=DIRECTION('ref_axis',(0.,0.,1.));
#48515=DIRECTION('',(1.,1.110223024625E-16,0.));
#48516=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48517=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#48518=DIRECTION('',(1.,1.110223024625E-16,0.));
#48519=DIRECTION('center_axis',(0.,0.,-1.));
#48520=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48521=DIRECTION('',(1.,1.110223024625E-16,0.));
#48522=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48523=DIRECTION('ref_axis',(0.,0.,1.));
#48524=DIRECTION('',(1.,1.110223024625E-16,0.));
#48525=DIRECTION('center_axis',(0.,0.,1.));
#48526=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#48527=DIRECTION('',(1.,1.110223024625E-16,0.));
#48528=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48529=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#48530=DIRECTION('',(1.,1.110223024625E-16,0.));
#48531=DIRECTION('center_axis',(-1.110223024625E-16,1.,0.));
#48532=DIRECTION('ref_axis',(0.,0.,-1.));
#48533=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48534=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#48535=DIRECTION('',(1.,1.110223024625E-16,0.));
#48536=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48537=DIRECTION('ref_axis',(0.,0.,1.));
#48538=DIRECTION('',(1.,1.110223024625E-16,0.));
#48539=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48540=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#48541=DIRECTION('',(1.,1.110223024625E-16,0.));
#48542=DIRECTION('center_axis',(0.,0.,-1.));
#48543=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48544=DIRECTION('',(1.,1.110223024625E-16,0.));
#48545=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48546=DIRECTION('ref_axis',(0.,0.,1.));
#48547=DIRECTION('',(1.,1.110223024625E-16,0.));
#48548=DIRECTION('center_axis',(0.,0.,1.));
#48549=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#48550=DIRECTION('',(1.,1.110223024625E-16,0.));
#48551=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48552=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#48553=DIRECTION('',(1.,1.110223024625E-16,0.));
#48554=DIRECTION('center_axis',(-1.110223024625E-16,1.,0.));
#48555=DIRECTION('ref_axis',(0.,0.,-1.));
#48556=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48557=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#48558=DIRECTION('',(1.,1.110223024625E-16,0.));
#48559=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48560=DIRECTION('ref_axis',(0.,0.,1.));
#48561=DIRECTION('',(1.,1.110223024625E-16,0.));
#48562=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48563=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#48564=DIRECTION('',(1.,1.110223024625E-16,0.));
#48565=DIRECTION('center_axis',(0.,0.,-1.));
#48566=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48567=DIRECTION('',(1.,1.110223024625E-16,0.));
#48568=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48569=DIRECTION('ref_axis',(0.,0.,1.));
#48570=DIRECTION('',(1.,1.110223024625E-16,0.));
#48571=DIRECTION('center_axis',(0.,0.,1.));
#48572=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#48573=DIRECTION('',(1.,1.110223024625E-16,0.));
#48574=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48575=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#48576=DIRECTION('',(1.,1.110223024625E-16,0.));
#48577=DIRECTION('center_axis',(-1.110223024625E-16,1.,0.));
#48578=DIRECTION('ref_axis',(0.,0.,-1.));
#48579=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48580=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#48581=DIRECTION('',(1.,1.110223024625E-16,0.));
#48582=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48583=DIRECTION('ref_axis',(0.,0.,1.));
#48584=DIRECTION('',(1.,1.110223024625E-16,0.));
#48585=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48586=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#48587=DIRECTION('',(1.,1.110223024625E-16,0.));
#48588=DIRECTION('center_axis',(0.,0.,-1.));
#48589=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48590=DIRECTION('',(1.,1.110223024625E-16,0.));
#48591=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48592=DIRECTION('ref_axis',(0.,0.,1.));
#48593=DIRECTION('',(1.,1.110223024625E-16,0.));
#48594=DIRECTION('center_axis',(0.,0.,1.));
#48595=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#48596=DIRECTION('',(1.,1.110223024625E-16,0.));
#48597=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48598=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#48599=DIRECTION('',(1.,1.110223024625E-16,0.));
#48600=DIRECTION('center_axis',(-1.110223024625E-16,1.,0.));
#48601=DIRECTION('ref_axis',(0.,0.,-1.));
#48602=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48603=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#48604=DIRECTION('',(1.,1.110223024625E-16,0.));
#48605=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48606=DIRECTION('ref_axis',(0.,0.,1.));
#48607=DIRECTION('',(1.,1.110223024625E-16,0.));
#48608=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48609=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#48610=DIRECTION('',(1.,1.110223024625E-16,0.));
#48611=DIRECTION('center_axis',(0.,0.,-1.));
#48612=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48613=DIRECTION('',(1.,1.110223024625E-16,0.));
#48614=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48615=DIRECTION('ref_axis',(0.,0.,1.));
#48616=DIRECTION('',(1.,1.110223024625E-16,0.));
#48617=DIRECTION('center_axis',(0.,0.,1.));
#48618=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#48619=DIRECTION('',(1.,1.110223024625E-16,0.));
#48620=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48621=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#48622=DIRECTION('',(1.,1.110223024625E-16,0.));
#48623=DIRECTION('center_axis',(-1.110223024625E-16,1.,0.));
#48624=DIRECTION('ref_axis',(0.,0.,-1.));
#48625=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48626=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#48627=DIRECTION('',(1.,1.110223024625E-16,0.));
#48628=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48629=DIRECTION('ref_axis',(0.,0.,1.));
#48630=DIRECTION('',(1.,1.110223024625E-16,0.));
#48631=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48632=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#48633=DIRECTION('',(1.,1.110223024625E-16,0.));
#48634=DIRECTION('center_axis',(0.,0.,-1.));
#48635=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48636=DIRECTION('',(1.,1.110223024625E-16,0.));
#48637=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48638=DIRECTION('ref_axis',(0.,0.,1.));
#48639=DIRECTION('',(1.,1.110223024625E-16,0.));
#48640=DIRECTION('center_axis',(0.,0.,1.));
#48641=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#48642=DIRECTION('',(1.,1.110223024625E-16,0.));
#48643=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48644=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#48645=DIRECTION('',(1.,1.110223024625E-16,0.));
#48646=DIRECTION('center_axis',(-1.110223024625E-16,1.,0.));
#48647=DIRECTION('ref_axis',(0.,0.,-1.));
#48648=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48649=DIRECTION('ref_axis',(-1.110223024625E-16,1.,5.551115123126E-16));
#48650=DIRECTION('',(1.,1.110223024625E-16,0.));
#48651=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48652=DIRECTION('ref_axis',(0.,0.,1.));
#48653=DIRECTION('',(1.,1.110223024625E-16,0.));
#48654=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48655=DIRECTION('ref_axis',(9.86076131526097E-31,-8.881784197001E-15,-1.));
#48656=DIRECTION('',(1.,1.110223024625E-16,0.));
#48657=DIRECTION('center_axis',(0.,0.,-1.));
#48658=DIRECTION('ref_axis',(1.110223024625E-16,-1.,0.));
#48659=DIRECTION('',(1.,1.110223024625E-16,0.));
#48660=DIRECTION('center_axis',(1.110223024625E-16,-1.,0.));
#48661=DIRECTION('ref_axis',(0.,0.,1.));
#48662=DIRECTION('',(1.,1.110223024625E-16,0.));
#48663=DIRECTION('center_axis',(0.,0.,1.));
#48664=DIRECTION('ref_axis',(-1.110223024625E-16,1.,0.));
#48665=DIRECTION('',(1.,1.110223024625E-16,0.));
#48666=DIRECTION('center_axis',(-1.,-1.110223024625E-16,0.));
#48667=DIRECTION('ref_axis',(1.110223024625E-16,-1.,-4.440892098501E-15));
#48668=DIRECTION('',(1.,1.110223024625E-16,0.));
#48669=DIRECTION('center_axis',(-1.110223024625E-16,1.,0.));
#48670=DIRECTION('ref_axis',(0.,0.,-1.));
#48671=DIRECTION('center_axis',(0.,0.,-1.));
#48672=DIRECTION('ref_axis',(-1.,0.,0.));
#48673=DIRECTION('',(1.,9.95799250103E-17,0.));
#48674=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48675=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#48676=DIRECTION('ref_axis',(0.,0.,1.));
#48677=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#48678=DIRECTION('ref_axis',(0.,0.,1.));
#48679=DIRECTION('',(0.,0.,-1.));
#48680=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#48681=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#48682=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#48683=DIRECTION('',(0.,0.,1.));
#48684=DIRECTION('',(1.,9.95799250103E-17,0.));
#48685=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#48686=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#48687=DIRECTION('',(0.,0.,1.));
#48688=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#48689=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#48690=DIRECTION('',(1.,9.95799250103E-17,0.));
#48691=DIRECTION('center_axis',(-0.978147600733657,0.,-0.207911690818459));
#48692=DIRECTION('ref_axis',(-0.207911690818459,0.,0.978147600733657));
#48693=DIRECTION('',(-0.206797283247465,0.103398641623733,0.972904725320951));
#48694=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48695=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48696=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48697=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48698=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48699=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48700=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48701=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48702=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48703=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48704=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48705=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48706=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48707=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48708=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48709=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48710=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48711=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48712=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48713=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48714=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48715=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48716=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48717=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48718=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48719=DIRECTION('',(0.,-1.,0.));
#48720=DIRECTION('center_axis',(-0.70710678118811,0.707106781184985,2.91342737248078E-12));
#48721=DIRECTION('ref_axis',(0.441607783103252,0.441607783101987,0.781002645198068));
#48722=DIRECTION('',(0.707106781186547,-0.707106781186547,0.));
#48723=DIRECTION('center_axis',(0.382693604381643,-0.923875319023308,8.06025378232338E-6));
#48724=DIRECTION('ref_axis',(0.923875319053423,0.382693604393822,-3.37930971949447E-8));
#48725=DIRECTION('center_axis',(-0.707106781184985,-0.70710678118811,2.91342737248078E-12));
#48726=DIRECTION('ref_axis',(-0.441607783101987,0.441607783103252,0.781002645198068));
#48727=DIRECTION('center_axis',(0.382683098118193,0.923879670960776,2.649393997596E-7));
#48728=DIRECTION('ref_axis',(0.923879670960812,-0.382683098118194,-4.69281622752808E-8));
#48729=DIRECTION('',(0.707106781186547,0.707106781186547,0.));
#48730=DIRECTION('center_axis',(0.,0.,1.));
#48731=DIRECTION('ref_axis',(0.699631082812192,-0.714504267281196,0.));
#48732=DIRECTION('',(0.,1.,8.66721279622627E-17));
#48733=DIRECTION('',(-0.707106781186547,0.707106781186547,0.));
#48734=DIRECTION('',(-1.,0.,-8.66721279622628E-17));
#48735=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.));
#48736=DIRECTION('',(0.,-1.,0.));
#48737=DIRECTION('center_axis',(0.,0.,1.));
#48738=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#48739=DIRECTION('center_axis',(0.,0.,1.));
#48740=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#48741=DIRECTION('center_axis',(0.978147600733657,0.,-0.207911690818459));
#48742=DIRECTION('ref_axis',(-0.207911690818459,0.,-0.978147600733657));
#48743=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48744=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48745=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48746=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48747=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48748=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48749=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48750=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48751=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48752=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48753=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48754=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48755=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48756=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48757=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48758=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48759=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48760=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48761=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48762=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48763=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48764=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48765=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48766=DIRECTION('',(-2.22044604925E-16,1.,0.));
#48767=DIRECTION('',(0.206797283247465,0.103398641623733,0.972904725320951));
#48768=DIRECTION('',(0.,1.,0.));
#48769=DIRECTION('center_axis',(0.,0.,-1.));
#48770=DIRECTION('ref_axis',(-1.,0.,0.));
#48771=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48772=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48773=DIRECTION('ref_axis',(0.,0.,1.));
#48774=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48775=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48776=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#48777=DIRECTION('',(0.,0.,1.));
#48778=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48779=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#48780=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48781=DIRECTION('',(0.,0.,1.));
#48782=DIRECTION('',(1.,2.22044604925E-16,0.));
#48783=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48784=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#48785=DIRECTION('',(0.,0.,-1.));
#48786=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48787=DIRECTION('ref_axis',(0.,0.,1.));
#48788=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48789=DIRECTION('ref_axis',(0.,0.,1.));
#48790=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48791=DIRECTION('ref_axis',(0.,0.,1.));
#48792=DIRECTION('',(0.,0.,-1.));
#48793=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48794=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#48795=DIRECTION('',(1.,2.22044604925E-16,0.));
#48796=DIRECTION('',(0.,0.,1.));
#48797=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48798=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48799=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#48800=DIRECTION('',(0.,0.,1.));
#48801=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48802=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#48803=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48804=DIRECTION('center_axis',(0.,0.,-1.));
#48805=DIRECTION('ref_axis',(-1.,0.,0.));
#48806=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48807=DIRECTION('',(-0.894427190999917,0.447213595499955,0.));
#48808=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48809=DIRECTION('ref_axis',(0.,0.,1.));
#48810=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48811=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48812=DIRECTION('ref_axis',(0.,0.,1.));
#48813=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48814=DIRECTION('ref_axis',(0.,0.,1.));
#48815=DIRECTION('',(0.,0.,-1.));
#48816=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48817=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#48818=DIRECTION('',(1.,2.22044604925E-16,0.));
#48819=DIRECTION('',(0.,0.,1.));
#48820=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48821=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48822=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#48823=DIRECTION('',(0.,0.,1.));
#48824=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48825=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#48826=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48827=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48828=DIRECTION('ref_axis',(0.,0.,1.));
#48829=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48830=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48831=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#48832=DIRECTION('',(0.,0.,1.));
#48833=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48834=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#48835=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48836=DIRECTION('',(0.,0.,1.));
#48837=DIRECTION('',(1.,2.22044604925E-16,0.));
#48838=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48839=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#48840=DIRECTION('',(0.,0.,-1.));
#48841=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48842=DIRECTION('ref_axis',(0.,0.,1.));
#48843=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48844=DIRECTION('ref_axis',(0.,0.,1.));
#48845=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48846=DIRECTION('center_axis',(0.,0.,-1.));
#48847=DIRECTION('ref_axis',(-1.,0.,0.));
#48848=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48849=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48850=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48851=DIRECTION('ref_axis',(0.,0.,1.));
#48852=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48853=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48854=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#48855=DIRECTION('',(0.,0.,1.));
#48856=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48857=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#48858=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48859=DIRECTION('',(0.,0.,1.));
#48860=DIRECTION('',(1.,2.22044604925E-16,0.));
#48861=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48862=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#48863=DIRECTION('',(0.,0.,-1.));
#48864=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48865=DIRECTION('ref_axis',(0.,0.,1.));
#48866=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48867=DIRECTION('ref_axis',(0.,0.,1.));
#48868=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48869=DIRECTION('ref_axis',(0.,0.,1.));
#48870=DIRECTION('',(0.,0.,-1.));
#48871=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48872=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#48873=DIRECTION('',(1.,2.22044604925E-16,0.));
#48874=DIRECTION('',(0.,0.,1.));
#48875=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48876=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48877=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#48878=DIRECTION('',(0.,0.,1.));
#48879=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48880=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#48881=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48882=DIRECTION('center_axis',(0.,0.,-1.));
#48883=DIRECTION('ref_axis',(-1.,0.,0.));
#48884=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48885=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48886=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48887=DIRECTION('ref_axis',(0.,0.,1.));
#48888=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48889=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48890=DIRECTION('ref_axis',(0.,0.,1.));
#48891=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48892=DIRECTION('ref_axis',(0.,0.,1.));
#48893=DIRECTION('',(0.,0.,-1.));
#48894=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48895=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#48896=DIRECTION('',(1.,2.22044604925E-16,0.));
#48897=DIRECTION('',(0.,0.,1.));
#48898=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48899=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48900=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#48901=DIRECTION('',(0.,0.,1.));
#48902=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48903=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#48904=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48905=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48906=DIRECTION('ref_axis',(0.,0.,1.));
#48907=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48908=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48909=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#48910=DIRECTION('',(0.,0.,1.));
#48911=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48912=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#48913=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48914=DIRECTION('',(0.,0.,1.));
#48915=DIRECTION('',(1.,2.22044604925E-16,0.));
#48916=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48917=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#48918=DIRECTION('',(0.,0.,-1.));
#48919=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48920=DIRECTION('ref_axis',(0.,0.,1.));
#48921=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48922=DIRECTION('ref_axis',(0.,0.,1.));
#48923=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48924=DIRECTION('center_axis',(0.,0.,-1.));
#48925=DIRECTION('ref_axis',(-1.,0.,0.));
#48926=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48927=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48928=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48929=DIRECTION('ref_axis',(0.,0.,1.));
#48930=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48931=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48932=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#48933=DIRECTION('',(0.,0.,1.));
#48934=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48935=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#48936=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48937=DIRECTION('',(0.,0.,1.));
#48938=DIRECTION('',(1.,2.22044604925E-16,0.));
#48939=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48940=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#48941=DIRECTION('',(0.,0.,-1.));
#48942=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48943=DIRECTION('ref_axis',(0.,0.,1.));
#48944=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48945=DIRECTION('ref_axis',(0.,0.,1.));
#48946=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48947=DIRECTION('ref_axis',(0.,0.,1.));
#48948=DIRECTION('',(0.,0.,-1.));
#48949=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48950=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#48951=DIRECTION('',(1.,2.22044604925E-16,0.));
#48952=DIRECTION('',(0.,0.,1.));
#48953=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48954=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48955=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#48956=DIRECTION('',(0.,0.,1.));
#48957=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48958=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#48959=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48960=DIRECTION('center_axis',(0.,0.,-1.));
#48961=DIRECTION('ref_axis',(-1.,0.,0.));
#48962=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48963=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48964=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48965=DIRECTION('ref_axis',(0.,0.,1.));
#48966=DIRECTION('',(2.22044604925E-16,-1.,0.));
#48967=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48968=DIRECTION('ref_axis',(0.,0.,1.));
#48969=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48970=DIRECTION('ref_axis',(0.,0.,1.));
#48971=DIRECTION('',(0.,0.,-1.));
#48972=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48973=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#48974=DIRECTION('',(1.,2.22044604925E-16,0.));
#48975=DIRECTION('',(0.,0.,1.));
#48976=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48977=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48978=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#48979=DIRECTION('',(0.,0.,1.));
#48980=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48981=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#48982=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48983=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48984=DIRECTION('ref_axis',(0.,0.,1.));
#48985=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48986=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48987=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#48988=DIRECTION('',(0.,0.,1.));
#48989=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48990=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#48991=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#48992=DIRECTION('',(0.,0.,1.));
#48993=DIRECTION('',(1.,2.22044604925E-16,0.));
#48994=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#48995=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#48996=DIRECTION('',(0.,0.,-1.));
#48997=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#48998=DIRECTION('ref_axis',(0.,0.,1.));
#48999=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49000=DIRECTION('ref_axis',(0.,0.,1.));
#49001=DIRECTION('',(2.22044604925E-16,-1.,0.));
#49002=DIRECTION('center_axis',(0.,0.,-1.));
#49003=DIRECTION('ref_axis',(-1.,0.,0.));
#49004=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49005=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49006=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49007=DIRECTION('ref_axis',(0.,0.,1.));
#49008=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49009=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49010=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#49011=DIRECTION('',(0.,0.,1.));
#49012=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49013=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#49014=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49015=DIRECTION('',(0.,0.,1.));
#49016=DIRECTION('',(1.,2.22044604925E-16,0.));
#49017=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49018=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#49019=DIRECTION('',(0.,0.,-1.));
#49020=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49021=DIRECTION('ref_axis',(0.,0.,1.));
#49022=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49023=DIRECTION('ref_axis',(0.,0.,1.));
#49024=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49025=DIRECTION('ref_axis',(0.,0.,1.));
#49026=DIRECTION('',(0.,0.,-1.));
#49027=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49028=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#49029=DIRECTION('',(1.,2.22044604925E-16,0.));
#49030=DIRECTION('',(0.,0.,1.));
#49031=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49032=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49033=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#49034=DIRECTION('',(0.,0.,1.));
#49035=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49036=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#49037=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49038=DIRECTION('center_axis',(0.,0.,-1.));
#49039=DIRECTION('ref_axis',(-1.,0.,0.));
#49040=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49041=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49042=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49043=DIRECTION('ref_axis',(0.,0.,1.));
#49044=DIRECTION('',(2.22044604925E-16,-1.,0.));
#49045=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49046=DIRECTION('ref_axis',(0.,0.,1.));
#49047=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49048=DIRECTION('ref_axis',(0.,0.,1.));
#49049=DIRECTION('',(0.,0.,-1.));
#49050=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49051=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#49052=DIRECTION('',(1.,2.22044604925E-16,0.));
#49053=DIRECTION('',(0.,0.,1.));
#49054=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49055=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49056=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#49057=DIRECTION('',(0.,0.,1.));
#49058=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49059=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#49060=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49061=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49062=DIRECTION('ref_axis',(0.,0.,1.));
#49063=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49064=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49065=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#49066=DIRECTION('',(0.,0.,1.));
#49067=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49068=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#49069=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49070=DIRECTION('',(0.,0.,1.));
#49071=DIRECTION('',(1.,2.22044604925E-16,0.));
#49072=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49073=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#49074=DIRECTION('',(0.,0.,-1.));
#49075=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49076=DIRECTION('ref_axis',(0.,0.,1.));
#49077=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49078=DIRECTION('ref_axis',(0.,0.,1.));
#49079=DIRECTION('',(2.22044604925E-16,-1.,0.));
#49080=DIRECTION('center_axis',(0.,0.,-1.));
#49081=DIRECTION('ref_axis',(-1.,0.,0.));
#49082=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49083=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49084=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49085=DIRECTION('ref_axis',(0.,0.,1.));
#49086=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49087=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49088=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#49089=DIRECTION('',(0.,0.,1.));
#49090=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49091=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#49092=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49093=DIRECTION('',(0.,0.,1.));
#49094=DIRECTION('',(1.,2.22044604925E-16,0.));
#49095=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49096=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#49097=DIRECTION('',(0.,0.,-1.));
#49098=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49099=DIRECTION('ref_axis',(0.,0.,1.));
#49100=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49101=DIRECTION('ref_axis',(0.,0.,1.));
#49102=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49103=DIRECTION('ref_axis',(0.,0.,1.));
#49104=DIRECTION('',(0.,0.,-1.));
#49105=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49106=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#49107=DIRECTION('',(1.,2.22044604925E-16,0.));
#49108=DIRECTION('',(0.,0.,1.));
#49109=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49110=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49111=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#49112=DIRECTION('',(0.,0.,1.));
#49113=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49114=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#49115=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49116=DIRECTION('center_axis',(0.,0.,-1.));
#49117=DIRECTION('ref_axis',(-1.,0.,0.));
#49118=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49119=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49120=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49121=DIRECTION('ref_axis',(0.,0.,1.));
#49122=DIRECTION('',(2.22044604925E-16,-1.,0.));
#49123=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49124=DIRECTION('ref_axis',(0.,0.,1.));
#49125=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49126=DIRECTION('ref_axis',(0.,0.,1.));
#49127=DIRECTION('',(0.,0.,-1.));
#49128=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49129=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#49130=DIRECTION('',(1.,2.22044604925E-16,0.));
#49131=DIRECTION('',(0.,0.,1.));
#49132=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49133=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49134=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#49135=DIRECTION('',(0.,0.,1.));
#49136=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49137=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#49138=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49139=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49140=DIRECTION('ref_axis',(0.,0.,1.));
#49141=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49142=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49143=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#49144=DIRECTION('',(0.,0.,1.));
#49145=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49146=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#49147=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49148=DIRECTION('',(0.,0.,1.));
#49149=DIRECTION('',(1.,2.22044604925E-16,0.));
#49150=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49151=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#49152=DIRECTION('',(0.,0.,-1.));
#49153=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49154=DIRECTION('ref_axis',(0.,0.,1.));
#49155=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49156=DIRECTION('ref_axis',(0.,0.,1.));
#49157=DIRECTION('',(2.22044604925E-16,-1.,0.));
#49158=DIRECTION('center_axis',(0.,0.,-1.));
#49159=DIRECTION('ref_axis',(-1.,0.,0.));
#49160=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49161=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49162=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49163=DIRECTION('ref_axis',(0.,0.,1.));
#49164=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49165=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49166=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#49167=DIRECTION('',(0.,0.,1.));
#49168=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49169=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#49170=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49171=DIRECTION('',(0.,0.,1.));
#49172=DIRECTION('',(1.,2.22044604925E-16,0.));
#49173=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49174=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#49175=DIRECTION('',(0.,0.,-1.));
#49176=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49177=DIRECTION('ref_axis',(0.,0.,1.));
#49178=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49179=DIRECTION('ref_axis',(0.,0.,1.));
#49180=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49181=DIRECTION('ref_axis',(0.,0.,1.));
#49182=DIRECTION('',(0.,0.,-1.));
#49183=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49184=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#49185=DIRECTION('',(1.,2.22044604925E-16,0.));
#49186=DIRECTION('',(0.,0.,1.));
#49187=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49188=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49189=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#49190=DIRECTION('',(0.,0.,1.));
#49191=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49192=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#49193=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49194=DIRECTION('center_axis',(0.,0.,-1.));
#49195=DIRECTION('ref_axis',(-1.,0.,0.));
#49196=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49197=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49198=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49199=DIRECTION('ref_axis',(0.,0.,1.));
#49200=DIRECTION('',(2.22044604925E-16,-1.,0.));
#49201=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49202=DIRECTION('ref_axis',(0.,0.,1.));
#49203=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49204=DIRECTION('ref_axis',(0.,0.,1.));
#49205=DIRECTION('',(0.,0.,-1.));
#49206=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49207=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#49208=DIRECTION('',(1.,2.22044604925E-16,0.));
#49209=DIRECTION('',(0.,0.,1.));
#49210=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49211=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49212=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#49213=DIRECTION('',(0.,0.,1.));
#49214=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49215=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#49216=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49217=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49218=DIRECTION('ref_axis',(0.,0.,1.));
#49219=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49220=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49221=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#49222=DIRECTION('',(0.,0.,1.));
#49223=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49224=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#49225=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49226=DIRECTION('',(0.,0.,1.));
#49227=DIRECTION('',(1.,2.22044604925E-16,0.));
#49228=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#49229=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#49230=DIRECTION('',(0.,0.,-1.));
#49231=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49232=DIRECTION('ref_axis',(0.,0.,1.));
#49233=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#49234=DIRECTION('ref_axis',(0.,0.,1.));
#49235=DIRECTION('',(2.22044604925E-16,-1.,0.));
#49236=DIRECTION('center_axis',(0.,0.,-1.));
#49237=DIRECTION('ref_axis',(-1.,0.,0.));
#49238=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49239=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49240=DIRECTION('center_axis',(0.,0.,-1.));
#49241=DIRECTION('ref_axis',(-1.,0.,0.));
#49242=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.));
#49243=DIRECTION('',(-0.447213595499955,0.894427190999917,0.));
#49244=DIRECTION('center_axis',(0.,0.,-1.));
#49245=DIRECTION('ref_axis',(-1.,0.,0.));
#49246=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49247=DIRECTION('',(0.,1.,0.));
#49248=DIRECTION('center_axis',(-1.,0.,0.));
#49249=DIRECTION('ref_axis',(0.,0.,1.));
#49250=DIRECTION('',(0.,1.,0.));
#49251=DIRECTION('center_axis',(-1.,0.,0.));
#49252=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49253=DIRECTION('',(0.,0.,1.));
#49254=DIRECTION('center_axis',(1.,0.,0.));
#49255=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49256=DIRECTION('',(0.,1.,0.));
#49257=DIRECTION('',(0.,0.,1.));
#49258=DIRECTION('',(0.,-1.,0.));
#49259=DIRECTION('center_axis',(-1.,0.,0.));
#49260=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49261=DIRECTION('',(0.,0.,-1.));
#49262=DIRECTION('center_axis',(1.,0.,0.));
#49263=DIRECTION('ref_axis',(0.,0.,1.));
#49264=DIRECTION('center_axis',(-1.,0.,0.));
#49265=DIRECTION('ref_axis',(0.,0.,1.));
#49266=DIRECTION('center_axis',(1.,0.,0.));
#49267=DIRECTION('ref_axis',(0.,0.,1.));
#49268=DIRECTION('',(0.,0.,-1.));
#49269=DIRECTION('center_axis',(-1.,0.,0.));
#49270=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49271=DIRECTION('',(0.,-1.,0.));
#49272=DIRECTION('',(0.,0.,1.));
#49273=DIRECTION('',(0.,1.,0.));
#49274=DIRECTION('center_axis',(1.,0.,0.));
#49275=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49276=DIRECTION('',(0.,0.,1.));
#49277=DIRECTION('center_axis',(-1.,0.,0.));
#49278=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49279=DIRECTION('',(0.,1.,0.));
#49280=DIRECTION('center_axis',(0.,0.,-1.));
#49281=DIRECTION('ref_axis',(-1.,0.,0.));
#49282=DIRECTION('',(0.,1.,0.));
#49283=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49284=DIRECTION('',(0.447213595499955,0.894427190999917,0.));
#49285=DIRECTION('center_axis',(1.,0.,0.));
#49286=DIRECTION('ref_axis',(0.,0.,1.));
#49287=DIRECTION('',(-1.,0.,0.));
#49288=DIRECTION('center_axis',(1.,0.,0.));
#49289=DIRECTION('ref_axis',(0.,0.,1.));
#49290=DIRECTION('center_axis',(-1.,0.,0.));
#49291=DIRECTION('ref_axis',(0.,0.,1.));
#49292=DIRECTION('',(0.,0.,-1.));
#49293=DIRECTION('center_axis',(-1.,0.,0.));
#49294=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49295=DIRECTION('',(0.,-1.,0.));
#49296=DIRECTION('',(0.,0.,1.));
#49297=DIRECTION('',(0.,1.,0.));
#49298=DIRECTION('center_axis',(1.,0.,0.));
#49299=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49300=DIRECTION('',(0.,0.,1.));
#49301=DIRECTION('center_axis',(-1.,0.,0.));
#49302=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49303=DIRECTION('',(0.,1.,0.));
#49304=DIRECTION('center_axis',(-1.,0.,0.));
#49305=DIRECTION('ref_axis',(0.,0.,1.));
#49306=DIRECTION('',(0.,1.,0.));
#49307=DIRECTION('center_axis',(-1.,0.,0.));
#49308=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49309=DIRECTION('',(0.,0.,1.));
#49310=DIRECTION('center_axis',(1.,0.,0.));
#49311=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49312=DIRECTION('',(0.,1.,0.));
#49313=DIRECTION('',(0.,0.,1.));
#49314=DIRECTION('',(0.,-1.,0.));
#49315=DIRECTION('center_axis',(-1.,0.,0.));
#49316=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49317=DIRECTION('',(0.,0.,-1.));
#49318=DIRECTION('center_axis',(1.,0.,0.));
#49319=DIRECTION('ref_axis',(0.,0.,1.));
#49320=DIRECTION('center_axis',(1.,0.,0.));
#49321=DIRECTION('ref_axis',(0.,0.,1.));
#49322=DIRECTION('',(-1.,0.,0.));
#49323=DIRECTION('center_axis',(0.,0.,-1.));
#49324=DIRECTION('ref_axis',(-1.,0.,0.));
#49325=DIRECTION('',(0.,1.,0.));
#49326=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49327=DIRECTION('',(0.,1.,0.));
#49328=DIRECTION('center_axis',(-1.,0.,0.));
#49329=DIRECTION('ref_axis',(0.,0.,1.));
#49330=DIRECTION('',(0.,1.,0.));
#49331=DIRECTION('center_axis',(-1.,0.,0.));
#49332=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49333=DIRECTION('',(0.,0.,1.));
#49334=DIRECTION('center_axis',(1.,0.,0.));
#49335=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49336=DIRECTION('',(0.,1.,0.));
#49337=DIRECTION('',(0.,0.,1.));
#49338=DIRECTION('',(0.,-1.,0.));
#49339=DIRECTION('center_axis',(-1.,0.,0.));
#49340=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49341=DIRECTION('',(0.,0.,-1.));
#49342=DIRECTION('center_axis',(1.,0.,0.));
#49343=DIRECTION('ref_axis',(0.,0.,1.));
#49344=DIRECTION('center_axis',(-1.,0.,0.));
#49345=DIRECTION('ref_axis',(0.,0.,1.));
#49346=DIRECTION('center_axis',(1.,0.,0.));
#49347=DIRECTION('ref_axis',(0.,0.,1.));
#49348=DIRECTION('',(0.,0.,-1.));
#49349=DIRECTION('center_axis',(-1.,0.,0.));
#49350=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49351=DIRECTION('',(0.,-1.,0.));
#49352=DIRECTION('',(0.,0.,1.));
#49353=DIRECTION('',(0.,1.,0.));
#49354=DIRECTION('center_axis',(1.,0.,0.));
#49355=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49356=DIRECTION('',(0.,0.,1.));
#49357=DIRECTION('center_axis',(-1.,0.,0.));
#49358=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49359=DIRECTION('',(0.,1.,0.));
#49360=DIRECTION('center_axis',(0.,0.,-1.));
#49361=DIRECTION('ref_axis',(-1.,0.,0.));
#49362=DIRECTION('',(0.,1.,0.));
#49363=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49364=DIRECTION('',(0.,1.,0.));
#49365=DIRECTION('center_axis',(1.,0.,0.));
#49366=DIRECTION('ref_axis',(0.,0.,1.));
#49367=DIRECTION('',(-1.,0.,0.));
#49368=DIRECTION('center_axis',(1.,0.,0.));
#49369=DIRECTION('ref_axis',(0.,0.,1.));
#49370=DIRECTION('center_axis',(-1.,0.,0.));
#49371=DIRECTION('ref_axis',(0.,0.,1.));
#49372=DIRECTION('',(0.,0.,-1.));
#49373=DIRECTION('center_axis',(-1.,0.,0.));
#49374=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49375=DIRECTION('',(0.,-1.,0.));
#49376=DIRECTION('',(0.,0.,1.));
#49377=DIRECTION('',(0.,1.,0.));
#49378=DIRECTION('center_axis',(1.,0.,0.));
#49379=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49380=DIRECTION('',(0.,0.,1.));
#49381=DIRECTION('center_axis',(-1.,0.,0.));
#49382=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49383=DIRECTION('',(0.,1.,0.));
#49384=DIRECTION('center_axis',(-1.,0.,0.));
#49385=DIRECTION('ref_axis',(0.,0.,1.));
#49386=DIRECTION('',(0.,1.,0.));
#49387=DIRECTION('center_axis',(-1.,0.,0.));
#49388=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49389=DIRECTION('',(0.,0.,1.));
#49390=DIRECTION('center_axis',(1.,0.,0.));
#49391=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49392=DIRECTION('',(0.,1.,0.));
#49393=DIRECTION('',(0.,0.,1.));
#49394=DIRECTION('',(0.,-1.,0.));
#49395=DIRECTION('center_axis',(-1.,0.,0.));
#49396=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49397=DIRECTION('',(0.,0.,-1.));
#49398=DIRECTION('center_axis',(1.,0.,0.));
#49399=DIRECTION('ref_axis',(0.,0.,1.));
#49400=DIRECTION('center_axis',(1.,0.,0.));
#49401=DIRECTION('ref_axis',(0.,0.,1.));
#49402=DIRECTION('',(-1.,0.,0.));
#49403=DIRECTION('center_axis',(0.,0.,-1.));
#49404=DIRECTION('ref_axis',(-1.,0.,0.));
#49405=DIRECTION('',(0.,1.,0.));
#49406=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49407=DIRECTION('',(0.,1.,0.));
#49408=DIRECTION('center_axis',(-1.,0.,0.));
#49409=DIRECTION('ref_axis',(0.,0.,1.));
#49410=DIRECTION('',(0.,1.,0.));
#49411=DIRECTION('center_axis',(-1.,0.,0.));
#49412=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49413=DIRECTION('',(0.,0.,1.));
#49414=DIRECTION('center_axis',(1.,0.,0.));
#49415=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49416=DIRECTION('',(0.,1.,0.));
#49417=DIRECTION('',(0.,0.,1.));
#49418=DIRECTION('',(0.,-1.,0.));
#49419=DIRECTION('center_axis',(-1.,0.,0.));
#49420=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49421=DIRECTION('',(0.,0.,-1.));
#49422=DIRECTION('center_axis',(1.,0.,0.));
#49423=DIRECTION('ref_axis',(0.,0.,1.));
#49424=DIRECTION('center_axis',(-1.,0.,0.));
#49425=DIRECTION('ref_axis',(0.,0.,1.));
#49426=DIRECTION('center_axis',(1.,0.,0.));
#49427=DIRECTION('ref_axis',(0.,0.,1.));
#49428=DIRECTION('',(0.,0.,-1.));
#49429=DIRECTION('center_axis',(-1.,0.,0.));
#49430=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49431=DIRECTION('',(0.,-1.,0.));
#49432=DIRECTION('',(0.,0.,1.));
#49433=DIRECTION('',(0.,1.,0.));
#49434=DIRECTION('center_axis',(1.,0.,0.));
#49435=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49436=DIRECTION('',(0.,0.,1.));
#49437=DIRECTION('center_axis',(-1.,0.,0.));
#49438=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49439=DIRECTION('',(0.,1.,0.));
#49440=DIRECTION('center_axis',(0.,0.,-1.));
#49441=DIRECTION('ref_axis',(-1.,0.,0.));
#49442=DIRECTION('',(0.,1.,0.));
#49443=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49444=DIRECTION('',(0.,1.,0.));
#49445=DIRECTION('center_axis',(1.,0.,0.));
#49446=DIRECTION('ref_axis',(0.,0.,1.));
#49447=DIRECTION('',(-1.,0.,0.));
#49448=DIRECTION('center_axis',(1.,0.,0.));
#49449=DIRECTION('ref_axis',(0.,0.,1.));
#49450=DIRECTION('center_axis',(-1.,0.,0.));
#49451=DIRECTION('ref_axis',(0.,0.,1.));
#49452=DIRECTION('',(0.,0.,-1.));
#49453=DIRECTION('center_axis',(-1.,0.,0.));
#49454=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49455=DIRECTION('',(0.,-1.,0.));
#49456=DIRECTION('',(0.,0.,1.));
#49457=DIRECTION('',(0.,1.,0.));
#49458=DIRECTION('center_axis',(1.,0.,0.));
#49459=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49460=DIRECTION('',(0.,0.,1.));
#49461=DIRECTION('center_axis',(-1.,0.,0.));
#49462=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49463=DIRECTION('',(0.,1.,0.));
#49464=DIRECTION('center_axis',(-1.,0.,0.));
#49465=DIRECTION('ref_axis',(0.,0.,1.));
#49466=DIRECTION('',(0.,1.,0.));
#49467=DIRECTION('center_axis',(-1.,0.,0.));
#49468=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49469=DIRECTION('',(0.,0.,1.));
#49470=DIRECTION('center_axis',(1.,0.,0.));
#49471=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49472=DIRECTION('',(0.,1.,0.));
#49473=DIRECTION('',(0.,0.,1.));
#49474=DIRECTION('',(0.,-1.,0.));
#49475=DIRECTION('center_axis',(-1.,0.,0.));
#49476=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49477=DIRECTION('',(0.,0.,-1.));
#49478=DIRECTION('center_axis',(1.,0.,0.));
#49479=DIRECTION('ref_axis',(0.,0.,1.));
#49480=DIRECTION('center_axis',(1.,0.,0.));
#49481=DIRECTION('ref_axis',(0.,0.,1.));
#49482=DIRECTION('',(-1.,0.,0.));
#49483=DIRECTION('center_axis',(0.,0.,-1.));
#49484=DIRECTION('ref_axis',(-1.,0.,0.));
#49485=DIRECTION('',(0.,1.,0.));
#49486=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49487=DIRECTION('',(0.,1.,0.));
#49488=DIRECTION('center_axis',(-1.,0.,0.));
#49489=DIRECTION('ref_axis',(0.,0.,1.));
#49490=DIRECTION('',(0.,1.,0.));
#49491=DIRECTION('center_axis',(-1.,0.,0.));
#49492=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49493=DIRECTION('',(0.,0.,1.));
#49494=DIRECTION('center_axis',(1.,0.,0.));
#49495=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49496=DIRECTION('',(0.,1.,0.));
#49497=DIRECTION('',(0.,0.,1.));
#49498=DIRECTION('',(0.,-1.,0.));
#49499=DIRECTION('center_axis',(-1.,0.,0.));
#49500=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49501=DIRECTION('',(0.,0.,-1.));
#49502=DIRECTION('center_axis',(1.,0.,0.));
#49503=DIRECTION('ref_axis',(0.,0.,1.));
#49504=DIRECTION('center_axis',(-1.,0.,0.));
#49505=DIRECTION('ref_axis',(0.,0.,1.));
#49506=DIRECTION('center_axis',(1.,0.,0.));
#49507=DIRECTION('ref_axis',(0.,0.,1.));
#49508=DIRECTION('',(0.,0.,-1.));
#49509=DIRECTION('center_axis',(-1.,0.,0.));
#49510=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49511=DIRECTION('',(0.,-1.,0.));
#49512=DIRECTION('',(0.,0.,1.));
#49513=DIRECTION('',(0.,1.,0.));
#49514=DIRECTION('center_axis',(1.,0.,0.));
#49515=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49516=DIRECTION('',(0.,0.,1.));
#49517=DIRECTION('center_axis',(-1.,0.,0.));
#49518=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49519=DIRECTION('',(0.,1.,0.));
#49520=DIRECTION('center_axis',(0.,0.,-1.));
#49521=DIRECTION('ref_axis',(-1.,0.,0.));
#49522=DIRECTION('',(0.,1.,0.));
#49523=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49524=DIRECTION('',(0.,1.,0.));
#49525=DIRECTION('center_axis',(1.,0.,0.));
#49526=DIRECTION('ref_axis',(0.,0.,1.));
#49527=DIRECTION('',(-1.,0.,0.));
#49528=DIRECTION('center_axis',(1.,0.,0.));
#49529=DIRECTION('ref_axis',(0.,0.,1.));
#49530=DIRECTION('center_axis',(-1.,0.,0.));
#49531=DIRECTION('ref_axis',(0.,0.,1.));
#49532=DIRECTION('',(0.,0.,-1.));
#49533=DIRECTION('center_axis',(-1.,0.,0.));
#49534=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49535=DIRECTION('',(0.,-1.,0.));
#49536=DIRECTION('',(0.,0.,1.));
#49537=DIRECTION('',(0.,1.,0.));
#49538=DIRECTION('center_axis',(1.,0.,0.));
#49539=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49540=DIRECTION('',(0.,0.,1.));
#49541=DIRECTION('center_axis',(-1.,0.,0.));
#49542=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49543=DIRECTION('',(0.,1.,0.));
#49544=DIRECTION('center_axis',(-1.,0.,0.));
#49545=DIRECTION('ref_axis',(0.,0.,1.));
#49546=DIRECTION('',(0.,1.,0.));
#49547=DIRECTION('center_axis',(-1.,0.,0.));
#49548=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49549=DIRECTION('',(0.,0.,1.));
#49550=DIRECTION('center_axis',(1.,0.,0.));
#49551=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49552=DIRECTION('',(0.,1.,0.));
#49553=DIRECTION('',(0.,0.,1.));
#49554=DIRECTION('',(0.,-1.,0.));
#49555=DIRECTION('center_axis',(-1.,0.,0.));
#49556=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49557=DIRECTION('',(0.,0.,-1.));
#49558=DIRECTION('center_axis',(1.,0.,0.));
#49559=DIRECTION('ref_axis',(0.,0.,1.));
#49560=DIRECTION('center_axis',(1.,0.,0.));
#49561=DIRECTION('ref_axis',(0.,0.,1.));
#49562=DIRECTION('',(-1.,0.,0.));
#49563=DIRECTION('center_axis',(0.,0.,-1.));
#49564=DIRECTION('ref_axis',(-1.,0.,0.));
#49565=DIRECTION('',(0.,1.,0.));
#49566=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49567=DIRECTION('',(0.,1.,0.));
#49568=DIRECTION('center_axis',(-1.,0.,0.));
#49569=DIRECTION('ref_axis',(0.,0.,1.));
#49570=DIRECTION('',(0.,1.,0.));
#49571=DIRECTION('center_axis',(-1.,0.,0.));
#49572=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49573=DIRECTION('',(0.,0.,1.));
#49574=DIRECTION('center_axis',(1.,0.,0.));
#49575=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49576=DIRECTION('',(0.,1.,0.));
#49577=DIRECTION('',(0.,0.,1.));
#49578=DIRECTION('',(0.,-1.,0.));
#49579=DIRECTION('center_axis',(-1.,0.,0.));
#49580=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49581=DIRECTION('',(0.,0.,-1.));
#49582=DIRECTION('center_axis',(1.,0.,0.));
#49583=DIRECTION('ref_axis',(0.,0.,1.));
#49584=DIRECTION('center_axis',(-1.,0.,0.));
#49585=DIRECTION('ref_axis',(0.,0.,1.));
#49586=DIRECTION('center_axis',(1.,0.,0.));
#49587=DIRECTION('ref_axis',(0.,0.,1.));
#49588=DIRECTION('',(0.,0.,-1.));
#49589=DIRECTION('center_axis',(-1.,0.,0.));
#49590=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49591=DIRECTION('',(0.,-1.,0.));
#49592=DIRECTION('',(0.,0.,1.));
#49593=DIRECTION('',(0.,1.,0.));
#49594=DIRECTION('center_axis',(1.,0.,0.));
#49595=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49596=DIRECTION('',(0.,0.,1.));
#49597=DIRECTION('center_axis',(-1.,0.,0.));
#49598=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49599=DIRECTION('',(0.,1.,0.));
#49600=DIRECTION('center_axis',(0.,0.,-1.));
#49601=DIRECTION('ref_axis',(-1.,0.,0.));
#49602=DIRECTION('',(0.,1.,0.));
#49603=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49604=DIRECTION('',(0.,1.,0.));
#49605=DIRECTION('center_axis',(1.,0.,0.));
#49606=DIRECTION('ref_axis',(0.,0.,1.));
#49607=DIRECTION('',(-1.,0.,0.));
#49608=DIRECTION('center_axis',(1.,0.,0.));
#49609=DIRECTION('ref_axis',(0.,0.,1.));
#49610=DIRECTION('center_axis',(-1.,0.,0.));
#49611=DIRECTION('ref_axis',(0.,0.,1.));
#49612=DIRECTION('',(0.,0.,-1.));
#49613=DIRECTION('center_axis',(-1.,0.,0.));
#49614=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49615=DIRECTION('',(0.,-1.,0.));
#49616=DIRECTION('',(0.,0.,1.));
#49617=DIRECTION('',(0.,1.,0.));
#49618=DIRECTION('center_axis',(1.,0.,0.));
#49619=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49620=DIRECTION('',(0.,0.,1.));
#49621=DIRECTION('center_axis',(-1.,0.,0.));
#49622=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49623=DIRECTION('',(0.,1.,0.));
#49624=DIRECTION('center_axis',(-1.,0.,0.));
#49625=DIRECTION('ref_axis',(0.,0.,1.));
#49626=DIRECTION('',(0.,1.,0.));
#49627=DIRECTION('center_axis',(-1.,0.,0.));
#49628=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49629=DIRECTION('',(0.,0.,1.));
#49630=DIRECTION('center_axis',(1.,0.,0.));
#49631=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49632=DIRECTION('',(0.,1.,0.));
#49633=DIRECTION('',(0.,0.,1.));
#49634=DIRECTION('',(0.,-1.,0.));
#49635=DIRECTION('center_axis',(-1.,0.,0.));
#49636=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49637=DIRECTION('',(0.,0.,-1.));
#49638=DIRECTION('center_axis',(1.,0.,0.));
#49639=DIRECTION('ref_axis',(0.,0.,1.));
#49640=DIRECTION('center_axis',(1.,0.,0.));
#49641=DIRECTION('ref_axis',(0.,0.,1.));
#49642=DIRECTION('',(-1.,0.,0.));
#49643=DIRECTION('center_axis',(0.,0.,-1.));
#49644=DIRECTION('ref_axis',(-1.,0.,0.));
#49645=DIRECTION('',(0.,1.,0.));
#49646=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49647=DIRECTION('',(0.,1.,0.));
#49648=DIRECTION('center_axis',(-1.,0.,0.));
#49649=DIRECTION('ref_axis',(0.,0.,1.));
#49650=DIRECTION('',(0.,1.,0.));
#49651=DIRECTION('center_axis',(-1.,0.,0.));
#49652=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49653=DIRECTION('',(0.,0.,1.));
#49654=DIRECTION('center_axis',(1.,0.,0.));
#49655=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49656=DIRECTION('',(0.,1.,0.));
#49657=DIRECTION('',(0.,0.,1.));
#49658=DIRECTION('',(0.,-1.,0.));
#49659=DIRECTION('center_axis',(-1.,0.,0.));
#49660=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49661=DIRECTION('',(0.,0.,-1.));
#49662=DIRECTION('center_axis',(1.,0.,0.));
#49663=DIRECTION('ref_axis',(0.,0.,1.));
#49664=DIRECTION('center_axis',(-1.,0.,0.));
#49665=DIRECTION('ref_axis',(0.,0.,1.));
#49666=DIRECTION('center_axis',(1.,0.,0.));
#49667=DIRECTION('ref_axis',(0.,0.,1.));
#49668=DIRECTION('',(0.,0.,-1.));
#49669=DIRECTION('center_axis',(-1.,0.,0.));
#49670=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49671=DIRECTION('',(0.,-1.,0.));
#49672=DIRECTION('',(0.,0.,1.));
#49673=DIRECTION('',(0.,1.,0.));
#49674=DIRECTION('center_axis',(1.,0.,0.));
#49675=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49676=DIRECTION('',(0.,0.,1.));
#49677=DIRECTION('center_axis',(-1.,0.,0.));
#49678=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49679=DIRECTION('',(0.,1.,0.));
#49680=DIRECTION('center_axis',(0.,0.,-1.));
#49681=DIRECTION('ref_axis',(-1.,0.,0.));
#49682=DIRECTION('',(0.,1.,0.));
#49683=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49684=DIRECTION('',(0.,1.,0.));
#49685=DIRECTION('center_axis',(1.,0.,0.));
#49686=DIRECTION('ref_axis',(0.,0.,1.));
#49687=DIRECTION('',(-1.,0.,0.));
#49688=DIRECTION('center_axis',(1.,0.,0.));
#49689=DIRECTION('ref_axis',(0.,0.,1.));
#49690=DIRECTION('center_axis',(-1.,0.,0.));
#49691=DIRECTION('ref_axis',(0.,0.,1.));
#49692=DIRECTION('',(0.,0.,-1.));
#49693=DIRECTION('center_axis',(-1.,0.,0.));
#49694=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49695=DIRECTION('',(0.,-1.,0.));
#49696=DIRECTION('',(0.,0.,1.));
#49697=DIRECTION('',(0.,1.,0.));
#49698=DIRECTION('center_axis',(1.,0.,0.));
#49699=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49700=DIRECTION('',(0.,0.,1.));
#49701=DIRECTION('center_axis',(-1.,0.,0.));
#49702=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49703=DIRECTION('',(0.,1.,0.));
#49704=DIRECTION('center_axis',(-1.,0.,0.));
#49705=DIRECTION('ref_axis',(0.,0.,1.));
#49706=DIRECTION('',(0.,1.,0.));
#49707=DIRECTION('center_axis',(-1.,0.,0.));
#49708=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#49709=DIRECTION('',(0.,0.,1.));
#49710=DIRECTION('center_axis',(1.,0.,0.));
#49711=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#49712=DIRECTION('',(0.,1.,0.));
#49713=DIRECTION('',(0.,0.,1.));
#49714=DIRECTION('',(0.,-1.,0.));
#49715=DIRECTION('center_axis',(-1.,0.,0.));
#49716=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#49717=DIRECTION('',(0.,0.,-1.));
#49718=DIRECTION('center_axis',(1.,0.,0.));
#49719=DIRECTION('ref_axis',(0.,0.,1.));
#49720=DIRECTION('center_axis',(1.,0.,0.));
#49721=DIRECTION('ref_axis',(0.,0.,1.));
#49722=DIRECTION('',(-1.,0.,0.));
#49723=DIRECTION('center_axis',(0.,0.,-1.));
#49724=DIRECTION('ref_axis',(-1.,0.,0.));
#49725=DIRECTION('',(0.,1.,0.));
#49726=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#49727=DIRECTION('',(0.,1.,0.));
#49728=DIRECTION('center_axis',(0.,0.,-1.));
#49729=DIRECTION('ref_axis',(-1.,0.,0.));
#49730=DIRECTION('',(-0.707106781186548,0.707106781186548,0.));
#49731=DIRECTION('',(0.894427190999917,0.447213595499955,0.));
#49732=DIRECTION('center_axis',(0.,0.,-1.));
#49733=DIRECTION('ref_axis',(-1.,0.,0.));
#49734=DIRECTION('',(1.,9.95799250103E-17,0.));
#49735=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49736=DIRECTION('ref_axis',(0.,0.,1.));
#49737=DIRECTION('',(1.,9.95799250103E-17,0.));
#49738=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49739=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#49740=DIRECTION('',(0.,0.,1.));
#49741=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49742=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#49743=DIRECTION('',(1.,9.95799250103E-17,0.));
#49744=DIRECTION('',(0.,0.,1.));
#49745=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#49746=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49747=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#49748=DIRECTION('',(0.,0.,-1.));
#49749=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49750=DIRECTION('ref_axis',(0.,0.,1.));
#49751=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49752=DIRECTION('ref_axis',(0.,0.,1.));
#49753=DIRECTION('',(-9.95799250103E-17,1.,0.));
#49754=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49755=DIRECTION('ref_axis',(0.,0.,1.));
#49756=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49757=DIRECTION('ref_axis',(0.,0.,1.));
#49758=DIRECTION('',(0.,0.,-1.));
#49759=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49760=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#49761=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#49762=DIRECTION('',(0.,0.,1.));
#49763=DIRECTION('',(1.,9.95799250103E-17,0.));
#49764=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49765=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#49766=DIRECTION('',(0.,0.,1.));
#49767=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49768=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#49769=DIRECTION('',(1.,9.95799250103E-17,0.));
#49770=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49771=DIRECTION('ref_axis',(0.,0.,1.));
#49772=DIRECTION('',(1.,9.95799250103E-17,0.));
#49773=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49774=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#49775=DIRECTION('',(0.,0.,1.));
#49776=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49777=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#49778=DIRECTION('',(1.,9.95799250103E-17,0.));
#49779=DIRECTION('',(0.,0.,1.));
#49780=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#49781=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49782=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#49783=DIRECTION('',(0.,0.,-1.));
#49784=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49785=DIRECTION('ref_axis',(0.,0.,1.));
#49786=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49787=DIRECTION('ref_axis',(0.,0.,1.));
#49788=DIRECTION('',(-9.95799250103E-17,1.,0.));
#49789=DIRECTION('center_axis',(0.,0.,-1.));
#49790=DIRECTION('ref_axis',(-1.,0.,0.));
#49791=DIRECTION('',(1.,9.95799250103E-17,0.));
#49792=DIRECTION('',(1.,9.95799250103E-17,0.));
#49793=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49794=DIRECTION('ref_axis',(0.,0.,1.));
#49795=DIRECTION('',(1.,9.95799250103E-17,0.));
#49796=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49797=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#49798=DIRECTION('',(0.,0.,1.));
#49799=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49800=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#49801=DIRECTION('',(1.,9.95799250103E-17,0.));
#49802=DIRECTION('',(0.,0.,1.));
#49803=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#49804=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49805=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#49806=DIRECTION('',(0.,0.,-1.));
#49807=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49808=DIRECTION('ref_axis',(0.,0.,1.));
#49809=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49810=DIRECTION('ref_axis',(0.,0.,1.));
#49811=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49812=DIRECTION('ref_axis',(0.,0.,1.));
#49813=DIRECTION('',(0.,0.,-1.));
#49814=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49815=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#49816=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#49817=DIRECTION('',(0.,0.,1.));
#49818=DIRECTION('',(1.,9.95799250103E-17,0.));
#49819=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49820=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#49821=DIRECTION('',(0.,0.,1.));
#49822=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49823=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#49824=DIRECTION('',(1.,9.95799250103E-17,0.));
#49825=DIRECTION('center_axis',(0.,0.,-1.));
#49826=DIRECTION('ref_axis',(-1.,0.,0.));
#49827=DIRECTION('',(1.,9.95799250103E-17,0.));
#49828=DIRECTION('',(1.,9.95799250103E-17,0.));
#49829=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49830=DIRECTION('ref_axis',(0.,0.,1.));
#49831=DIRECTION('',(-9.95799250103E-17,1.,0.));
#49832=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49833=DIRECTION('ref_axis',(0.,0.,1.));
#49834=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49835=DIRECTION('ref_axis',(0.,0.,1.));
#49836=DIRECTION('',(0.,0.,-1.));
#49837=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49838=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#49839=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#49840=DIRECTION('',(0.,0.,1.));
#49841=DIRECTION('',(1.,9.95799250103E-17,0.));
#49842=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49843=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#49844=DIRECTION('',(0.,0.,1.));
#49845=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49846=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#49847=DIRECTION('',(1.,9.95799250103E-17,0.));
#49848=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49849=DIRECTION('ref_axis',(0.,0.,1.));
#49850=DIRECTION('',(1.,9.95799250103E-17,0.));
#49851=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49852=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#49853=DIRECTION('',(0.,0.,1.));
#49854=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49855=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#49856=DIRECTION('',(1.,9.95799250103E-17,0.));
#49857=DIRECTION('',(0.,0.,1.));
#49858=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#49859=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49860=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#49861=DIRECTION('',(0.,0.,-1.));
#49862=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49863=DIRECTION('ref_axis',(0.,0.,1.));
#49864=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49865=DIRECTION('ref_axis',(0.,0.,1.));
#49866=DIRECTION('',(-9.95799250103E-17,1.,0.));
#49867=DIRECTION('center_axis',(0.,0.,-1.));
#49868=DIRECTION('ref_axis',(-1.,0.,0.));
#49869=DIRECTION('',(1.,9.95799250103E-17,0.));
#49870=DIRECTION('',(1.,9.95799250103E-17,0.));
#49871=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49872=DIRECTION('ref_axis',(0.,0.,1.));
#49873=DIRECTION('',(1.,9.95799250103E-17,0.));
#49874=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49875=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#49876=DIRECTION('',(0.,0.,1.));
#49877=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49878=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#49879=DIRECTION('',(1.,9.95799250103E-17,0.));
#49880=DIRECTION('',(0.,0.,1.));
#49881=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#49882=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49883=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#49884=DIRECTION('',(0.,0.,-1.));
#49885=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49886=DIRECTION('ref_axis',(0.,0.,1.));
#49887=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49888=DIRECTION('ref_axis',(0.,0.,1.));
#49889=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49890=DIRECTION('ref_axis',(0.,0.,1.));
#49891=DIRECTION('',(0.,0.,-1.));
#49892=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49893=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#49894=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#49895=DIRECTION('',(0.,0.,1.));
#49896=DIRECTION('',(1.,9.95799250103E-17,0.));
#49897=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49898=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#49899=DIRECTION('',(0.,0.,1.));
#49900=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49901=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#49902=DIRECTION('',(1.,9.95799250103E-17,0.));
#49903=DIRECTION('center_axis',(0.,0.,-1.));
#49904=DIRECTION('ref_axis',(-1.,0.,0.));
#49905=DIRECTION('',(1.,9.95799250103E-17,0.));
#49906=DIRECTION('',(1.,9.95799250103E-17,0.));
#49907=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49908=DIRECTION('ref_axis',(0.,0.,1.));
#49909=DIRECTION('',(-9.95799250103E-17,1.,0.));
#49910=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49911=DIRECTION('ref_axis',(0.,0.,1.));
#49912=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49913=DIRECTION('ref_axis',(0.,0.,1.));
#49914=DIRECTION('',(0.,0.,-1.));
#49915=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49916=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#49917=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#49918=DIRECTION('',(0.,0.,1.));
#49919=DIRECTION('',(1.,9.95799250103E-17,0.));
#49920=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49921=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#49922=DIRECTION('',(0.,0.,1.));
#49923=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49924=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#49925=DIRECTION('',(1.,9.95799250103E-17,0.));
#49926=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49927=DIRECTION('ref_axis',(0.,0.,1.));
#49928=DIRECTION('',(1.,9.95799250103E-17,0.));
#49929=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49930=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#49931=DIRECTION('',(0.,0.,1.));
#49932=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49933=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#49934=DIRECTION('',(1.,9.95799250103E-17,0.));
#49935=DIRECTION('',(0.,0.,1.));
#49936=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#49937=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49938=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#49939=DIRECTION('',(0.,0.,-1.));
#49940=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49941=DIRECTION('ref_axis',(0.,0.,1.));
#49942=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49943=DIRECTION('ref_axis',(0.,0.,1.));
#49944=DIRECTION('',(-9.95799250103E-17,1.,0.));
#49945=DIRECTION('center_axis',(0.,0.,-1.));
#49946=DIRECTION('ref_axis',(-1.,0.,0.));
#49947=DIRECTION('',(1.,9.95799250103E-17,0.));
#49948=DIRECTION('',(1.,9.95799250103E-17,0.));
#49949=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49950=DIRECTION('ref_axis',(0.,0.,1.));
#49951=DIRECTION('',(1.,9.95799250103E-17,0.));
#49952=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49953=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#49954=DIRECTION('',(0.,0.,1.));
#49955=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49956=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#49957=DIRECTION('',(1.,9.95799250103E-17,0.));
#49958=DIRECTION('',(0.,0.,1.));
#49959=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#49960=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49961=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#49962=DIRECTION('',(0.,0.,-1.));
#49963=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49964=DIRECTION('ref_axis',(0.,0.,1.));
#49965=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49966=DIRECTION('ref_axis',(0.,0.,1.));
#49967=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49968=DIRECTION('ref_axis',(0.,0.,1.));
#49969=DIRECTION('',(0.,0.,-1.));
#49970=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49971=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#49972=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#49973=DIRECTION('',(0.,0.,1.));
#49974=DIRECTION('',(1.,9.95799250103E-17,0.));
#49975=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49976=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#49977=DIRECTION('',(0.,0.,1.));
#49978=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49979=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#49980=DIRECTION('',(1.,9.95799250103E-17,0.));
#49981=DIRECTION('center_axis',(0.,0.,-1.));
#49982=DIRECTION('ref_axis',(-1.,0.,0.));
#49983=DIRECTION('',(1.,9.95799250103E-17,0.));
#49984=DIRECTION('',(1.,9.95799250103E-17,0.));
#49985=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49986=DIRECTION('ref_axis',(0.,0.,1.));
#49987=DIRECTION('',(-9.95799250103E-17,1.,0.));
#49988=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49989=DIRECTION('ref_axis',(0.,0.,1.));
#49990=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49991=DIRECTION('ref_axis',(0.,0.,1.));
#49992=DIRECTION('',(0.,0.,-1.));
#49993=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#49994=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#49995=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#49996=DIRECTION('',(0.,0.,1.));
#49997=DIRECTION('',(1.,9.95799250103E-17,0.));
#49998=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#49999=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#50000=DIRECTION('',(0.,0.,1.));
#50001=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50002=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#50003=DIRECTION('',(1.,9.95799250103E-17,0.));
#50004=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50005=DIRECTION('ref_axis',(0.,0.,1.));
#50006=DIRECTION('',(1.,9.95799250103E-17,0.));
#50007=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50008=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#50009=DIRECTION('',(0.,0.,1.));
#50010=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50011=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#50012=DIRECTION('',(1.,9.95799250103E-17,0.));
#50013=DIRECTION('',(0.,0.,1.));
#50014=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#50015=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50016=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#50017=DIRECTION('',(0.,0.,-1.));
#50018=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50019=DIRECTION('ref_axis',(0.,0.,1.));
#50020=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50021=DIRECTION('ref_axis',(0.,0.,1.));
#50022=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50023=DIRECTION('center_axis',(0.,0.,-1.));
#50024=DIRECTION('ref_axis',(-1.,0.,0.));
#50025=DIRECTION('',(1.,9.95799250103E-17,0.));
#50026=DIRECTION('',(1.,9.95799250103E-17,0.));
#50027=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50028=DIRECTION('ref_axis',(0.,0.,1.));
#50029=DIRECTION('',(1.,9.95799250103E-17,0.));
#50030=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50031=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#50032=DIRECTION('',(0.,0.,1.));
#50033=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50034=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#50035=DIRECTION('',(1.,9.95799250103E-17,0.));
#50036=DIRECTION('',(0.,0.,1.));
#50037=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#50038=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50039=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#50040=DIRECTION('',(0.,0.,-1.));
#50041=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50042=DIRECTION('ref_axis',(0.,0.,1.));
#50043=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50044=DIRECTION('ref_axis',(0.,0.,1.));
#50045=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50046=DIRECTION('ref_axis',(0.,0.,1.));
#50047=DIRECTION('',(0.,0.,-1.));
#50048=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50049=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#50050=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#50051=DIRECTION('',(0.,0.,1.));
#50052=DIRECTION('',(1.,9.95799250103E-17,0.));
#50053=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50054=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#50055=DIRECTION('',(0.,0.,1.));
#50056=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50057=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#50058=DIRECTION('',(1.,9.95799250103E-17,0.));
#50059=DIRECTION('center_axis',(0.,0.,-1.));
#50060=DIRECTION('ref_axis',(-1.,0.,0.));
#50061=DIRECTION('',(1.,9.95799250103E-17,0.));
#50062=DIRECTION('',(1.,9.95799250103E-17,0.));
#50063=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50064=DIRECTION('ref_axis',(0.,0.,1.));
#50065=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50066=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50067=DIRECTION('ref_axis',(0.,0.,1.));
#50068=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50069=DIRECTION('ref_axis',(0.,0.,1.));
#50070=DIRECTION('',(0.,0.,-1.));
#50071=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50072=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#50073=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#50074=DIRECTION('',(0.,0.,1.));
#50075=DIRECTION('',(1.,9.95799250103E-17,0.));
#50076=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50077=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#50078=DIRECTION('',(0.,0.,1.));
#50079=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50080=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#50081=DIRECTION('',(1.,9.95799250103E-17,0.));
#50082=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50083=DIRECTION('ref_axis',(0.,0.,1.));
#50084=DIRECTION('',(1.,9.95799250103E-17,0.));
#50085=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50086=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#50087=DIRECTION('',(0.,0.,1.));
#50088=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50089=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#50090=DIRECTION('',(1.,9.95799250103E-17,0.));
#50091=DIRECTION('',(0.,0.,1.));
#50092=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#50093=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50094=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#50095=DIRECTION('',(0.,0.,-1.));
#50096=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50097=DIRECTION('ref_axis',(0.,0.,1.));
#50098=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50099=DIRECTION('ref_axis',(0.,0.,1.));
#50100=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50101=DIRECTION('center_axis',(0.,0.,-1.));
#50102=DIRECTION('ref_axis',(-1.,0.,0.));
#50103=DIRECTION('',(1.,9.95799250103E-17,0.));
#50104=DIRECTION('',(1.,9.95799250103E-17,0.));
#50105=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50106=DIRECTION('ref_axis',(0.,0.,1.));
#50107=DIRECTION('',(1.,9.95799250103E-17,0.));
#50108=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50109=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#50110=DIRECTION('',(0.,0.,1.));
#50111=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50112=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#50113=DIRECTION('',(1.,9.95799250103E-17,0.));
#50114=DIRECTION('',(0.,0.,1.));
#50115=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#50116=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50117=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#50118=DIRECTION('',(0.,0.,-1.));
#50119=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50120=DIRECTION('ref_axis',(0.,0.,1.));
#50121=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50122=DIRECTION('ref_axis',(0.,0.,1.));
#50123=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50124=DIRECTION('ref_axis',(0.,0.,1.));
#50125=DIRECTION('',(0.,0.,-1.));
#50126=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50127=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#50128=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#50129=DIRECTION('',(0.,0.,1.));
#50130=DIRECTION('',(1.,9.95799250103E-17,0.));
#50131=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50132=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#50133=DIRECTION('',(0.,0.,1.));
#50134=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50135=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#50136=DIRECTION('',(1.,9.95799250103E-17,0.));
#50137=DIRECTION('center_axis',(0.,0.,-1.));
#50138=DIRECTION('ref_axis',(-1.,0.,0.));
#50139=DIRECTION('',(1.,9.95799250103E-17,0.));
#50140=DIRECTION('',(1.,9.95799250103E-17,0.));
#50141=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50142=DIRECTION('ref_axis',(0.,0.,1.));
#50143=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50144=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50145=DIRECTION('ref_axis',(0.,0.,1.));
#50146=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50147=DIRECTION('ref_axis',(0.,0.,1.));
#50148=DIRECTION('',(0.,0.,-1.));
#50149=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50150=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#50151=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#50152=DIRECTION('',(0.,0.,1.));
#50153=DIRECTION('',(1.,9.95799250103E-17,0.));
#50154=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50155=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#50156=DIRECTION('',(0.,0.,1.));
#50157=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50158=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#50159=DIRECTION('',(1.,9.95799250103E-17,0.));
#50160=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50161=DIRECTION('ref_axis',(0.,0.,1.));
#50162=DIRECTION('',(1.,9.95799250103E-17,0.));
#50163=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50164=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#50165=DIRECTION('',(0.,0.,1.));
#50166=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50167=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#50168=DIRECTION('',(1.,9.95799250103E-17,0.));
#50169=DIRECTION('',(0.,0.,1.));
#50170=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#50171=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#50172=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#50173=DIRECTION('',(0.,0.,-1.));
#50174=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50175=DIRECTION('ref_axis',(0.,0.,1.));
#50176=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50177=DIRECTION('ref_axis',(0.,0.,1.));
#50178=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50179=DIRECTION('center_axis',(0.,0.,-1.));
#50180=DIRECTION('ref_axis',(-1.,0.,0.));
#50181=DIRECTION('',(1.,9.95799250103E-17,0.));
#50182=DIRECTION('',(1.,9.95799250103E-17,0.));
#50183=DIRECTION('center_axis',(0.,0.,-1.));
#50184=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#50185=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50186=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50187=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#50188=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50189=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#50190=DIRECTION('ref_axis',(0.,0.,1.));
#50191=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50192=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50193=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#50194=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50195=DIRECTION('center_axis',(0.,0.,-1.));
#50196=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#50197=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50198=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#50199=DIRECTION('ref_axis',(0.,0.,1.));
#50200=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50201=DIRECTION('center_axis',(0.,0.,1.));
#50202=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#50203=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50204=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50205=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#50206=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50207=DIRECTION('center_axis',(-1.,-9.95799250103E-17,0.));
#50208=DIRECTION('ref_axis',(0.,0.,-1.));
#50209=DIRECTION('center_axis',(-0.689793693484624,0.689793693484624,-0.219930263623908));
#50210=DIRECTION('ref_axis',(-0.303768572933686,0.,0.952745849688064));
#50211=DIRECTION('',(-0.103398641623733,0.206797283247465,0.972904725320951));
#50212=DIRECTION('',(-0.707106781186553,-0.707106781186542,0.));
#50213=DIRECTION('center_axis',(0.,1.,0.));
#50214=DIRECTION('ref_axis',(0.629320391054133,0.,0.777145961453493));
#50215=DIRECTION('center_axis',(-0.382683281916857,-0.923879594828963,1.19325511818092E-7));
#50216=DIRECTION('ref_axis',(0.92387959482897,-0.382683281916859,8.21731186013962E-9));
#50217=DIRECTION('center_axis',(0.,0.,-1.));
#50218=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50219=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50220=DIRECTION('center_axis',(0.,0.,-1.));
#50221=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50222=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50223=DIRECTION('center_axis',(0.,0.,-1.));
#50224=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50225=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50226=DIRECTION('center_axis',(0.,0.,-1.));
#50227=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50228=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50229=DIRECTION('center_axis',(0.,0.,-1.));
#50230=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50231=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50232=DIRECTION('center_axis',(0.,0.,-1.));
#50233=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50234=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50235=DIRECTION('center_axis',(0.,0.,-1.));
#50236=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50237=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50238=DIRECTION('center_axis',(0.,0.,-1.));
#50239=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50240=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50241=DIRECTION('center_axis',(0.,0.,-1.));
#50242=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50243=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50244=DIRECTION('center_axis',(0.,0.,-1.));
#50245=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50246=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50247=DIRECTION('center_axis',(0.,0.,-1.));
#50248=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50249=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50250=DIRECTION('center_axis',(0.,0.,-1.));
#50251=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50252=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50253=DIRECTION('center_axis',(0.,-1.,0.));
#50254=DIRECTION('ref_axis',(-0.629320391054133,0.,0.777145961453493));
#50255=DIRECTION('center_axis',(-0.382683432489064,0.923879532459935,6.51389755482258E-11));
#50256=DIRECTION('ref_axis',(0.923879532459933,0.382683432489063,-6.61244150226006E-8));
#50257=DIRECTION('center_axis',(0.70710678118811,-0.707106781184985,2.91342737248078E-12));
#50258=DIRECTION('ref_axis',(-0.441607783103252,-0.441607783101987,0.781002645198068));
#50259=DIRECTION('center_axis',(-0.923879531518944,0.382683434760818,-1.51183213808755E-9));
#50260=DIRECTION('ref_axis',(0.382683434760818,0.923879531518942,5.24614246751857E-8));
#50261=DIRECTION('',(-0.707106781186547,0.707106781186547,0.));
#50262=DIRECTION('center_axis',(1.,0.,0.));
#50263=DIRECTION('ref_axis',(0.,-0.629320391054133,0.777145961453493));
#50264=DIRECTION('center_axis',(-0.923879532350386,-0.382683432753537,4.52621538203226E-10));
#50265=DIRECTION('ref_axis',(0.382683432753536,-0.923879532350383,9.1359134111328E-8));
#50266=DIRECTION('',(-1.,0.,0.));
#50267=DIRECTION('center_axis',(0.707106781184985,0.70710678118811,2.91342737248078E-12));
#50268=DIRECTION('ref_axis',(0.441607783101987,-0.441607783103252,0.781002645198068));
#50269=DIRECTION('center_axis',(0.,0.,-1.));
#50270=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#50271=DIRECTION('',(0.,0.,-1.));
#50272=DIRECTION('center_axis',(0.,0.,1.));
#50273=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#50274=DIRECTION('center_axis',(0.,0.,1.));
#50275=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#50276=DIRECTION('center_axis',(0.689793693484624,0.689793693484624,-0.219930263623908));
#50277=DIRECTION('ref_axis',(-0.303768572933686,0.,-0.952745849688064));
#50278=DIRECTION('',(0.103398641623733,0.206797283247466,0.972904725320951));
#50279=DIRECTION('center_axis',(0.,0.,-1.));
#50280=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#50281=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50282=DIRECTION('center_axis',(0.,0.,-1.));
#50283=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#50284=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50285=DIRECTION('center_axis',(0.,0.,-1.));
#50286=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#50287=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50288=DIRECTION('center_axis',(0.,0.,-1.));
#50289=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#50290=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50291=DIRECTION('center_axis',(0.,0.,-1.));
#50292=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#50293=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50294=DIRECTION('center_axis',(0.,0.,-1.));
#50295=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#50296=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50297=DIRECTION('center_axis',(0.,0.,-1.));
#50298=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#50299=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50300=DIRECTION('center_axis',(0.,0.,-1.));
#50301=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#50302=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50303=DIRECTION('center_axis',(0.,0.,-1.));
#50304=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#50305=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50306=DIRECTION('center_axis',(0.,0.,-1.));
#50307=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#50308=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50309=DIRECTION('center_axis',(0.,0.,-1.));
#50310=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#50311=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50312=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50313=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#50314=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50315=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50316=DIRECTION('ref_axis',(0.,0.,1.));
#50317=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50318=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50319=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#50320=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50321=DIRECTION('center_axis',(0.,0.,-1.));
#50322=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50323=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50324=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50325=DIRECTION('ref_axis',(0.,0.,1.));
#50326=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50327=DIRECTION('center_axis',(0.,0.,1.));
#50328=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#50329=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50330=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50331=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#50332=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50333=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#50334=DIRECTION('ref_axis',(0.,0.,-1.));
#50335=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50336=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#50337=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50338=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50339=DIRECTION('ref_axis',(0.,0.,1.));
#50340=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50341=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50342=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#50343=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50344=DIRECTION('center_axis',(0.,0.,-1.));
#50345=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50346=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50347=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50348=DIRECTION('ref_axis',(0.,0.,1.));
#50349=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50350=DIRECTION('center_axis',(0.,0.,1.));
#50351=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#50352=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50353=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50354=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#50355=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50356=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#50357=DIRECTION('ref_axis',(0.,0.,-1.));
#50358=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50359=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#50360=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50361=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50362=DIRECTION('ref_axis',(0.,0.,1.));
#50363=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50364=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50365=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#50366=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50367=DIRECTION('center_axis',(0.,0.,-1.));
#50368=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50369=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50370=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50371=DIRECTION('ref_axis',(0.,0.,1.));
#50372=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50373=DIRECTION('center_axis',(0.,0.,1.));
#50374=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#50375=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50376=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50377=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#50378=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50379=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#50380=DIRECTION('ref_axis',(0.,0.,-1.));
#50381=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50382=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#50383=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50384=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50385=DIRECTION('ref_axis',(0.,0.,1.));
#50386=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50387=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50388=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#50389=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50390=DIRECTION('center_axis',(0.,0.,-1.));
#50391=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50392=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50393=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50394=DIRECTION('ref_axis',(0.,0.,1.));
#50395=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50396=DIRECTION('center_axis',(0.,0.,1.));
#50397=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#50398=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50399=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50400=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#50401=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50402=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#50403=DIRECTION('ref_axis',(0.,0.,-1.));
#50404=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50405=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#50406=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50407=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50408=DIRECTION('ref_axis',(0.,0.,1.));
#50409=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50410=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50411=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#50412=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50413=DIRECTION('center_axis',(0.,0.,-1.));
#50414=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50415=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50416=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50417=DIRECTION('ref_axis',(0.,0.,1.));
#50418=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50419=DIRECTION('center_axis',(0.,0.,1.));
#50420=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#50421=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50422=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50423=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#50424=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50425=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#50426=DIRECTION('ref_axis',(0.,0.,-1.));
#50427=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50428=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#50429=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50430=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50431=DIRECTION('ref_axis',(0.,0.,1.));
#50432=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50433=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50434=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#50435=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50436=DIRECTION('center_axis',(0.,0.,-1.));
#50437=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50438=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50439=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50440=DIRECTION('ref_axis',(0.,0.,1.));
#50441=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50442=DIRECTION('center_axis',(0.,0.,1.));
#50443=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#50444=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50445=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50446=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#50447=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50448=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#50449=DIRECTION('ref_axis',(0.,0.,-1.));
#50450=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50451=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#50452=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50453=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50454=DIRECTION('ref_axis',(0.,0.,1.));
#50455=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50456=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50457=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#50458=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50459=DIRECTION('center_axis',(0.,0.,-1.));
#50460=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50461=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50462=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50463=DIRECTION('ref_axis',(0.,0.,1.));
#50464=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50465=DIRECTION('center_axis',(0.,0.,1.));
#50466=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#50467=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50468=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50469=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#50470=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50471=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#50472=DIRECTION('ref_axis',(0.,0.,-1.));
#50473=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50474=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#50475=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50476=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50477=DIRECTION('ref_axis',(0.,0.,1.));
#50478=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50479=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50480=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#50481=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50482=DIRECTION('center_axis',(0.,0.,-1.));
#50483=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50484=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50485=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50486=DIRECTION('ref_axis',(0.,0.,1.));
#50487=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50488=DIRECTION('center_axis',(0.,0.,1.));
#50489=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#50490=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50491=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50492=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#50493=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50494=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#50495=DIRECTION('ref_axis',(0.,0.,-1.));
#50496=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50497=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#50498=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50499=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50500=DIRECTION('ref_axis',(0.,0.,1.));
#50501=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50502=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50503=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#50504=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50505=DIRECTION('center_axis',(0.,0.,-1.));
#50506=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50507=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50508=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50509=DIRECTION('ref_axis',(0.,0.,1.));
#50510=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50511=DIRECTION('center_axis',(0.,0.,1.));
#50512=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#50513=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50514=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50515=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#50516=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50517=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#50518=DIRECTION('ref_axis',(0.,0.,-1.));
#50519=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50520=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#50521=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50522=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50523=DIRECTION('ref_axis',(0.,0.,1.));
#50524=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50525=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50526=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#50527=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50528=DIRECTION('center_axis',(0.,0.,-1.));
#50529=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50530=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50531=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50532=DIRECTION('ref_axis',(0.,0.,1.));
#50533=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50534=DIRECTION('center_axis',(0.,0.,1.));
#50535=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#50536=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50537=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50538=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#50539=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50540=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#50541=DIRECTION('ref_axis',(0.,0.,-1.));
#50542=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50543=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#50544=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50545=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50546=DIRECTION('ref_axis',(0.,0.,1.));
#50547=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50548=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50549=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#50550=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50551=DIRECTION('center_axis',(0.,0.,-1.));
#50552=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50553=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50554=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50555=DIRECTION('ref_axis',(0.,0.,1.));
#50556=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50557=DIRECTION('center_axis',(0.,0.,1.));
#50558=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#50559=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50560=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50561=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#50562=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50563=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#50564=DIRECTION('ref_axis',(0.,0.,-1.));
#50565=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50566=DIRECTION('ref_axis',(1.,2.22044604925E-16,5.551115123126E-16));
#50567=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50568=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50569=DIRECTION('ref_axis',(0.,0.,1.));
#50570=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50571=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50572=DIRECTION('ref_axis',(-8.881784197001E-15,-1.97215226305219E-30,
-1.));
#50573=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50574=DIRECTION('center_axis',(0.,0.,-1.));
#50575=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#50576=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50577=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#50578=DIRECTION('ref_axis',(0.,0.,1.));
#50579=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50580=DIRECTION('center_axis',(0.,0.,1.));
#50581=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#50582=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50583=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#50584=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-4.440892098501E-15));
#50585=DIRECTION('',(2.22044604925E-16,-1.,0.));
#50586=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#50587=DIRECTION('ref_axis',(0.,0.,-1.));
#50588=DIRECTION('center_axis',(0.,0.978147600733657,-0.207911690818459));
#50589=DIRECTION('ref_axis',(0.,0.207911690818459,0.978147600733657));
#50590=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#50591=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#50592=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#50593=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#50594=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#50595=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#50596=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#50597=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#50598=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#50599=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#50600=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#50601=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#50602=DIRECTION('center_axis',(0.,0.,-1.));
#50603=DIRECTION('ref_axis',(0.,1.,0.));
#50604=DIRECTION('',(-1.,0.,0.));
#50605=DIRECTION('center_axis',(1.,0.,0.));
#50606=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#50607=DIRECTION('',(-1.,0.,0.));
#50608=DIRECTION('center_axis',(0.,1.,0.));
#50609=DIRECTION('ref_axis',(0.,0.,1.));
#50610=DIRECTION('',(-1.,0.,0.));
#50611=DIRECTION('center_axis',(1.,0.,0.));
#50612=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#50613=DIRECTION('',(-1.,0.,0.));
#50614=DIRECTION('center_axis',(0.,0.,-1.));
#50615=DIRECTION('ref_axis',(0.,1.,0.));
#50616=DIRECTION('',(-1.,0.,0.));
#50617=DIRECTION('center_axis',(0.,1.,0.));
#50618=DIRECTION('ref_axis',(0.,0.,1.));
#50619=DIRECTION('',(-1.,0.,0.));
#50620=DIRECTION('center_axis',(0.,0.,1.));
#50621=DIRECTION('ref_axis',(0.,-1.,0.));
#50622=DIRECTION('',(-1.,0.,0.));
#50623=DIRECTION('center_axis',(1.,0.,0.));
#50624=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#50625=DIRECTION('',(-1.,0.,0.));
#50626=DIRECTION('center_axis',(0.,-1.,0.));
#50627=DIRECTION('ref_axis',(0.,0.,-1.));
#50628=DIRECTION('center_axis',(0.,0.,-1.));
#50629=DIRECTION('ref_axis',(0.,1.,0.));
#50630=DIRECTION('',(-1.,0.,0.));
#50631=DIRECTION('center_axis',(1.,0.,0.));
#50632=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#50633=DIRECTION('',(-1.,0.,0.));
#50634=DIRECTION('center_axis',(0.,1.,0.));
#50635=DIRECTION('ref_axis',(0.,0.,1.));
#50636=DIRECTION('',(-1.,0.,0.));
#50637=DIRECTION('center_axis',(1.,0.,0.));
#50638=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#50639=DIRECTION('',(-1.,0.,0.));
#50640=DIRECTION('center_axis',(0.,0.,-1.));
#50641=DIRECTION('ref_axis',(0.,1.,0.));
#50642=DIRECTION('',(-1.,0.,0.));
#50643=DIRECTION('center_axis',(0.,1.,0.));
#50644=DIRECTION('ref_axis',(0.,0.,1.));
#50645=DIRECTION('',(-1.,0.,0.));
#50646=DIRECTION('center_axis',(0.,0.,1.));
#50647=DIRECTION('ref_axis',(0.,-1.,0.));
#50648=DIRECTION('',(-1.,0.,0.));
#50649=DIRECTION('center_axis',(1.,0.,0.));
#50650=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#50651=DIRECTION('',(-1.,0.,0.));
#50652=DIRECTION('center_axis',(0.,-1.,0.));
#50653=DIRECTION('ref_axis',(0.,0.,-1.));
#50654=DIRECTION('center_axis',(0.,0.,-1.));
#50655=DIRECTION('ref_axis',(0.,1.,0.));
#50656=DIRECTION('',(-1.,0.,0.));
#50657=DIRECTION('center_axis',(1.,0.,0.));
#50658=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#50659=DIRECTION('',(-1.,0.,0.));
#50660=DIRECTION('center_axis',(0.,1.,0.));
#50661=DIRECTION('ref_axis',(0.,0.,1.));
#50662=DIRECTION('',(-1.,0.,0.));
#50663=DIRECTION('center_axis',(1.,0.,0.));
#50664=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#50665=DIRECTION('',(-1.,0.,0.));
#50666=DIRECTION('center_axis',(0.,0.,-1.));
#50667=DIRECTION('ref_axis',(0.,1.,0.));
#50668=DIRECTION('',(-1.,0.,0.));
#50669=DIRECTION('center_axis',(0.,1.,0.));
#50670=DIRECTION('ref_axis',(0.,0.,1.));
#50671=DIRECTION('',(-1.,0.,0.));
#50672=DIRECTION('center_axis',(0.,0.,1.));
#50673=DIRECTION('ref_axis',(0.,-1.,0.));
#50674=DIRECTION('',(-1.,0.,0.));
#50675=DIRECTION('center_axis',(1.,0.,0.));
#50676=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#50677=DIRECTION('',(-1.,0.,0.));
#50678=DIRECTION('center_axis',(0.,-1.,0.));
#50679=DIRECTION('ref_axis',(0.,0.,-1.));
#50680=DIRECTION('center_axis',(0.,0.,-1.));
#50681=DIRECTION('ref_axis',(0.,1.,0.));
#50682=DIRECTION('',(-1.,0.,0.));
#50683=DIRECTION('center_axis',(1.,0.,0.));
#50684=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#50685=DIRECTION('',(-1.,0.,0.));
#50686=DIRECTION('center_axis',(0.,1.,0.));
#50687=DIRECTION('ref_axis',(0.,0.,1.));
#50688=DIRECTION('',(-1.,0.,0.));
#50689=DIRECTION('center_axis',(1.,0.,0.));
#50690=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#50691=DIRECTION('',(-1.,0.,0.));
#50692=DIRECTION('center_axis',(0.,0.,-1.));
#50693=DIRECTION('ref_axis',(0.,1.,0.));
#50694=DIRECTION('',(-1.,0.,0.));
#50695=DIRECTION('center_axis',(0.,1.,0.));
#50696=DIRECTION('ref_axis',(0.,0.,1.));
#50697=DIRECTION('',(-1.,0.,0.));
#50698=DIRECTION('center_axis',(0.,0.,1.));
#50699=DIRECTION('ref_axis',(0.,-1.,0.));
#50700=DIRECTION('',(-1.,0.,0.));
#50701=DIRECTION('center_axis',(1.,0.,0.));
#50702=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#50703=DIRECTION('',(-1.,0.,0.));
#50704=DIRECTION('center_axis',(0.,-1.,0.));
#50705=DIRECTION('ref_axis',(0.,0.,-1.));
#50706=DIRECTION('center_axis',(0.,0.,-1.));
#50707=DIRECTION('ref_axis',(0.,1.,0.));
#50708=DIRECTION('',(-1.,0.,0.));
#50709=DIRECTION('center_axis',(1.,0.,0.));
#50710=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#50711=DIRECTION('',(-1.,0.,0.));
#50712=DIRECTION('center_axis',(0.,1.,0.));
#50713=DIRECTION('ref_axis',(0.,0.,1.));
#50714=DIRECTION('',(-1.,0.,0.));
#50715=DIRECTION('center_axis',(1.,0.,0.));
#50716=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#50717=DIRECTION('',(-1.,0.,0.));
#50718=DIRECTION('center_axis',(0.,0.,-1.));
#50719=DIRECTION('ref_axis',(0.,1.,0.));
#50720=DIRECTION('',(-1.,0.,0.));
#50721=DIRECTION('center_axis',(0.,1.,0.));
#50722=DIRECTION('ref_axis',(0.,0.,1.));
#50723=DIRECTION('',(-1.,0.,0.));
#50724=DIRECTION('center_axis',(0.,0.,1.));
#50725=DIRECTION('ref_axis',(0.,-1.,0.));
#50726=DIRECTION('',(-1.,0.,0.));
#50727=DIRECTION('center_axis',(1.,0.,0.));
#50728=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#50729=DIRECTION('',(-1.,0.,0.));
#50730=DIRECTION('center_axis',(0.,-1.,0.));
#50731=DIRECTION('ref_axis',(0.,0.,-1.));
#50732=DIRECTION('center_axis',(0.,0.,-1.));
#50733=DIRECTION('ref_axis',(0.,1.,0.));
#50734=DIRECTION('',(-1.,0.,0.));
#50735=DIRECTION('center_axis',(1.,0.,0.));
#50736=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#50737=DIRECTION('',(-1.,0.,0.));
#50738=DIRECTION('center_axis',(0.,1.,0.));
#50739=DIRECTION('ref_axis',(0.,0.,1.));
#50740=DIRECTION('',(-1.,0.,0.));
#50741=DIRECTION('center_axis',(1.,0.,0.));
#50742=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#50743=DIRECTION('',(-1.,0.,0.));
#50744=DIRECTION('center_axis',(0.,0.,-1.));
#50745=DIRECTION('ref_axis',(0.,1.,0.));
#50746=DIRECTION('',(-1.,0.,0.));
#50747=DIRECTION('center_axis',(0.,1.,0.));
#50748=DIRECTION('ref_axis',(0.,0.,1.));
#50749=DIRECTION('',(-1.,0.,0.));
#50750=DIRECTION('center_axis',(0.,0.,1.));
#50751=DIRECTION('ref_axis',(0.,-1.,0.));
#50752=DIRECTION('',(-1.,0.,0.));
#50753=DIRECTION('center_axis',(1.,0.,0.));
#50754=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#50755=DIRECTION('',(-1.,0.,0.));
#50756=DIRECTION('center_axis',(0.,-1.,0.));
#50757=DIRECTION('ref_axis',(0.,0.,-1.));
#50758=DIRECTION('center_axis',(0.,0.,-1.));
#50759=DIRECTION('ref_axis',(0.,1.,0.));
#50760=DIRECTION('',(-1.,0.,0.));
#50761=DIRECTION('center_axis',(1.,0.,0.));
#50762=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#50763=DIRECTION('',(-1.,0.,0.));
#50764=DIRECTION('center_axis',(0.,1.,0.));
#50765=DIRECTION('ref_axis',(0.,0.,1.));
#50766=DIRECTION('',(-1.,0.,0.));
#50767=DIRECTION('center_axis',(1.,0.,0.));
#50768=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#50769=DIRECTION('',(-1.,0.,0.));
#50770=DIRECTION('center_axis',(0.,0.,-1.));
#50771=DIRECTION('ref_axis',(0.,1.,0.));
#50772=DIRECTION('',(-1.,0.,0.));
#50773=DIRECTION('center_axis',(0.,1.,0.));
#50774=DIRECTION('ref_axis',(0.,0.,1.));
#50775=DIRECTION('',(-1.,0.,0.));
#50776=DIRECTION('center_axis',(0.,0.,1.));
#50777=DIRECTION('ref_axis',(0.,-1.,0.));
#50778=DIRECTION('',(-1.,0.,0.));
#50779=DIRECTION('center_axis',(1.,0.,0.));
#50780=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#50781=DIRECTION('',(-1.,0.,0.));
#50782=DIRECTION('center_axis',(0.,-1.,0.));
#50783=DIRECTION('ref_axis',(0.,0.,-1.));
#50784=DIRECTION('center_axis',(0.,0.,-1.));
#50785=DIRECTION('ref_axis',(0.,1.,0.));
#50786=DIRECTION('',(-1.,0.,0.));
#50787=DIRECTION('center_axis',(1.,0.,0.));
#50788=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#50789=DIRECTION('',(-1.,0.,0.));
#50790=DIRECTION('center_axis',(0.,1.,0.));
#50791=DIRECTION('ref_axis',(0.,0.,1.));
#50792=DIRECTION('',(-1.,0.,0.));
#50793=DIRECTION('center_axis',(1.,0.,0.));
#50794=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#50795=DIRECTION('',(-1.,0.,0.));
#50796=DIRECTION('center_axis',(0.,0.,-1.));
#50797=DIRECTION('ref_axis',(0.,1.,0.));
#50798=DIRECTION('',(-1.,0.,0.));
#50799=DIRECTION('center_axis',(0.,1.,0.));
#50800=DIRECTION('ref_axis',(0.,0.,1.));
#50801=DIRECTION('',(-1.,0.,0.));
#50802=DIRECTION('center_axis',(0.,0.,1.));
#50803=DIRECTION('ref_axis',(0.,-1.,0.));
#50804=DIRECTION('',(-1.,0.,0.));
#50805=DIRECTION('center_axis',(1.,0.,0.));
#50806=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#50807=DIRECTION('',(-1.,0.,0.));
#50808=DIRECTION('center_axis',(0.,-1.,0.));
#50809=DIRECTION('ref_axis',(0.,0.,-1.));
#50810=DIRECTION('center_axis',(0.,0.,-1.));
#50811=DIRECTION('ref_axis',(0.,1.,0.));
#50812=DIRECTION('',(-1.,0.,0.));
#50813=DIRECTION('center_axis',(1.,0.,0.));
#50814=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#50815=DIRECTION('',(-1.,0.,0.));
#50816=DIRECTION('center_axis',(0.,1.,0.));
#50817=DIRECTION('ref_axis',(0.,0.,1.));
#50818=DIRECTION('',(-1.,0.,0.));
#50819=DIRECTION('center_axis',(1.,0.,0.));
#50820=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#50821=DIRECTION('',(-1.,0.,0.));
#50822=DIRECTION('center_axis',(0.,0.,-1.));
#50823=DIRECTION('ref_axis',(0.,1.,0.));
#50824=DIRECTION('',(-1.,0.,0.));
#50825=DIRECTION('center_axis',(0.,1.,0.));
#50826=DIRECTION('ref_axis',(0.,0.,1.));
#50827=DIRECTION('',(-1.,0.,0.));
#50828=DIRECTION('center_axis',(0.,0.,1.));
#50829=DIRECTION('ref_axis',(0.,-1.,0.));
#50830=DIRECTION('',(-1.,0.,0.));
#50831=DIRECTION('center_axis',(1.,0.,0.));
#50832=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#50833=DIRECTION('',(-1.,0.,0.));
#50834=DIRECTION('center_axis',(0.,-1.,0.));
#50835=DIRECTION('ref_axis',(0.,0.,-1.));
#50836=DIRECTION('center_axis',(0.,0.,-1.));
#50837=DIRECTION('ref_axis',(0.,1.,0.));
#50838=DIRECTION('',(-1.,0.,0.));
#50839=DIRECTION('center_axis',(1.,0.,0.));
#50840=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#50841=DIRECTION('',(-1.,0.,0.));
#50842=DIRECTION('center_axis',(0.,1.,0.));
#50843=DIRECTION('ref_axis',(0.,0.,1.));
#50844=DIRECTION('',(-1.,0.,0.));
#50845=DIRECTION('center_axis',(1.,0.,0.));
#50846=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#50847=DIRECTION('',(-1.,0.,0.));
#50848=DIRECTION('center_axis',(0.,0.,-1.));
#50849=DIRECTION('ref_axis',(0.,1.,0.));
#50850=DIRECTION('',(-1.,0.,0.));
#50851=DIRECTION('center_axis',(0.,1.,0.));
#50852=DIRECTION('ref_axis',(0.,0.,1.));
#50853=DIRECTION('',(-1.,0.,0.));
#50854=DIRECTION('center_axis',(0.,0.,1.));
#50855=DIRECTION('ref_axis',(0.,-1.,0.));
#50856=DIRECTION('',(-1.,0.,0.));
#50857=DIRECTION('center_axis',(1.,0.,0.));
#50858=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#50859=DIRECTION('',(-1.,0.,0.));
#50860=DIRECTION('center_axis',(0.,-1.,0.));
#50861=DIRECTION('ref_axis',(0.,0.,-1.));
#50862=DIRECTION('center_axis',(0.,0.,-1.));
#50863=DIRECTION('ref_axis',(0.,1.,0.));
#50864=DIRECTION('',(-1.,0.,0.));
#50865=DIRECTION('center_axis',(1.,0.,0.));
#50866=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#50867=DIRECTION('',(-1.,0.,0.));
#50868=DIRECTION('center_axis',(0.,1.,0.));
#50869=DIRECTION('ref_axis',(0.,0.,1.));
#50870=DIRECTION('',(-1.,0.,0.));
#50871=DIRECTION('center_axis',(1.,0.,0.));
#50872=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#50873=DIRECTION('',(-1.,0.,0.));
#50874=DIRECTION('center_axis',(0.,0.,-1.));
#50875=DIRECTION('ref_axis',(0.,1.,0.));
#50876=DIRECTION('',(-1.,0.,0.));
#50877=DIRECTION('center_axis',(0.,1.,0.));
#50878=DIRECTION('ref_axis',(0.,0.,1.));
#50879=DIRECTION('',(-1.,0.,0.));
#50880=DIRECTION('center_axis',(0.,0.,1.));
#50881=DIRECTION('ref_axis',(0.,-1.,0.));
#50882=DIRECTION('',(-1.,0.,0.));
#50883=DIRECTION('center_axis',(1.,0.,0.));
#50884=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#50885=DIRECTION('',(-1.,0.,0.));
#50886=DIRECTION('center_axis',(0.,-1.,0.));
#50887=DIRECTION('ref_axis',(0.,0.,-1.));
#50888=DIRECTION('center_axis',(0.,0.,-1.));
#50889=DIRECTION('ref_axis',(0.,1.,0.));
#50890=DIRECTION('',(-1.,0.,0.));
#50891=DIRECTION('center_axis',(1.,0.,0.));
#50892=DIRECTION('ref_axis',(0.,-1.,5.551115123126E-16));
#50893=DIRECTION('',(-1.,0.,0.));
#50894=DIRECTION('center_axis',(0.,1.,0.));
#50895=DIRECTION('ref_axis',(0.,0.,1.));
#50896=DIRECTION('',(-1.,0.,0.));
#50897=DIRECTION('center_axis',(1.,0.,0.));
#50898=DIRECTION('ref_axis',(0.,8.881784197001E-15,-1.));
#50899=DIRECTION('',(-1.,0.,0.));
#50900=DIRECTION('center_axis',(0.,0.,-1.));
#50901=DIRECTION('ref_axis',(0.,1.,0.));
#50902=DIRECTION('',(-1.,0.,0.));
#50903=DIRECTION('center_axis',(0.,1.,0.));
#50904=DIRECTION('ref_axis',(0.,0.,1.));
#50905=DIRECTION('',(-1.,0.,0.));
#50906=DIRECTION('center_axis',(0.,0.,1.));
#50907=DIRECTION('ref_axis',(0.,-1.,0.));
#50908=DIRECTION('',(-1.,0.,0.));
#50909=DIRECTION('center_axis',(1.,0.,0.));
#50910=DIRECTION('ref_axis',(0.,1.,-4.440892098501E-15));
#50911=DIRECTION('',(-1.,0.,0.));
#50912=DIRECTION('center_axis',(0.,-1.,0.));
#50913=DIRECTION('ref_axis',(0.,0.,-1.));
#50914=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50915=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#50916=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50917=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#50918=DIRECTION('ref_axis',(0.,0.,1.));
#50919=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50920=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50921=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#50922=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50923=DIRECTION('center_axis',(0.,0.,-1.));
#50924=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#50925=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50926=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#50927=DIRECTION('ref_axis',(0.,0.,1.));
#50928=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50929=DIRECTION('center_axis',(0.,0.,1.));
#50930=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#50931=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50932=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50933=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#50934=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50935=DIRECTION('center_axis',(-1.,-9.95799250103E-17,0.));
#50936=DIRECTION('ref_axis',(0.,0.,-1.));
#50937=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50938=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#50939=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50940=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#50941=DIRECTION('ref_axis',(0.,0.,1.));
#50942=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50943=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50944=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#50945=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50946=DIRECTION('center_axis',(0.,0.,-1.));
#50947=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#50948=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50949=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#50950=DIRECTION('ref_axis',(0.,0.,1.));
#50951=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50952=DIRECTION('center_axis',(0.,0.,1.));
#50953=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#50954=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50955=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50956=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#50957=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50958=DIRECTION('center_axis',(-1.,-9.95799250103E-17,0.));
#50959=DIRECTION('ref_axis',(0.,0.,-1.));
#50960=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50961=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#50962=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50963=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#50964=DIRECTION('ref_axis',(0.,0.,1.));
#50965=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50966=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50967=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#50968=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50969=DIRECTION('center_axis',(0.,0.,-1.));
#50970=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#50971=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50972=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#50973=DIRECTION('ref_axis',(0.,0.,1.));
#50974=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50975=DIRECTION('center_axis',(0.,0.,1.));
#50976=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#50977=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50978=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50979=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#50980=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50981=DIRECTION('center_axis',(-1.,-9.95799250103E-17,0.));
#50982=DIRECTION('ref_axis',(0.,0.,-1.));
#50983=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50984=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#50985=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50986=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#50987=DIRECTION('ref_axis',(0.,0.,1.));
#50988=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50989=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#50990=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#50991=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50992=DIRECTION('center_axis',(0.,0.,-1.));
#50993=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#50994=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50995=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#50996=DIRECTION('ref_axis',(0.,0.,1.));
#50997=DIRECTION('',(-9.95799250103E-17,1.,0.));
#50998=DIRECTION('center_axis',(0.,0.,1.));
#50999=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#51000=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51001=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51002=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#51003=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51004=DIRECTION('center_axis',(-1.,-9.95799250103E-17,0.));
#51005=DIRECTION('ref_axis',(0.,0.,-1.));
#51006=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51007=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#51008=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51009=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#51010=DIRECTION('ref_axis',(0.,0.,1.));
#51011=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51012=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51013=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#51014=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51015=DIRECTION('center_axis',(0.,0.,-1.));
#51016=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51017=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51018=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#51019=DIRECTION('ref_axis',(0.,0.,1.));
#51020=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51021=DIRECTION('center_axis',(0.,0.,1.));
#51022=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#51023=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51024=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51025=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#51026=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51027=DIRECTION('center_axis',(-1.,-9.95799250103E-17,0.));
#51028=DIRECTION('ref_axis',(0.,0.,-1.));
#51029=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51030=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#51031=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51032=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#51033=DIRECTION('ref_axis',(0.,0.,1.));
#51034=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51035=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51036=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#51037=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51038=DIRECTION('center_axis',(0.,0.,-1.));
#51039=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51040=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51041=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#51042=DIRECTION('ref_axis',(0.,0.,1.));
#51043=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51044=DIRECTION('center_axis',(0.,0.,1.));
#51045=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#51046=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51047=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51048=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#51049=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51050=DIRECTION('center_axis',(-1.,-9.95799250103E-17,0.));
#51051=DIRECTION('ref_axis',(0.,0.,-1.));
#51052=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51053=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#51054=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51055=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#51056=DIRECTION('ref_axis',(0.,0.,1.));
#51057=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51058=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51059=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#51060=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51061=DIRECTION('center_axis',(0.,0.,-1.));
#51062=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51063=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51064=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#51065=DIRECTION('ref_axis',(0.,0.,1.));
#51066=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51067=DIRECTION('center_axis',(0.,0.,1.));
#51068=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#51069=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51070=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51071=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#51072=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51073=DIRECTION('center_axis',(-1.,-9.95799250103E-17,0.));
#51074=DIRECTION('ref_axis',(0.,0.,-1.));
#51075=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51076=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#51077=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51078=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#51079=DIRECTION('ref_axis',(0.,0.,1.));
#51080=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51081=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51082=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#51083=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51084=DIRECTION('center_axis',(0.,0.,-1.));
#51085=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51086=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51087=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#51088=DIRECTION('ref_axis',(0.,0.,1.));
#51089=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51090=DIRECTION('center_axis',(0.,0.,1.));
#51091=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#51092=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51093=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51094=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#51095=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51096=DIRECTION('center_axis',(-1.,-9.95799250103E-17,0.));
#51097=DIRECTION('ref_axis',(0.,0.,-1.));
#51098=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51099=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#51100=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51101=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#51102=DIRECTION('ref_axis',(0.,0.,1.));
#51103=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51104=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51105=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#51106=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51107=DIRECTION('center_axis',(0.,0.,-1.));
#51108=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51109=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51110=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#51111=DIRECTION('ref_axis',(0.,0.,1.));
#51112=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51113=DIRECTION('center_axis',(0.,0.,1.));
#51114=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#51115=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51116=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51117=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#51118=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51119=DIRECTION('center_axis',(-1.,-9.95799250103E-17,0.));
#51120=DIRECTION('ref_axis',(0.,0.,-1.));
#51121=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51122=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#51123=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51124=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#51125=DIRECTION('ref_axis',(0.,0.,1.));
#51126=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51127=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51128=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#51129=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51130=DIRECTION('center_axis',(0.,0.,-1.));
#51131=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51132=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51133=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#51134=DIRECTION('ref_axis',(0.,0.,1.));
#51135=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51136=DIRECTION('center_axis',(0.,0.,1.));
#51137=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#51138=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51139=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51140=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#51141=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51142=DIRECTION('center_axis',(-1.,-9.95799250103E-17,0.));
#51143=DIRECTION('ref_axis',(0.,0.,-1.));
#51144=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51145=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,5.551115123126E-16));
#51146=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51147=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#51148=DIRECTION('ref_axis',(0.,0.,1.));
#51149=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51150=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51151=DIRECTION('ref_axis',(8.881784197001E-15,8.84447404295027E-31,-1.));
#51152=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51153=DIRECTION('center_axis',(0.,0.,-1.));
#51154=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51155=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51156=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#51157=DIRECTION('ref_axis',(0.,0.,1.));
#51158=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51159=DIRECTION('center_axis',(0.,0.,1.));
#51160=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#51161=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51162=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51163=DIRECTION('ref_axis',(1.,9.95799250103E-17,-4.440892098501E-15));
#51164=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51165=DIRECTION('center_axis',(-1.,-9.95799250103E-17,0.));
#51166=DIRECTION('ref_axis',(0.,0.,-1.));
#51167=DIRECTION('center_axis',(0.,0.,1.));
#51168=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#51169=DIRECTION('',(0.,0.,1.));
#51170=DIRECTION('',(1.,0.,0.));
#51171=DIRECTION('axis',(0.,0.,1.));
#51172=DIRECTION('refdir',(1.,0.,0.));
#51173=DIRECTION('axis',(0.,0.,1.));
#51174=DIRECTION('refdir',(1.,0.,0.));
#51175=DIRECTION('center_axis',(0.,0.,-1.));
#51176=DIRECTION('ref_axis',(0.464692418444138,-0.885472165706262,0.));
#51177=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#51178=DIRECTION('',(-2.22044604925E-16,1.,0.));
#51179=DIRECTION('',(1.,2.22044604925E-16,0.));
#51180=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51181=DIRECTION('center_axis',(-0.990268068741589,0.,-0.139173100959934));
#51182=DIRECTION('ref_axis',(-0.139173100959934,0.,0.990268068741589));
#51183=DIRECTION('',(-0.137844537491501,-0.137844537491501,0.980814848464025));
#51184=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51185=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51186=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51187=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51188=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51189=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51190=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51191=DIRECTION('',(-0.137844537491501,0.137844537491501,0.980814848464025));
#51192=DIRECTION('center_axis',(0.,-0.990268068741589,-0.139173100959934));
#51193=DIRECTION('ref_axis',(0.,0.139173100959934,-0.990268068741589));
#51194=DIRECTION('',(0.137844537491501,-0.137844537491501,0.980814848464025));
#51195=DIRECTION('',(1.,2.22044604925E-16,0.));
#51196=DIRECTION('center_axis',(0.990268068741589,0.,-0.139173100959934));
#51197=DIRECTION('ref_axis',(-0.139173100959934,0.,-0.990268068741589));
#51198=DIRECTION('',(0.137844537491501,0.137844537491501,0.980814848464025));
#51199=DIRECTION('',(-2.22044604925E-16,1.,0.));
#51200=DIRECTION('',(-2.22044604925E-16,1.,0.));
#51201=DIRECTION('',(-2.22044604925E-16,1.,0.));
#51202=DIRECTION('center_axis',(0.,0.990268068741589,-0.139173100959934));
#51203=DIRECTION('ref_axis',(0.,0.139173100959934,0.990268068741589));
#51204=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#51205=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#51206=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#51207=DIRECTION('',(0.,0.,1.));
#51208=DIRECTION('',(0.,0.,-1.));
#51209=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51210=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#51211=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#51212=DIRECTION('',(0.,0.,-1.));
#51213=DIRECTION('',(0.,0.,1.));
#51214=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51215=DIRECTION('center_axis',(0.,0.,1.));
#51216=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#51217=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#51218=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51219=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#51220=DIRECTION('center_axis',(0.,0.,1.));
#51221=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#51222=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#51223=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51224=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#51225=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#51226=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#51227=DIRECTION('',(0.,0.,-1.));
#51228=DIRECTION('',(0.,0.,-1.));
#51229=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51230=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#51231=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#51232=DIRECTION('',(0.,0.,-1.));
#51233=DIRECTION('',(0.,0.,-1.));
#51234=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51235=DIRECTION('center_axis',(0.,0.,1.));
#51236=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#51237=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#51238=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51239=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#51240=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#51241=DIRECTION('ref_axis',(1.,2.22044604925E-16,0.));
#51242=DIRECTION('',(0.,0.,1.));
#51243=DIRECTION('',(1.,2.22044604925E-16,0.));
#51244=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#51245=DIRECTION('ref_axis',(-2.22044604925E-16,1.,0.));
#51246=DIRECTION('',(0.,0.,-1.));
#51247=DIRECTION('',(-2.22044604925E-16,1.,0.));
#51248=DIRECTION('center_axis',(1.,2.22044604925E-16,0.));
#51249=DIRECTION('ref_axis',(-2.22044604925E-16,1.,0.));
#51250=DIRECTION('',(0.,0.,-1.));
#51251=DIRECTION('',(0.,0.,1.));
#51252=DIRECTION('',(-2.22044604925E-16,1.,0.));
#51253=DIRECTION('center_axis',(1.702469329999E-16,3.780241297767E-32,-1.));
#51254=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,-1.702469329999E-16));
#51255=DIRECTION('',(1.,2.22044604925E-16,0.));
#51256=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51257=DIRECTION('',(1.,2.22044604925E-16,0.));
#51258=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#51259=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#51260=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#51261=DIRECTION('center_axis',(0.,0.,1.));
#51262=DIRECTION('ref_axis',(1.,0.,0.));
#51263=DIRECTION('',(0.707106781186547,-0.707106781186547,0.));
#51264=DIRECTION('',(1.,9.95799250103E-17,0.));
#51265=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51266=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#51267=DIRECTION('ref_axis',(0.,0.,1.));
#51268=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51269=DIRECTION('ref_axis',(-4.440892098501E-15,-4.42223702147563E-31,
1.));
#51270=DIRECTION('',(0.,0.,-1.));
#51271=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#51272=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51273=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#51274=DIRECTION('',(0.,0.,1.));
#51275=DIRECTION('',(1.,9.95799250103E-17,0.));
#51276=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51277=DIRECTION('ref_axis',(2.22044604925E-15,2.21111851073732E-31,-1.));
#51278=DIRECTION('',(0.,0.,1.));
#51279=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#51280=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,-4.724353296277E-16));
#51281=DIRECTION('',(1.,9.95799250103E-17,0.));
#51282=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#51283=DIRECTION('ref_axis',(0.,0.,1.));
#51284=DIRECTION('',(1.,9.95799250103E-17,0.));
#51285=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#51286=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,-4.724353296277E-16));
#51287=DIRECTION('',(0.,0.,1.));
#51288=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51289=DIRECTION('ref_axis',(2.22044604925E-15,2.21111851073732E-31,-1.));
#51290=DIRECTION('',(1.,9.95799250103E-17,0.));
#51291=DIRECTION('',(0.,0.,1.));
#51292=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#51293=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#51294=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51295=DIRECTION('',(0.,0.,-1.));
#51296=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51297=DIRECTION('ref_axis',(-4.440892098501E-15,-4.42223702147563E-31,
1.));
#51298=DIRECTION('center_axis',(0.,0.,1.));
#51299=DIRECTION('ref_axis',(1.,0.,0.));
#51300=DIRECTION('',(1.,9.95799250103E-17,0.));
#51301=DIRECTION('',(0.707106781186547,0.707106781186547,0.));
#51302=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51303=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#51304=DIRECTION('ref_axis',(0.,0.,1.));
#51305=DIRECTION('',(1.,9.95799250103E-17,0.));
#51306=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#51307=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,-4.724353296277E-16));
#51308=DIRECTION('',(0.,0.,1.));
#51309=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51310=DIRECTION('ref_axis',(2.22044604925E-15,2.21111851073732E-31,-1.));
#51311=DIRECTION('',(1.,9.95799250103E-17,0.));
#51312=DIRECTION('',(0.,0.,1.));
#51313=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#51314=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#51315=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51316=DIRECTION('',(0.,0.,-1.));
#51317=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51318=DIRECTION('ref_axis',(-4.440892098501E-15,-4.42223702147563E-31,
1.));
#51319=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51320=DIRECTION('ref_axis',(-4.440892098501E-15,-4.42223702147563E-31,
1.));
#51321=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51322=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51323=DIRECTION('ref_axis',(-4.440892098501E-15,-4.42223702147563E-31,
1.));
#51324=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51325=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51326=DIRECTION('ref_axis',(-4.440892098501E-15,-4.42223702147563E-31,
1.));
#51327=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#51328=DIRECTION('ref_axis',(0.,0.,1.));
#51329=DIRECTION('',(0.,0.,-1.));
#51330=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#51331=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51332=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#51333=DIRECTION('',(0.,0.,1.));
#51334=DIRECTION('',(1.,9.95799250103E-17,0.));
#51335=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51336=DIRECTION('ref_axis',(2.22044604925E-15,2.21111851073732E-31,-1.));
#51337=DIRECTION('',(0.,0.,1.));
#51338=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#51339=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,-4.724353296277E-16));
#51340=DIRECTION('',(1.,9.95799250103E-17,0.));
#51341=DIRECTION('center_axis',(0.,0.,1.));
#51342=DIRECTION('ref_axis',(1.,0.,0.));
#51343=DIRECTION('',(1.,9.95799250103E-17,0.));
#51344=DIRECTION('',(1.,9.95799250103E-17,0.));
#51345=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51346=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#51347=DIRECTION('ref_axis',(0.,0.,1.));
#51348=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51349=DIRECTION('ref_axis',(-4.440892098501E-15,-4.42223702147563E-31,
1.));
#51350=DIRECTION('',(0.,0.,-1.));
#51351=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#51352=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51353=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#51354=DIRECTION('',(0.,0.,1.));
#51355=DIRECTION('',(1.,9.95799250103E-17,0.));
#51356=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51357=DIRECTION('ref_axis',(2.22044604925E-15,2.21111851073732E-31,-1.));
#51358=DIRECTION('',(0.,0.,1.));
#51359=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#51360=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,-4.724353296277E-16));
#51361=DIRECTION('',(1.,9.95799250103E-17,0.));
#51362=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#51363=DIRECTION('ref_axis',(0.,0.,1.));
#51364=DIRECTION('',(1.,9.95799250103E-17,0.));
#51365=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#51366=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,-4.724353296277E-16));
#51367=DIRECTION('',(0.,0.,1.));
#51368=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51369=DIRECTION('ref_axis',(2.22044604925E-15,2.21111851073732E-31,-1.));
#51370=DIRECTION('',(1.,9.95799250103E-17,0.));
#51371=DIRECTION('',(0.,0.,1.));
#51372=DIRECTION('',(-1.,-9.95799250103E-17,0.));
#51373=DIRECTION('center_axis',(-9.95799250103E-17,1.,0.));
#51374=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51375=DIRECTION('',(0.,0.,-1.));
#51376=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51377=DIRECTION('ref_axis',(-4.440892098501E-15,-4.42223702147563E-31,
1.));
#51378=DIRECTION('center_axis',(0.,0.,1.));
#51379=DIRECTION('ref_axis',(1.,0.,0.));
#51380=DIRECTION('',(1.,9.95799250103E-17,0.));
#51381=DIRECTION('',(1.,9.95799250103E-17,0.));
#51382=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51383=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51384=DIRECTION('ref_axis',(-4.440892098501E-15,-4.42223702147563E-31,
1.));
#51385=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51386=DIRECTION('center_axis',(0.,0.,1.));
#51387=DIRECTION('ref_axis',(1.,0.,0.));
#51388=DIRECTION('',(-0.707106781186547,0.707106781186547,0.));
#51389=DIRECTION('',(1.,2.22044604925E-16,0.));
#51390=DIRECTION('center_axis',(0.,0.,1.));
#51391=DIRECTION('ref_axis',(1.,0.,0.));
#51392=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#51393=DIRECTION('',(-2.22044604925E-16,1.,0.));
#51394=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#51395=DIRECTION('ref_axis',(0.,0.,1.));
#51396=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#51397=DIRECTION('ref_axis',(4.440892098501E-15,9.86076131526209E-31,1.));
#51398=DIRECTION('',(0.,0.,-1.));
#51399=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#51400=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#51401=DIRECTION('',(1.,2.22044604925E-16,0.));
#51402=DIRECTION('',(0.,0.,1.));
#51403=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#51404=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#51405=DIRECTION('ref_axis',(-2.22044604925E-15,-4.93038065762993E-31,-1.));
#51406=DIRECTION('',(0.,0.,1.));
#51407=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#51408=DIRECTION('ref_axis',(1.,2.22044604925E-16,-4.724353296277E-16));
#51409=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#51410=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#51411=DIRECTION('ref_axis',(0.,0.,1.));
#51412=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#51413=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#51414=DIRECTION('ref_axis',(1.,2.22044604925E-16,-4.724353296277E-16));
#51415=DIRECTION('',(0.,0.,1.));
#51416=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#51417=DIRECTION('ref_axis',(-2.22044604925E-15,-4.93038065762993E-31,-1.));
#51418=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#51419=DIRECTION('',(0.,0.,1.));
#51420=DIRECTION('',(1.,2.22044604925E-16,0.));
#51421=DIRECTION('center_axis',(2.22044604925E-16,-1.,0.));
#51422=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#51423=DIRECTION('',(0.,0.,-1.));
#51424=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#51425=DIRECTION('ref_axis',(4.440892098501E-15,9.86076131526209E-31,1.));
#51426=DIRECTION('center_axis',(0.,0.,1.));
#51427=DIRECTION('ref_axis',(1.,0.,0.));
#51428=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#51429=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.));
#51430=DIRECTION('',(-2.22044604925E-16,1.,0.));
#51431=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#51432=DIRECTION('ref_axis',(4.440892098501E-15,9.86076131526209E-31,1.));
#51433=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51434=DIRECTION('center_axis',(0.,0.,1.));
#51435=DIRECTION('ref_axis',(1.,0.,0.));
#51436=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#51437=DIRECTION('center_axis',(-0.990268068741589,0.,0.139173100959934));
#51438=DIRECTION('ref_axis',(0.139173100959934,0.,0.990268068741589));
#51439=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51440=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51441=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51442=DIRECTION('',(0.137844537491501,0.137844537491501,0.980814848464025));
#51443=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51444=DIRECTION('',(0.137844537491501,-0.137844537491501,0.980814848464025));
#51445=DIRECTION('center_axis',(0.,0.,-1.));
#51446=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51447=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51448=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51449=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,-4.724353296277E-16));
#51450=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51451=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#51452=DIRECTION('ref_axis',(0.,0.,1.));
#51453=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51454=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51455=DIRECTION('ref_axis',(2.22044604925E-15,2.21111851073732E-31,-1.));
#51456=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51457=DIRECTION('center_axis',(0.,0.,-1.));
#51458=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51459=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51460=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#51461=DIRECTION('ref_axis',(0.,0.,1.));
#51462=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51463=DIRECTION('center_axis',(0.,0.,1.));
#51464=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#51465=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51466=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51467=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51468=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51469=DIRECTION('center_axis',(-1.,-9.95799250103E-17,0.));
#51470=DIRECTION('ref_axis',(0.,0.,-1.));
#51471=DIRECTION('center_axis',(0.,0.,-1.));
#51472=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51473=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51474=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51475=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,-4.724353296277E-16));
#51476=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51477=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#51478=DIRECTION('ref_axis',(0.,0.,1.));
#51479=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51480=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51481=DIRECTION('ref_axis',(2.22044604925E-15,2.21111851073732E-31,-1.));
#51482=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51483=DIRECTION('center_axis',(0.,0.,-1.));
#51484=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51485=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51486=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#51487=DIRECTION('ref_axis',(0.,0.,1.));
#51488=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51489=DIRECTION('center_axis',(0.,0.,1.));
#51490=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#51491=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51492=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51493=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51494=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51495=DIRECTION('center_axis',(-1.,-9.95799250103E-17,0.));
#51496=DIRECTION('ref_axis',(0.,0.,-1.));
#51497=DIRECTION('center_axis',(0.,0.,-1.));
#51498=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51499=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51500=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51501=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,-4.724353296277E-16));
#51502=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51503=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#51504=DIRECTION('ref_axis',(0.,0.,1.));
#51505=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51506=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51507=DIRECTION('ref_axis',(2.22044604925E-15,2.21111851073732E-31,-1.));
#51508=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51509=DIRECTION('center_axis',(0.,0.,-1.));
#51510=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51511=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51512=DIRECTION('center_axis',(1.,9.95799250103E-17,0.));
#51513=DIRECTION('ref_axis',(0.,0.,1.));
#51514=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51515=DIRECTION('center_axis',(0.,0.,1.));
#51516=DIRECTION('ref_axis',(-1.,-9.95799250103E-17,0.));
#51517=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51518=DIRECTION('center_axis',(9.95799250103E-17,-1.,0.));
#51519=DIRECTION('ref_axis',(1.,9.95799250103E-17,0.));
#51520=DIRECTION('',(-9.95799250103E-17,1.,0.));
#51521=DIRECTION('center_axis',(-1.,-9.95799250103E-17,0.));
#51522=DIRECTION('ref_axis',(0.,0.,-1.));
#51523=DIRECTION('center_axis',(0.,-0.990268068741589,0.139173100959934));
#51524=DIRECTION('ref_axis',(0.,-0.139173100959934,-0.990268068741589));
#51525=DIRECTION('',(-0.137844537491501,0.137844537491501,0.980814848464025));
#51526=DIRECTION('',(1.,2.22044604925E-16,0.));
#51527=DIRECTION('center_axis',(0.990268068741589,0.,0.139173100959934));
#51528=DIRECTION('ref_axis',(0.139173100959934,0.,-0.990268068741589));
#51529=DIRECTION('',(-2.22044604925E-16,1.,0.));
#51530=DIRECTION('',(-0.137844537491501,-0.137844537491501,0.980814848464025));
#51531=DIRECTION('',(-2.22044604925E-16,1.,0.));
#51532=DIRECTION('center_axis',(0.,0.,1.));
#51533=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#51534=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51535=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#51536=DIRECTION('ref_axis',(1.,2.22044604925E-16,-4.724353296277E-16));
#51537=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51538=DIRECTION('center_axis',(1.,2.22044604925E-16,3.322681044155E-29));
#51539=DIRECTION('ref_axis',(-3.322681044155E-29,-7.377833997412E-45,1.));
#51540=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51541=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#51542=DIRECTION('ref_axis',(-2.22044604925E-15,-4.93038065762993E-31,-1.));
#51543=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51544=DIRECTION('center_axis',(0.,0.,1.));
#51545=DIRECTION('ref_axis',(2.22044604925E-16,-1.,0.));
#51546=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51547=DIRECTION('center_axis',(1.,2.22044604925E-16,-6.052706553036E-31));
#51548=DIRECTION('ref_axis',(6.052706553036E-31,1.343970835296E-46,1.));
#51549=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51550=DIRECTION('center_axis',(0.,0.,-1.));
#51551=DIRECTION('ref_axis',(-2.22044604925E-16,1.,0.));
#51552=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51553=DIRECTION('center_axis',(-2.22044604925E-16,1.,0.));
#51554=DIRECTION('ref_axis',(-1.,-2.22044604925E-16,0.));
#51555=DIRECTION('',(2.22044604925E-16,-1.,0.));
#51556=DIRECTION('center_axis',(-1.,-2.22044604925E-16,0.));
#51557=DIRECTION('ref_axis',(0.,0.,-1.));
#51558=DIRECTION('center_axis',(0.,0.990268068741589,0.139173100959934));
#51559=DIRECTION('ref_axis',(0.,-0.139173100959934,0.990268068741589));
#51560=DIRECTION('',(-1.,-2.22044604925E-16,0.));
#51561=DIRECTION('center_axis',(0.,0.,-1.));
#51562=DIRECTION('ref_axis',(0.46311494842412,-0.886298225512229,0.));
#51563=DIRECTION('',(0.,0.,1.));
#51564=DIRECTION('',(1.,0.,0.));
#51565=DIRECTION('axis',(0.,0.,1.));
#51566=DIRECTION('refdir',(1.,0.,0.));
#51567=DIRECTION('axis',(0.,0.,1.));
#51568=DIRECTION('refdir',(1.,0.,0.));
#51569=DIRECTION('center_axis',(0.,0.,-1.));
#51570=DIRECTION('ref_axis',(-1.,0.,0.));
#51571=DIRECTION('center_axis',(0.,0.,1.));
#51572=DIRECTION('ref_axis',(1.,0.,0.));
#51573=DIRECTION('center_axis',(0.,0.,1.));
#51574=DIRECTION('ref_axis',(1.,0.,0.));
#51575=DIRECTION('center_axis',(0.,0.,-1.));
#51576=DIRECTION('ref_axis',(1.,0.,0.));
#51577=DIRECTION('center_axis',(0.,0.,-1.));
#51578=DIRECTION('ref_axis',(1.,0.,0.));
#51579=DIRECTION('center_axis',(0.,0.,-1.));
#51580=DIRECTION('ref_axis',(1.,0.,0.));
#51581=DIRECTION('',(0.,0.,1.));
#51582=DIRECTION('center_axis',(0.,0.,-1.));
#51583=DIRECTION('ref_axis',(-1.,0.,0.));
#51584=DIRECTION('',(1.,0.,0.));
#51585=DIRECTION('center_axis',(0.,0.,1.));
#51586=DIRECTION('ref_axis',(0.,-1.,0.));
#51587=DIRECTION('',(0.,1.,0.));
#51588=DIRECTION('center_axis',(0.,0.,1.));
#51589=DIRECTION('ref_axis',(0.,-1.,0.));
#51590=DIRECTION('',(-1.,0.,0.));
#51591=DIRECTION('center_axis',(0.,0.,1.));
#51592=DIRECTION('ref_axis',(0.,-1.,0.));
#51593=DIRECTION('',(0.,-1.,0.));
#51594=DIRECTION('center_axis',(0.,0.,-1.));
#51595=DIRECTION('ref_axis',(0.,-1.,0.));
#51596=DIRECTION('center_axis',(0.,0.,-1.));
#51597=DIRECTION('ref_axis',(1.,0.,0.));
#51598=DIRECTION('center_axis',(0.,0.,-1.));
#51599=DIRECTION('ref_axis',(1.,0.,0.));
#51600=DIRECTION('center_axis',(0.,0.,-1.));
#51601=DIRECTION('ref_axis',(1.,0.,0.));
#51602=DIRECTION('center_axis',(0.,0.,-1.));
#51603=DIRECTION('ref_axis',(1.,0.,0.));
#51604=DIRECTION('center_axis',(0.,0.,-1.));
#51605=DIRECTION('ref_axis',(1.,0.,0.));
#51606=DIRECTION('center_axis',(0.,0.,-1.));
#51607=DIRECTION('ref_axis',(1.,0.,0.));
#51608=DIRECTION('center_axis',(0.,0.,-1.));
#51609=DIRECTION('ref_axis',(1.,0.,0.));
#51610=DIRECTION('center_axis',(0.,0.,-1.));
#51611=DIRECTION('ref_axis',(1.,0.,0.));
#51612=DIRECTION('center_axis',(0.,1.,0.));
#51613=DIRECTION('ref_axis',(1.,0.,0.));
#51614=DIRECTION('',(1.,0.,0.));
#51615=DIRECTION('',(0.,0.,1.));
#51616=DIRECTION('',(0.,0.,1.));
#51617=DIRECTION('center_axis',(0.,0.,1.));
#51618=DIRECTION('ref_axis',(0.,-1.,0.));
#51619=DIRECTION('',(0.,0.,1.));
#51620=DIRECTION('center_axis',(0.,0.,-1.));
#51621=DIRECTION('ref_axis',(0.,-1.,0.));
#51622=DIRECTION('center_axis',(0.,0.,1.));
#51623=DIRECTION('ref_axis',(0.,-1.,0.));
#51624=DIRECTION('center_axis',(0.,0.,1.));
#51625=DIRECTION('ref_axis',(0.,-1.,0.));
#51626=DIRECTION('',(0.,0.,1.));
#51627=DIRECTION('center_axis',(1.,0.,0.));
#51628=DIRECTION('ref_axis',(0.,-1.,0.));
#51629=DIRECTION('',(0.,-1.,0.));
#51630=DIRECTION('',(0.,0.,1.));
#51631=DIRECTION('center_axis',(-1.,0.,0.));
#51632=DIRECTION('ref_axis',(0.,1.,0.));
#51633=DIRECTION('',(0.,1.,0.));
#51634=DIRECTION('',(0.,0.,1.));
#51635=DIRECTION('center_axis',(0.,0.,1.));
#51636=DIRECTION('ref_axis',(0.,1.,0.));
#51637=DIRECTION('center_axis',(0.,0.,1.));
#51638=DIRECTION('ref_axis',(0.,-1.,0.));
#51639=DIRECTION('',(0.,0.,1.));
#51640=DIRECTION('center_axis',(0.,0.,1.));
#51641=DIRECTION('ref_axis',(1.,0.,0.));
#51642=DIRECTION('center_axis',(0.,0.,1.));
#51643=DIRECTION('ref_axis',(0.,-1.,0.));
#51644=DIRECTION('',(0.,0.,1.));
#51645=DIRECTION('center_axis',(0.,-1.,0.));
#51646=DIRECTION('ref_axis',(-1.,0.,0.));
#51647=DIRECTION('',(-1.,0.,0.));
#51648=DIRECTION('center_axis',(0.,0.,-1.));
#51649=DIRECTION('ref_axis',(1.,0.,0.));
#51650=DIRECTION('',(0.,0.,1.));
#51651=DIRECTION('center_axis',(0.,0.,1.));
#51652=DIRECTION('ref_axis',(1.,0.,0.));
#51653=DIRECTION('center_axis',(0.,0.,1.));
#51654=DIRECTION('ref_axis',(1.,0.,0.));
#51655=DIRECTION('center_axis',(0.,0.,-1.));
#51656=DIRECTION('ref_axis',(1.,0.,0.));
#51657=DIRECTION('',(0.,0.,1.));
#51658=DIRECTION('center_axis',(0.,0.,1.));
#51659=DIRECTION('ref_axis',(1.,0.,0.));
#51660=DIRECTION('center_axis',(0.,0.,1.));
#51661=DIRECTION('ref_axis',(1.,0.,0.));
#51662=DIRECTION('center_axis',(0.,0.,-1.));
#51663=DIRECTION('ref_axis',(1.,0.,0.));
#51664=DIRECTION('',(0.,0.,1.));
#51665=DIRECTION('center_axis',(0.,0.,1.));
#51666=DIRECTION('ref_axis',(1.,0.,0.));
#51667=DIRECTION('center_axis',(0.,0.,1.));
#51668=DIRECTION('ref_axis',(1.,0.,0.));
#51669=DIRECTION('center_axis',(0.,0.,-1.));
#51670=DIRECTION('ref_axis',(1.,0.,0.));
#51671=DIRECTION('',(0.,0.,1.));
#51672=DIRECTION('center_axis',(0.,0.,1.));
#51673=DIRECTION('ref_axis',(1.,0.,0.));
#51674=DIRECTION('center_axis',(0.,0.,1.));
#51675=DIRECTION('ref_axis',(1.,0.,0.));
#51676=DIRECTION('center_axis',(0.,1.,0.));
#51677=DIRECTION('ref_axis',(1.,0.,0.));
#51678=DIRECTION('',(1.,0.,0.));
#51679=DIRECTION('',(0.,0.,1.));
#51680=DIRECTION('',(0.,0.,1.));
#51681=DIRECTION('center_axis',(0.,0.,1.));
#51682=DIRECTION('ref_axis',(0.,-1.,0.));
#51683=DIRECTION('',(0.,0.,1.));
#51684=DIRECTION('center_axis',(0.,0.,-1.));
#51685=DIRECTION('ref_axis',(0.,-1.,0.));
#51686=DIRECTION('center_axis',(0.,0.,1.));
#51687=DIRECTION('ref_axis',(0.,-1.,0.));
#51688=DIRECTION('center_axis',(0.,0.,1.));
#51689=DIRECTION('ref_axis',(0.,-1.,0.));
#51690=DIRECTION('',(0.,0.,1.));
#51691=DIRECTION('center_axis',(1.,0.,0.));
#51692=DIRECTION('ref_axis',(0.,-1.,0.));
#51693=DIRECTION('',(0.,-1.,0.));
#51694=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51695=DIRECTION('',(0.,1.,3.330669073875E-16));
#51696=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51697=DIRECTION('',(0.,-1.,0.));
#51698=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51699=DIRECTION('',(0.,1.,3.330669073875E-16));
#51700=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51701=DIRECTION('',(0.,-1.,0.));
#51702=DIRECTION('',(0.,0.,1.));
#51703=DIRECTION('center_axis',(-1.,0.,0.));
#51704=DIRECTION('ref_axis',(0.,1.,0.));
#51705=DIRECTION('',(0.,1.,0.));
#51706=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51707=DIRECTION('',(0.,1.,3.330669073875E-16));
#51708=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51709=DIRECTION('',(0.,1.,0.));
#51710=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51711=DIRECTION('',(0.,1.,3.330669073875E-16));
#51712=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51713=DIRECTION('',(0.,1.,0.));
#51714=DIRECTION('',(0.,0.,1.));
#51715=DIRECTION('center_axis',(0.,0.,1.));
#51716=DIRECTION('ref_axis',(0.,1.,0.));
#51717=DIRECTION('center_axis',(0.,0.,1.));
#51718=DIRECTION('ref_axis',(0.,-1.,0.));
#51719=DIRECTION('',(0.,0.,1.));
#51720=DIRECTION('center_axis',(0.,0.,1.));
#51721=DIRECTION('ref_axis',(1.,0.,0.));
#51722=DIRECTION('center_axis',(0.,0.,1.));
#51723=DIRECTION('ref_axis',(0.,-1.,0.));
#51724=DIRECTION('',(0.,0.,1.));
#51725=DIRECTION('center_axis',(0.,-1.,0.));
#51726=DIRECTION('ref_axis',(-1.,0.,0.));
#51727=DIRECTION('',(-1.,0.,0.));
#51728=DIRECTION('center_axis',(0.,0.,-1.));
#51729=DIRECTION('ref_axis',(-1.,0.,0.));
#51730=DIRECTION('center_axis',(0.,0.,-1.));
#51731=DIRECTION('ref_axis',(1.,0.,0.));
#51732=DIRECTION('center_axis',(1.58603289232165E-16,1.,0.));
#51733=DIRECTION('ref_axis',(-1.,1.58603289232165E-16,0.));
#51734=DIRECTION('center_axis',(0.,0.,1.));
#51735=DIRECTION('ref_axis',(1.,0.,0.));
#51736=DIRECTION('center_axis',(0.,0.,1.));
#51737=DIRECTION('ref_axis',(1.,0.,0.));
#51738=DIRECTION('center_axis',(0.,0.,-1.));
#51739=DIRECTION('ref_axis',(-1.,0.,0.));
#51740=DIRECTION('center_axis',(0.,0.,-1.));
#51741=DIRECTION('ref_axis',(-1.,0.,0.));
#51742=DIRECTION('center_axis',(0.,0.,-1.));
#51743=DIRECTION('ref_axis',(-1.,0.,0.));
#51744=DIRECTION('',(0.,-1.,0.));
#51745=DIRECTION('',(0.,-1.,0.));
#51746=DIRECTION('',(0.,1.,0.));
#51747=DIRECTION('',(0.,1.,0.));
#51748=DIRECTION('center_axis',(1.64346021921E-31,-1.,-5.551115123126E-16));
#51749=DIRECTION('ref_axis',(0.,5.551115123126E-16,-1.));
#51750=DIRECTION('',(-0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#51751=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51752=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51753=DIRECTION('',(0.,-5.551115123126E-16,1.));
#51754=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51755=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51756=DIRECTION('',(1.,0.,0.));
#51757=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51758=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51759=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51760=DIRECTION('',(0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#51761=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51762=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51763=DIRECTION('',(-0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#51764=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51765=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51766=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51767=DIRECTION('',(-1.,0.,0.));
#51768=DIRECTION('',(0.,-5.551115123126E-16,1.));
#51769=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51770=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51771=DIRECTION('',(0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#51772=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51773=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51774=DIRECTION('center_axis',(0.,3.330669073875E-16,-1.));
#51775=DIRECTION('ref_axis',(1.,0.,0.));
#51776=DIRECTION('',(1.,0.,0.));
#51777=DIRECTION('',(0.,1.,3.330669073875E-16));
#51778=DIRECTION('center_axis',(1.64346021921E-31,-1.,-5.551115123126E-16));
#51779=DIRECTION('ref_axis',(0.,5.551115123126E-16,-1.));
#51780=DIRECTION('',(-0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#51781=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51782=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51783=DIRECTION('',(0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#51784=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51785=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51786=DIRECTION('',(0.,-5.551115123126E-16,1.));
#51787=DIRECTION('',(-1.,0.,0.));
#51788=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51789=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51790=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51791=DIRECTION('',(-0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#51792=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51793=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51794=DIRECTION('',(0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#51795=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51796=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51797=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51798=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51799=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51800=DIRECTION('',(0.,-5.551115123126E-16,1.));
#51801=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51802=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51803=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51804=DIRECTION('ref_axis',(0.,3.330669073875E-16,-1.));
#51805=DIRECTION('',(-0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#51806=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51807=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51808=DIRECTION('',(0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#51809=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51810=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51811=DIRECTION('',(0.,-5.551115123126E-16,1.));
#51812=DIRECTION('',(-1.,0.,0.));
#51813=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51814=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51815=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51816=DIRECTION('',(-0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#51817=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51818=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51819=DIRECTION('',(0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#51820=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51821=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51822=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51823=DIRECTION('',(1.,0.,0.));
#51824=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51825=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51826=DIRECTION('',(0.,-5.551115123126E-16,1.));
#51827=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51828=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51829=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51830=DIRECTION('ref_axis',(0.,3.330669073875E-16,-1.));
#51831=DIRECTION('',(-0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#51832=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51833=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51834=DIRECTION('',(0.,-5.551115123126E-16,1.));
#51835=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51836=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51837=DIRECTION('',(1.,0.,0.));
#51838=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51839=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51840=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51841=DIRECTION('',(0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#51842=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51843=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51844=DIRECTION('',(-0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#51845=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51846=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51847=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51848=DIRECTION('',(-1.,0.,0.));
#51849=DIRECTION('',(0.,-5.551115123126E-16,1.));
#51850=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51851=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51852=DIRECTION('',(0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#51853=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51854=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51855=DIRECTION('center_axis',(0.,3.330669073875E-16,-1.));
#51856=DIRECTION('ref_axis',(1.,0.,0.));
#51857=DIRECTION('',(0.,1.,3.330669073875E-16));
#51858=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51859=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51860=DIRECTION('',(0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#51861=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51862=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51863=DIRECTION('',(0.,-5.551115123126E-16,1.));
#51864=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51865=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51866=DIRECTION('',(-1.,0.,0.));
#51867=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51868=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51869=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51870=DIRECTION('',(-0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#51871=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51872=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51873=DIRECTION('',(0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#51874=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51875=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51876=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51877=DIRECTION('',(1.,0.,0.));
#51878=DIRECTION('',(0.,-5.551115123126E-16,1.));
#51879=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51880=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51881=DIRECTION('',(-0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#51882=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51883=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51884=DIRECTION('center_axis',(0.,-3.330669073875E-16,1.));
#51885=DIRECTION('ref_axis',(-1.,0.,0.));
#51886=DIRECTION('',(0.,1.,3.330669073875E-16));
#51887=DIRECTION('',(-1.,0.,0.));
#51888=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51889=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51890=DIRECTION('',(0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#51891=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51892=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51893=DIRECTION('',(-0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#51894=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51895=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51896=DIRECTION('',(0.,-5.551115123126E-16,1.));
#51897=DIRECTION('',(1.,0.,0.));
#51898=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51899=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51900=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51901=DIRECTION('',(0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#51902=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51903=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51904=DIRECTION('',(-0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#51905=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51906=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51907=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51908=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51909=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51910=DIRECTION('',(0.,-5.551115123126E-16,1.));
#51911=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51912=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51913=DIRECTION('center_axis',(1.64346021921E-31,1.,5.551115123126E-16));
#51914=DIRECTION('ref_axis',(0.,-5.551115123126E-16,1.));
#51915=DIRECTION('',(0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#51916=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51917=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51918=DIRECTION('',(-0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#51919=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51920=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51921=DIRECTION('',(0.,-5.551115123126E-16,1.));
#51922=DIRECTION('',(1.,0.,0.));
#51923=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51924=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51925=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51926=DIRECTION('',(0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#51927=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51928=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51929=DIRECTION('',(-0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#51930=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51931=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51932=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51933=DIRECTION('',(-1.,0.,0.));
#51934=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51935=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51936=DIRECTION('',(0.,-5.551115123126E-16,1.));
#51937=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51938=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51939=DIRECTION('center_axis',(1.64346021921E-31,1.,5.551115123126E-16));
#51940=DIRECTION('ref_axis',(0.,-5.551115123126E-16,1.));
#51941=DIRECTION('',(0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#51942=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51943=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51944=DIRECTION('',(0.,-5.551115123126E-16,1.));
#51945=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51946=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51947=DIRECTION('',(-1.,0.,0.));
#51948=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51949=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51950=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51951=DIRECTION('',(-0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#51952=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51953=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51954=DIRECTION('',(0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#51955=DIRECTION('center_axis',(0.,-1.,-3.330669073875E-16));
#51956=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51957=DIRECTION('',(0.,5.551115123126E-16,-1.));
#51958=DIRECTION('',(1.,0.,0.));
#51959=DIRECTION('',(0.,-5.551115123126E-16,1.));
#51960=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51961=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51962=DIRECTION('',(-0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#51963=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51964=DIRECTION('ref_axis',(0.,-3.330669073875E-16,1.));
#51965=DIRECTION('center_axis',(0.,-3.330669073875E-16,1.));
#51966=DIRECTION('ref_axis',(-1.,0.,0.));
#51967=DIRECTION('',(0.,1.,3.330669073875E-16));
#51968=DIRECTION('center_axis',(0.,0.,-1.));
#51969=DIRECTION('ref_axis',(-1.,0.,0.));
#51970=DIRECTION('center_axis',(-1.,0.,0.));
#51971=DIRECTION('ref_axis',(0.,5.551115123126E-16,-1.));
#51972=DIRECTION('',(0.,1.,3.330669073875E-16));
#51973=DIRECTION('center_axis',(-1.,0.,0.));
#51974=DIRECTION('ref_axis',(0.,5.551115123126E-16,-1.));
#51975=DIRECTION('',(0.,1.,3.330669073875E-16));
#51976=DIRECTION('center_axis',(-1.,0.,0.));
#51977=DIRECTION('ref_axis',(0.,5.551115123126E-16,-1.));
#51978=DIRECTION('',(0.,1.,3.330669073875E-16));
#51979=DIRECTION('center_axis',(-1.,0.,0.));
#51980=DIRECTION('ref_axis',(0.,5.551115123126E-16,-1.));
#51981=DIRECTION('',(0.,1.,3.330669073875E-16));
#51982=DIRECTION('center_axis',(-0.957826285221234,-9.57060715899734E-17,
0.28734788556607));
#51983=DIRECTION('ref_axis',(-0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#51984=DIRECTION('',(0.,1.,3.330669073875E-16));
#51985=DIRECTION('',(0.,1.,3.330669073875E-16));
#51986=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51987=DIRECTION('ref_axis',(0.957826285221234,9.57060715898283E-17,-0.28734788556607));
#51988=DIRECTION('',(0.,1.,3.330669073875E-16));
#51989=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51990=DIRECTION('ref_axis',(-0.957826285221234,-9.57060715898283E-17,0.28734788556607));
#51991=DIRECTION('',(0.,1.,3.330669073875E-16));
#51992=DIRECTION('center_axis',(1.,0.,0.));
#51993=DIRECTION('ref_axis',(0.,-5.551115123126E-16,1.));
#51994=DIRECTION('',(0.,1.,3.330669073875E-16));
#51995=DIRECTION('center_axis',(-0.957826285221234,9.57060715899734E-17,
-0.28734788556607));
#51996=DIRECTION('ref_axis',(0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#51997=DIRECTION('',(0.,1.,3.330669073875E-16));
#51998=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#51999=DIRECTION('ref_axis',(-1.,0.,0.));
#52000=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52001=DIRECTION('ref_axis',(1.,0.,0.));
#52002=DIRECTION('',(0.,1.,3.330669073875E-16));
#52003=DIRECTION('center_axis',(1.,0.,0.));
#52004=DIRECTION('ref_axis',(0.,-5.551115123126E-16,1.));
#52005=DIRECTION('',(0.,1.,3.330669073875E-16));
#52006=DIRECTION('center_axis',(0.,-3.330669073875E-16,1.));
#52007=DIRECTION('ref_axis',(-1.,0.,0.));
#52008=DIRECTION('',(0.,1.,3.330669073875E-16));
#52009=DIRECTION('center_axis',(-1.,0.,0.));
#52010=DIRECTION('ref_axis',(0.,5.551115123126E-16,-1.));
#52011=DIRECTION('',(0.,1.,3.330669073875E-16));
#52012=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52013=DIRECTION('ref_axis',(1.,0.,0.));
#52014=DIRECTION('',(0.,1.,3.330669073875E-16));
#52015=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52016=DIRECTION('ref_axis',(0.957826285221234,-9.57060715898283E-17,0.28734788556607));
#52017=DIRECTION('',(0.,1.,3.330669073875E-16));
#52018=DIRECTION('center_axis',(0.957826285221234,9.57060715899734E-17,
-0.28734788556607));
#52019=DIRECTION('ref_axis',(0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#52020=DIRECTION('',(0.,1.,3.330669073875E-16));
#52021=DIRECTION('center_axis',(0.957826285221234,-9.57060715899734E-17,
0.28734788556607));
#52022=DIRECTION('ref_axis',(-0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#52023=DIRECTION('',(0.,1.,3.330669073875E-16));
#52024=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52025=DIRECTION('ref_axis',(-0.957826285221234,-9.57060715898283E-17,0.28734788556607));
#52026=DIRECTION('center_axis',(-0.957826285221234,-9.57060715899734E-17,
0.28734788556607));
#52027=DIRECTION('ref_axis',(-0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#52028=DIRECTION('',(0.,1.,3.330669073875E-16));
#52029=DIRECTION('',(0.,1.,3.330669073875E-16));
#52030=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52031=DIRECTION('ref_axis',(0.957826285221234,9.57060715898283E-17,-0.28734788556607));
#52032=DIRECTION('',(0.,1.,3.330669073875E-16));
#52033=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52034=DIRECTION('ref_axis',(-0.957826285221234,-9.57060715898283E-17,0.28734788556607));
#52035=DIRECTION('',(0.,1.,3.330669073875E-16));
#52036=DIRECTION('center_axis',(1.,0.,0.));
#52037=DIRECTION('ref_axis',(0.,-5.551115123126E-16,1.));
#52038=DIRECTION('',(0.,1.,3.330669073875E-16));
#52039=DIRECTION('center_axis',(-0.957826285221234,9.57060715899734E-17,
-0.28734788556607));
#52040=DIRECTION('ref_axis',(0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#52041=DIRECTION('',(0.,1.,3.330669073875E-16));
#52042=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52043=DIRECTION('ref_axis',(-1.,0.,0.));
#52044=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52045=DIRECTION('ref_axis',(1.,0.,0.));
#52046=DIRECTION('',(0.,1.,3.330669073875E-16));
#52047=DIRECTION('center_axis',(1.,0.,0.));
#52048=DIRECTION('ref_axis',(0.,-5.551115123126E-16,1.));
#52049=DIRECTION('',(0.,1.,3.330669073875E-16));
#52050=DIRECTION('center_axis',(0.,-3.330669073875E-16,1.));
#52051=DIRECTION('ref_axis',(-1.,0.,0.));
#52052=DIRECTION('',(0.,1.,3.330669073875E-16));
#52053=DIRECTION('center_axis',(-1.,0.,0.));
#52054=DIRECTION('ref_axis',(0.,5.551115123126E-16,-1.));
#52055=DIRECTION('',(0.,1.,3.330669073875E-16));
#52056=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52057=DIRECTION('ref_axis',(1.,0.,0.));
#52058=DIRECTION('',(0.,1.,3.330669073875E-16));
#52059=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52060=DIRECTION('ref_axis',(0.957826285221234,-9.57060715898283E-17,0.28734788556607));
#52061=DIRECTION('',(0.,1.,3.330669073875E-16));
#52062=DIRECTION('center_axis',(0.957826285221234,9.57060715899734E-17,
-0.28734788556607));
#52063=DIRECTION('ref_axis',(0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#52064=DIRECTION('',(0.,1.,3.330669073875E-16));
#52065=DIRECTION('center_axis',(0.957826285221234,-9.57060715899734E-17,
0.28734788556607));
#52066=DIRECTION('ref_axis',(-0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#52067=DIRECTION('',(0.,1.,3.330669073875E-16));
#52068=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52069=DIRECTION('ref_axis',(-0.957826285221234,-9.57060715898283E-17,0.28734788556607));
#52070=DIRECTION('center_axis',(-0.957826285221234,9.57060715899734E-17,
-0.28734788556607));
#52071=DIRECTION('ref_axis',(0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#52072=DIRECTION('',(0.,1.,3.330669073875E-16));
#52073=DIRECTION('',(0.,1.,3.330669073875E-16));
#52074=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52075=DIRECTION('ref_axis',(-0.957826285221234,9.57060715898283E-17,-0.28734788556607));
#52076=DIRECTION('',(0.,1.,3.330669073875E-16));
#52077=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52078=DIRECTION('ref_axis',(0.957826285221234,-9.57060715898283E-17,0.28734788556607));
#52079=DIRECTION('',(0.,1.,3.330669073875E-16));
#52080=DIRECTION('center_axis',(1.,0.,0.));
#52081=DIRECTION('ref_axis',(0.,-5.551115123126E-16,1.));
#52082=DIRECTION('',(0.,1.,3.330669073875E-16));
#52083=DIRECTION('center_axis',(-0.957826285221234,-9.57060715899734E-17,
0.28734788556607));
#52084=DIRECTION('ref_axis',(-0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#52085=DIRECTION('',(0.,1.,3.330669073875E-16));
#52086=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52087=DIRECTION('ref_axis',(1.,0.,0.));
#52088=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52089=DIRECTION('ref_axis',(-1.,0.,0.));
#52090=DIRECTION('',(0.,1.,3.330669073875E-16));
#52091=DIRECTION('center_axis',(1.,0.,0.));
#52092=DIRECTION('ref_axis',(0.,-5.551115123126E-16,1.));
#52093=DIRECTION('',(0.,1.,3.330669073875E-16));
#52094=DIRECTION('center_axis',(0.,3.330669073875E-16,-1.));
#52095=DIRECTION('ref_axis',(1.,0.,0.));
#52096=DIRECTION('',(0.,1.,3.330669073875E-16));
#52097=DIRECTION('center_axis',(-1.,0.,0.));
#52098=DIRECTION('ref_axis',(0.,5.551115123126E-16,-1.));
#52099=DIRECTION('',(0.,1.,3.330669073875E-16));
#52100=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52101=DIRECTION('ref_axis',(-1.,0.,0.));
#52102=DIRECTION('',(0.,1.,3.330669073875E-16));
#52103=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52104=DIRECTION('ref_axis',(-0.957826285221234,-9.57060715898283E-17,0.28734788556607));
#52105=DIRECTION('',(0.,1.,3.330669073875E-16));
#52106=DIRECTION('center_axis',(0.957826285221234,-9.57060715899734E-17,
0.28734788556607));
#52107=DIRECTION('ref_axis',(-0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#52108=DIRECTION('',(0.,1.,3.330669073875E-16));
#52109=DIRECTION('center_axis',(0.957826285221234,9.57060715899734E-17,
-0.28734788556607));
#52110=DIRECTION('ref_axis',(0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#52111=DIRECTION('',(0.,1.,3.330669073875E-16));
#52112=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52113=DIRECTION('ref_axis',(0.957826285221234,-9.57060715898283E-17,0.28734788556607));
#52114=DIRECTION('center_axis',(-0.957826285221234,9.57060715899734E-17,
-0.28734788556607));
#52115=DIRECTION('ref_axis',(0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#52116=DIRECTION('',(0.,1.,3.330669073875E-16));
#52117=DIRECTION('',(0.,1.,3.330669073875E-16));
#52118=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52119=DIRECTION('ref_axis',(-0.957826285221234,9.57060715898283E-17,-0.28734788556607));
#52120=DIRECTION('',(0.,1.,3.330669073875E-16));
#52121=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52122=DIRECTION('ref_axis',(0.957826285221234,-9.57060715898283E-17,0.28734788556607));
#52123=DIRECTION('',(0.,1.,3.330669073875E-16));
#52124=DIRECTION('center_axis',(1.,0.,0.));
#52125=DIRECTION('ref_axis',(0.,-5.551115123126E-16,1.));
#52126=DIRECTION('',(0.,1.,3.330669073875E-16));
#52127=DIRECTION('center_axis',(-0.957826285221234,-9.57060715899734E-17,
0.28734788556607));
#52128=DIRECTION('ref_axis',(-0.28734788556607,5.3170039772213E-16,-0.957826285221234));
#52129=DIRECTION('',(0.,1.,3.330669073875E-16));
#52130=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52131=DIRECTION('ref_axis',(1.,0.,0.));
#52132=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52133=DIRECTION('ref_axis',(-1.,0.,0.));
#52134=DIRECTION('',(0.,1.,3.330669073875E-16));
#52135=DIRECTION('center_axis',(1.,0.,0.));
#52136=DIRECTION('ref_axis',(0.,-5.551115123126E-16,1.));
#52137=DIRECTION('',(0.,1.,3.330669073875E-16));
#52138=DIRECTION('center_axis',(0.,3.330669073875E-16,-1.));
#52139=DIRECTION('ref_axis',(1.,0.,0.));
#52140=DIRECTION('',(0.,1.,3.330669073875E-16));
#52141=DIRECTION('center_axis',(-1.,0.,0.));
#52142=DIRECTION('ref_axis',(0.,5.551115123126E-16,-1.));
#52143=DIRECTION('',(0.,1.,3.330669073875E-16));
#52144=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52145=DIRECTION('ref_axis',(-1.,0.,0.));
#52146=DIRECTION('',(0.,1.,3.330669073875E-16));
#52147=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52148=DIRECTION('ref_axis',(-0.957826285221234,-9.57060715898283E-17,0.28734788556607));
#52149=DIRECTION('',(0.,1.,3.330669073875E-16));
#52150=DIRECTION('center_axis',(0.957826285221234,-9.57060715899734E-17,
0.28734788556607));
#52151=DIRECTION('ref_axis',(-0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#52152=DIRECTION('',(0.,1.,3.330669073875E-16));
#52153=DIRECTION('center_axis',(0.957826285221234,9.57060715899734E-17,
-0.28734788556607));
#52154=DIRECTION('ref_axis',(0.28734788556607,-5.3170039772213E-16,0.957826285221234));
#52155=DIRECTION('',(0.,1.,3.330669073875E-16));
#52156=DIRECTION('center_axis',(0.,1.,3.330669073875E-16));
#52157=DIRECTION('ref_axis',(0.957826285221234,-9.57060715898283E-17,0.28734788556607));
#52158=DIRECTION('',(0.,0.,1.));
#52159=DIRECTION('',(1.,0.,0.));
#52160=DIRECTION('axis',(0.,0.,1.));
#52161=DIRECTION('refdir',(1.,0.,0.));
#52162=DIRECTION('axis',(0.,0.,1.));
#52163=DIRECTION('refdir',(1.,0.,0.));
#52164=DIRECTION('center_axis',(1.,0.,0.));
#52165=DIRECTION('ref_axis',(0.,0.,1.));
#52166=DIRECTION('',(0.,0.,1.));
#52167=DIRECTION('',(0.,1.,0.));
#52168=DIRECTION('',(0.,0.,1.));
#52169=DIRECTION('',(0.,1.,0.));
#52170=DIRECTION('center_axis',(0.,1.,0.));
#52171=DIRECTION('ref_axis',(0.,0.,1.));
#52172=DIRECTION('',(1.,0.,0.));
#52173=DIRECTION('center_axis',(0.,1.,0.));
#52174=DIRECTION('ref_axis',(0.,0.,1.));
#52175=DIRECTION('',(1.,0.,0.));
#52176=DIRECTION('',(0.,0.,1.));
#52177=DIRECTION('',(1.,0.,0.));
#52178=DIRECTION('center_axis',(0.,-1.,0.));
#52179=DIRECTION('ref_axis',(0.,0.,1.));
#52180=DIRECTION('',(1.,0.,0.));
#52181=DIRECTION('center_axis',(0.,1.,0.));
#52182=DIRECTION('ref_axis',(0.,0.,1.));
#52183=DIRECTION('center_axis',(0.,-1.,0.));
#52184=DIRECTION('ref_axis',(0.,0.,1.));
#52185=DIRECTION('center_axis',(0.,1.,0.));
#52186=DIRECTION('ref_axis',(-1.,0.,0.));
#52187=DIRECTION('center_axis',(0.,-1.,0.));
#52188=DIRECTION('ref_axis',(0.,0.,1.));
#52189=DIRECTION('',(0.,1.,0.));
#52190=DIRECTION('center_axis',(0.,1.,0.));
#52191=DIRECTION('ref_axis',(-1.,0.,0.));
#52192=DIRECTION('',(0.,1.,0.));
#52193=DIRECTION('center_axis',(0.,1.,0.));
#52194=DIRECTION('ref_axis',(0.,0.,1.));
#52195=DIRECTION('center_axis',(0.,1.,0.));
#52196=DIRECTION('ref_axis',(0.,0.,1.));
#52197=DIRECTION('',(1.,0.,0.));
#52198=DIRECTION('',(1.,0.,0.));
#52199=DIRECTION('center_axis',(0.,-1.,0.));
#52200=DIRECTION('ref_axis',(0.,0.,1.));
#52201=DIRECTION('',(1.,0.,0.));
#52202=DIRECTION('',(0.,0.,1.));
#52203=DIRECTION('',(1.,0.,0.));
#52204=DIRECTION('center_axis',(0.,1.,0.));
#52205=DIRECTION('ref_axis',(0.,0.,1.));
#52206=DIRECTION('center_axis',(0.,0.,1.));
#52207=DIRECTION('ref_axis',(1.,0.,0.));
#52208=DIRECTION('',(0.,1.,0.));
#52209=DIRECTION('center_axis',(0.,1.,0.));
#52210=DIRECTION('ref_axis',(1.,0.,0.));
#52211=DIRECTION('',(0.,1.,0.));
#52212=DIRECTION('center_axis',(0.,1.,0.));
#52213=DIRECTION('ref_axis',(0.,0.,1.));
#52214=DIRECTION('',(1.,0.,0.));
#52215=DIRECTION('',(1.,0.,0.));
#52216=DIRECTION('',(0.,0.,1.));
#52217=DIRECTION('',(1.,0.,0.));
#52218=DIRECTION('',(1.,0.,0.));
#52219=DIRECTION('center_axis',(0.,0.,1.));
#52220=DIRECTION('ref_axis',(1.,0.,0.));
#52221=DIRECTION('',(0.,1.,0.));
#52222=DIRECTION('center_axis',(0.,1.,0.));
#52223=DIRECTION('ref_axis',(1.,0.,0.));
#52224=DIRECTION('',(0.,1.,0.));
#52225=DIRECTION('center_axis',(0.,1.,0.));
#52226=DIRECTION('ref_axis',(0.,0.,1.));
#52227=DIRECTION('',(1.,0.,0.));
#52228=DIRECTION('',(1.,0.,0.));
#52229=DIRECTION('',(0.,0.,1.));
#52230=DIRECTION('',(1.,0.,0.));
#52231=DIRECTION('',(1.,0.,0.));
#52232=DIRECTION('center_axis',(0.,0.,1.));
#52233=DIRECTION('ref_axis',(1.,0.,0.));
#52234=DIRECTION('',(0.,1.,0.));
#52235=DIRECTION('center_axis',(0.,1.,0.));
#52236=DIRECTION('ref_axis',(0.,0.,1.));
#52237=DIRECTION('',(1.,0.,0.));
#52238=DIRECTION('center_axis',(0.,1.,0.));
#52239=DIRECTION('ref_axis',(0.,0.,1.));
#52240=DIRECTION('',(0.,0.,1.));
#52241=DIRECTION('center_axis',(0.,-1.,0.));
#52242=DIRECTION('ref_axis',(0.,0.,1.));
#52243=DIRECTION('',(1.,0.,0.));
#52244=DIRECTION('center_axis',(0.,1.,0.));
#52245=DIRECTION('ref_axis',(0.,0.,1.));
#52246=DIRECTION('center_axis',(0.,-1.,0.));
#52247=DIRECTION('ref_axis',(0.,0.,1.));
#52248=DIRECTION('center_axis',(0.,1.,0.));
#52249=DIRECTION('ref_axis',(0.,0.,1.));
#52250=DIRECTION('',(0.,0.,1.));
#52251=DIRECTION('',(1.,0.,0.));
#52252=DIRECTION('',(0.,0.,1.));
#52253=DIRECTION('center_axis',(1.,0.,0.));
#52254=DIRECTION('ref_axis',(0.,0.,1.));
#52255=DIRECTION('',(0.,1.,0.));
#52256=DIRECTION('',(0.,0.,1.));
#52257=DIRECTION('center_axis',(0.,1.,0.));
#52258=DIRECTION('ref_axis',(0.,0.,1.));
#52259=DIRECTION('',(1.,0.,0.));
#52260=DIRECTION('center_axis',(0.,-1.,0.));
#52261=DIRECTION('ref_axis',(0.,0.,1.));
#52262=DIRECTION('center_axis',(0.,1.,0.));
#52263=DIRECTION('ref_axis',(0.,0.,1.));
#52264=DIRECTION('',(1.,0.,0.));
#52265=DIRECTION('center_axis',(0.,-1.,0.));
#52266=DIRECTION('ref_axis',(0.,0.,1.));
#52267=DIRECTION('',(0.,0.,1.));
#52268=DIRECTION('center_axis',(0.,1.,0.));
#52269=DIRECTION('ref_axis',(0.,0.,1.));
#52270=DIRECTION('center_axis',(0.,1.,0.));
#52271=DIRECTION('ref_axis',(0.,0.,1.));
#52272=DIRECTION('',(1.,0.,0.));
#52273=DIRECTION('',(0.,0.,1.));
#52274=DIRECTION('center_axis',(0.,1.,0.));
#52275=DIRECTION('ref_axis',(-1.,0.,0.));
#52276=DIRECTION('',(0.,1.,0.));
#52277=DIRECTION('center_axis',(0.,0.,1.));
#52278=DIRECTION('ref_axis',(1.,0.,0.));
#52279=DIRECTION('',(0.,1.,0.));
#52280=DIRECTION('center_axis',(0.,1.,0.));
#52281=DIRECTION('ref_axis',(1.,0.,0.));
#52282=DIRECTION('',(0.,1.,0.));
#52283=DIRECTION('center_axis',(1.,0.,0.));
#52284=DIRECTION('ref_axis',(0.,0.,1.));
#52285=DIRECTION('',(0.,1.,0.));
#52286=DIRECTION('center_axis',(0.,1.,0.));
#52287=DIRECTION('ref_axis',(1.,0.,0.));
#52288=DIRECTION('',(0.,1.,0.));
#52289=DIRECTION('center_axis',(0.,0.,1.));
#52290=DIRECTION('ref_axis',(1.,0.,0.));
#52291=DIRECTION('',(0.,1.,0.));
#52292=DIRECTION('center_axis',(0.,1.,0.));
#52293=DIRECTION('ref_axis',(-1.,0.,0.));
#52294=DIRECTION('',(0.,1.,0.));
#52295=DIRECTION('center_axis',(1.,0.,0.));
#52296=DIRECTION('ref_axis',(0.,0.,1.));
#52297=DIRECTION('',(0.,1.,0.));
#52298=DIRECTION('center_axis',(0.,0.,1.));
#52299=DIRECTION('ref_axis',(1.,0.,0.));
#52300=DIRECTION('',(0.,0.,1.));
#52301=DIRECTION('',(1.,0.,0.));
#52302=DIRECTION('axis',(0.,0.,1.));
#52303=DIRECTION('refdir',(1.,0.,0.));
#52304=DIRECTION('axis',(0.,0.,1.));
#52305=DIRECTION('refdir',(1.,0.,0.));
#52306=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.));
#52307=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.));
#52308=DIRECTION('',(0.,0.,1.));
#52309=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.));
#52310=DIRECTION('',(0.,0.,1.));
#52311=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.));
#52312=DIRECTION('center_axis',(0.,-1.,0.));
#52313=DIRECTION('ref_axis',(-1.,0.,0.));
#52314=DIRECTION('',(0.,0.,1.));
#52315=DIRECTION('',(-1.,0.,0.));
#52316=DIRECTION('',(-1.,0.,0.));
#52317=DIRECTION('center_axis',(1.,0.,0.));
#52318=DIRECTION('ref_axis',(0.,-1.,0.));
#52319=DIRECTION('',(0.,-1.,0.));
#52320=DIRECTION('',(0.,0.,1.));
#52321=DIRECTION('',(0.,-1.,0.));
#52322=DIRECTION('center_axis',(0.,0.,1.));
#52323=DIRECTION('ref_axis',(1.,0.,0.));
#52324=DIRECTION('',(-0.707106781186548,0.707106781186548,0.));
#52325=DIRECTION('',(0.,1.,0.));
#52326=DIRECTION('',(0.707106781186548,0.707106781186548,0.));
#52327=DIRECTION('',(-0.707106781186548,0.707106781186548,0.));
#52328=DIRECTION('',(0.,1.,0.));
#52329=DIRECTION('',(0.707106781186548,0.707106781186548,0.));
#52330=DIRECTION('',(-0.707106781186548,0.707106781186548,0.));
#52331=DIRECTION('',(0.,1.,0.));
#52332=DIRECTION('',(0.707106781186548,0.707106781186548,0.));
#52333=DIRECTION('',(-0.707106781186548,0.707106781186548,0.));
#52334=DIRECTION('',(0.,1.,0.));
#52335=DIRECTION('',(0.707106781186548,0.707106781186548,0.));
#52336=DIRECTION('',(-0.707106781186548,0.707106781186548,0.));
#52337=DIRECTION('',(0.,1.,0.));
#52338=DIRECTION('',(0.707106781186548,0.707106781186548,0.));
#52339=DIRECTION('',(1.,0.,0.));
#52340=DIRECTION('',(0.707106781186548,-0.707106781186548,0.));
#52341=DIRECTION('',(0.,-1.,0.));
#52342=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.));
#52343=DIRECTION('',(0.707106781186548,-0.707106781186548,0.));
#52344=DIRECTION('',(0.,-1.,0.));
#52345=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.));
#52346=DIRECTION('',(0.707106781186548,-0.707106781186548,0.));
#52347=DIRECTION('',(0.,-1.,0.));
#52348=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.));
#52349=DIRECTION('',(0.707106781186548,-0.707106781186548,0.));
#52350=DIRECTION('',(0.,-1.,0.));
#52351=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.));
#52352=DIRECTION('',(0.707106781186548,-0.707106781186548,0.));
#52353=DIRECTION('',(0.,1.,0.));
#52354=DIRECTION('',(-1.,0.,0.));
#52355=DIRECTION('',(0.,1.,0.));
#52356=DIRECTION('',(-1.,0.,0.));
#52357=DIRECTION('',(-1.,0.,0.));
#52358=DIRECTION('',(0.,1.,0.));
#52359=DIRECTION('',(-1.,0.,0.));
#52360=DIRECTION('',(0.,1.,0.));
#52361=DIRECTION('',(-1.,0.,0.));
#52362=DIRECTION('',(0.,1.,0.));
#52363=DIRECTION('',(-1.,0.,0.));
#52364=DIRECTION('',(0.,1.,0.));
#52365=DIRECTION('',(-1.,0.,0.));
#52366=DIRECTION('',(0.,1.,0.));
#52367=DIRECTION('',(-1.,0.,0.));
#52368=DIRECTION('',(0.,1.,0.));
#52369=DIRECTION('',(-1.,0.,0.));
#52370=DIRECTION('',(0.,1.,0.));
#52371=DIRECTION('',(-1.,0.,0.));
#52372=DIRECTION('',(0.,1.,0.));
#52373=DIRECTION('center_axis',(0.,0.,1.));
#52374=DIRECTION('ref_axis',(1.,0.,0.));
#52375=DIRECTION('',(0.707106781186548,-0.707106781186548,0.));
#52376=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.));
#52377=DIRECTION('',(0.,-1.,0.));
#52378=DIRECTION('',(0.707106781186548,-0.707106781186548,0.));
#52379=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.));
#52380=DIRECTION('',(0.,-1.,0.));
#52381=DIRECTION('',(0.707106781186548,-0.707106781186548,0.));
#52382=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.));
#52383=DIRECTION('',(0.,-1.,0.));
#52384=DIRECTION('',(0.707106781186548,-0.707106781186548,0.));
#52385=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.));
#52386=DIRECTION('',(0.,-1.,0.));
#52387=DIRECTION('',(0.707106781186548,-0.707106781186548,0.));
#52388=DIRECTION('',(1.,0.,0.));
#52389=DIRECTION('',(0.707106781186548,0.707106781186548,0.));
#52390=DIRECTION('',(0.,1.,0.));
#52391=DIRECTION('',(-0.707106781186548,0.707106781186548,0.));
#52392=DIRECTION('',(0.707106781186548,0.707106781186548,0.));
#52393=DIRECTION('',(0.,1.,0.));
#52394=DIRECTION('',(-0.707106781186548,0.707106781186548,0.));
#52395=DIRECTION('',(0.707106781186548,0.707106781186548,0.));
#52396=DIRECTION('',(0.,1.,0.));
#52397=DIRECTION('',(-0.707106781186548,0.707106781186548,0.));
#52398=DIRECTION('',(0.707106781186548,0.707106781186548,0.));
#52399=DIRECTION('',(0.,1.,0.));
#52400=DIRECTION('',(-0.707106781186548,0.707106781186548,0.));
#52401=DIRECTION('',(0.707106781186548,0.707106781186548,0.));
#52402=DIRECTION('',(0.,1.,0.));
#52403=DIRECTION('',(-0.707106781186548,0.707106781186548,0.));
#52404=DIRECTION('',(0.,1.,0.));
#52405=DIRECTION('',(-1.,0.,0.));
#52406=DIRECTION('',(0.,1.,0.));
#52407=DIRECTION('',(-1.,0.,0.));
#52408=DIRECTION('',(-1.,0.,0.));
#52409=DIRECTION('',(0.,1.,0.));
#52410=DIRECTION('',(-1.,0.,0.));
#52411=DIRECTION('',(0.,1.,0.));
#52412=DIRECTION('',(-1.,0.,0.));
#52413=DIRECTION('',(0.,1.,0.));
#52414=DIRECTION('',(-1.,0.,0.));
#52415=DIRECTION('',(0.,1.,0.));
#52416=DIRECTION('',(-1.,0.,0.));
#52417=DIRECTION('',(0.,1.,0.));
#52418=DIRECTION('',(-1.,0.,0.));
#52419=DIRECTION('',(0.,1.,0.));
#52420=DIRECTION('',(-1.,0.,0.));
#52421=DIRECTION('',(0.,1.,0.));
#52422=DIRECTION('',(-1.,0.,0.));
#52423=DIRECTION('',(0.,1.,0.));
#52424=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#52425=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.));
#52426=DIRECTION('',(0.,0.,1.));
#52427=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.));
#52428=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.));
#52429=DIRECTION('',(0.,0.,1.));
#52430=DIRECTION('center_axis',(-1.,0.,0.));
#52431=DIRECTION('ref_axis',(0.,1.,0.));
#52432=DIRECTION('',(0.,0.,1.));
#52433=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.));
#52434=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.));
#52435=DIRECTION('',(0.,0.,1.));
#52436=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#52437=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.));
#52438=DIRECTION('',(0.,0.,1.));
#52439=DIRECTION('center_axis',(1.,0.,0.));
#52440=DIRECTION('ref_axis',(0.,-1.,0.));
#52441=DIRECTION('',(0.,0.,1.));
#52442=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#52443=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.));
#52444=DIRECTION('',(0.,0.,1.));
#52445=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.));
#52446=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.));
#52447=DIRECTION('',(0.,0.,1.));
#52448=DIRECTION('center_axis',(-1.,0.,0.));
#52449=DIRECTION('ref_axis',(0.,1.,0.));
#52450=DIRECTION('',(0.,0.,1.));
#52451=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.));
#52452=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.));
#52453=DIRECTION('',(0.,0.,1.));
#52454=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#52455=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.));
#52456=DIRECTION('',(0.,0.,1.));
#52457=DIRECTION('center_axis',(1.,0.,0.));
#52458=DIRECTION('ref_axis',(0.,-1.,0.));
#52459=DIRECTION('',(0.,0.,1.));
#52460=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#52461=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.));
#52462=DIRECTION('',(0.,0.,1.));
#52463=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.));
#52464=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.));
#52465=DIRECTION('',(0.,0.,1.));
#52466=DIRECTION('center_axis',(-1.,0.,0.));
#52467=DIRECTION('ref_axis',(0.,1.,0.));
#52468=DIRECTION('',(0.,0.,1.));
#52469=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.));
#52470=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.));
#52471=DIRECTION('',(0.,0.,1.));
#52472=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#52473=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.));
#52474=DIRECTION('',(0.,0.,1.));
#52475=DIRECTION('center_axis',(1.,0.,0.));
#52476=DIRECTION('ref_axis',(0.,-1.,0.));
#52477=DIRECTION('',(0.,0.,1.));
#52478=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#52479=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.));
#52480=DIRECTION('',(0.,0.,1.));
#52481=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.));
#52482=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.));
#52483=DIRECTION('',(0.,0.,1.));
#52484=DIRECTION('center_axis',(-1.,0.,0.));
#52485=DIRECTION('ref_axis',(0.,1.,0.));
#52486=DIRECTION('',(0.,0.,1.));
#52487=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.));
#52488=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.));
#52489=DIRECTION('',(0.,0.,1.));
#52490=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#52491=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.));
#52492=DIRECTION('',(0.,0.,1.));
#52493=DIRECTION('center_axis',(1.,0.,0.));
#52494=DIRECTION('ref_axis',(0.,-1.,0.));
#52495=DIRECTION('',(0.,0.,1.));
#52496=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#52497=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.));
#52498=DIRECTION('',(0.,0.,1.));
#52499=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.));
#52500=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.));
#52501=DIRECTION('',(0.,0.,1.));
#52502=DIRECTION('center_axis',(-1.,0.,0.));
#52503=DIRECTION('ref_axis',(0.,1.,0.));
#52504=DIRECTION('',(0.,0.,1.));
#52505=DIRECTION('center_axis',(0.,1.,0.));
#52506=DIRECTION('ref_axis',(1.,0.,0.));
#52507=DIRECTION('',(0.,0.,1.));
#52508=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#52509=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.));
#52510=DIRECTION('center_axis',(1.,0.,0.));
#52511=DIRECTION('ref_axis',(0.,0.,1.));
#52512=DIRECTION('',(0.,0.,1.));
#52513=DIRECTION('',(0.,1.,0.));
#52514=DIRECTION('',(0.,0.,1.));
#52515=DIRECTION('center_axis',(0.,1.,0.));
#52516=DIRECTION('ref_axis',(0.,0.,1.));
#52517=DIRECTION('',(1.,0.,0.));
#52518=DIRECTION('',(0.,0.,1.));
#52519=DIRECTION('center_axis',(1.,0.,0.));
#52520=DIRECTION('ref_axis',(0.,0.,1.));
#52521=DIRECTION('',(0.,0.,1.));
#52522=DIRECTION('',(0.,1.,0.));
#52523=DIRECTION('center_axis',(0.,1.,0.));
#52524=DIRECTION('ref_axis',(0.,0.,1.));
#52525=DIRECTION('',(1.,0.,0.));
#52526=DIRECTION('center_axis',(0.,1.,0.));
#52527=DIRECTION('ref_axis',(0.,0.,1.));
#52528=DIRECTION('',(0.,0.,1.));
#52529=DIRECTION('',(1.,0.,0.));
#52530=DIRECTION('',(0.,0.,1.));
#52531=DIRECTION('center_axis',(1.,0.,0.));
#52532=DIRECTION('ref_axis',(0.,0.,1.));
#52533=DIRECTION('',(0.,0.,1.));
#52534=DIRECTION('',(0.,1.,0.));
#52535=DIRECTION('center_axis',(0.,1.,0.));
#52536=DIRECTION('ref_axis',(0.,0.,1.));
#52537=DIRECTION('',(0.,0.,1.));
#52538=DIRECTION('',(1.,0.,0.));
#52539=DIRECTION('center_axis',(1.,0.,0.));
#52540=DIRECTION('ref_axis',(0.,0.,1.));
#52541=DIRECTION('',(0.,1.,0.));
#52542=DIRECTION('center_axis',(0.,1.,0.));
#52543=DIRECTION('ref_axis',(0.,0.,1.));
#52544=DIRECTION('',(0.,0.,1.));
#52545=DIRECTION('',(1.,0.,0.));
#52546=DIRECTION('',(0.,0.,1.));
#52547=DIRECTION('center_axis',(1.,0.,0.));
#52548=DIRECTION('ref_axis',(0.,0.,1.));
#52549=DIRECTION('',(0.,0.,1.));
#52550=DIRECTION('',(0.,1.,0.));
#52551=DIRECTION('center_axis',(0.,1.,0.));
#52552=DIRECTION('ref_axis',(0.,0.,1.));
#52553=DIRECTION('',(0.,0.,1.));
#52554=DIRECTION('',(1.,0.,0.));
#52555=DIRECTION('center_axis',(1.,0.,0.));
#52556=DIRECTION('ref_axis',(0.,0.,1.));
#52557=DIRECTION('',(0.,1.,0.));
#52558=DIRECTION('center_axis',(0.,1.,0.));
#52559=DIRECTION('ref_axis',(0.,0.,1.));
#52560=DIRECTION('',(0.,0.,1.));
#52561=DIRECTION('',(1.,0.,0.));
#52562=DIRECTION('',(0.,0.,1.));
#52563=DIRECTION('center_axis',(1.,0.,0.));
#52564=DIRECTION('ref_axis',(0.,0.,1.));
#52565=DIRECTION('',(0.,0.,1.));
#52566=DIRECTION('',(0.,1.,0.));
#52567=DIRECTION('center_axis',(0.,1.,0.));
#52568=DIRECTION('ref_axis',(0.,0.,1.));
#52569=DIRECTION('',(0.,0.,1.));
#52570=DIRECTION('',(1.,0.,0.));
#52571=DIRECTION('center_axis',(1.,0.,0.));
#52572=DIRECTION('ref_axis',(0.,0.,1.));
#52573=DIRECTION('',(0.,1.,0.));
#52574=DIRECTION('center_axis',(0.,1.,0.));
#52575=DIRECTION('ref_axis',(0.,0.,1.));
#52576=DIRECTION('',(0.,0.,1.));
#52577=DIRECTION('',(0.,0.,1.));
#52578=DIRECTION('',(1.,0.,0.));
#52579=DIRECTION('center_axis',(1.,0.,0.));
#52580=DIRECTION('ref_axis',(0.,0.,1.));
#52581=DIRECTION('',(0.,1.,0.));
#52582=DIRECTION('',(0.,0.,1.));
#52583=DIRECTION('center_axis',(0.,1.,0.));
#52584=DIRECTION('ref_axis',(0.,0.,1.));
#52585=DIRECTION('',(1.,0.,0.));
#52586=DIRECTION('',(0.,0.,1.));
#52587=DIRECTION('center_axis',(1.,0.,0.));
#52588=DIRECTION('ref_axis',(0.,0.,1.));
#52589=DIRECTION('',(0.,1.,0.));
#52590=DIRECTION('center_axis',(1.,0.,0.));
#52591=DIRECTION('ref_axis',(0.,0.,1.));
#52592=DIRECTION('',(0.,0.,1.));
#52593=DIRECTION('',(0.,0.,1.));
#52594=DIRECTION('',(0.,1.,0.));
#52595=DIRECTION('center_axis',(0.,1.,0.));
#52596=DIRECTION('ref_axis',(0.,0.,1.));
#52597=DIRECTION('',(0.,0.,1.));
#52598=DIRECTION('',(1.,0.,0.));
#52599=DIRECTION('center_axis',(1.,0.,0.));
#52600=DIRECTION('ref_axis',(0.,0.,1.));
#52601=DIRECTION('',(0.,0.,1.));
#52602=DIRECTION('',(0.,1.,0.));
#52603=DIRECTION('center_axis',(0.,1.,0.));
#52604=DIRECTION('ref_axis',(0.,0.,1.));
#52605=DIRECTION('',(1.,0.,0.));
#52606=DIRECTION('center_axis',(0.,1.,0.));
#52607=DIRECTION('ref_axis',(0.,0.,1.));
#52608=DIRECTION('',(0.,0.,1.));
#52609=DIRECTION('',(0.,0.,1.));
#52610=DIRECTION('',(1.,0.,0.));
#52611=DIRECTION('center_axis',(1.,0.,0.));
#52612=DIRECTION('ref_axis',(0.,0.,1.));
#52613=DIRECTION('',(0.,0.,1.));
#52614=DIRECTION('',(0.,1.,0.));
#52615=DIRECTION('center_axis',(0.,1.,0.));
#52616=DIRECTION('ref_axis',(0.,0.,1.));
#52617=DIRECTION('',(0.,0.,1.));
#52618=DIRECTION('',(1.,0.,0.));
#52619=DIRECTION('center_axis',(1.,0.,0.));
#52620=DIRECTION('ref_axis',(0.,0.,1.));
#52621=DIRECTION('',(0.,1.,0.));
#52622=DIRECTION('center_axis',(0.,1.,0.));
#52623=DIRECTION('ref_axis',(0.,0.,1.));
#52624=DIRECTION('',(0.,0.,1.));
#52625=DIRECTION('',(0.,0.,1.));
#52626=DIRECTION('',(1.,0.,0.));
#52627=DIRECTION('center_axis',(1.,0.,0.));
#52628=DIRECTION('ref_axis',(0.,0.,1.));
#52629=DIRECTION('',(0.,0.,1.));
#52630=DIRECTION('',(0.,1.,0.));
#52631=DIRECTION('center_axis',(0.,1.,0.));
#52632=DIRECTION('ref_axis',(0.,0.,1.));
#52633=DIRECTION('',(0.,0.,1.));
#52634=DIRECTION('',(1.,0.,0.));
#52635=DIRECTION('center_axis',(1.,0.,0.));
#52636=DIRECTION('ref_axis',(0.,0.,1.));
#52637=DIRECTION('',(0.,1.,0.));
#52638=DIRECTION('center_axis',(0.,1.,0.));
#52639=DIRECTION('ref_axis',(0.,0.,1.));
#52640=DIRECTION('',(0.,0.,1.));
#52641=DIRECTION('',(0.,0.,1.));
#52642=DIRECTION('',(1.,0.,0.));
#52643=DIRECTION('center_axis',(1.,0.,0.));
#52644=DIRECTION('ref_axis',(0.,0.,1.));
#52645=DIRECTION('',(0.,0.,1.));
#52646=DIRECTION('',(0.,1.,0.));
#52647=DIRECTION('center_axis',(0.,1.,0.));
#52648=DIRECTION('ref_axis',(0.,0.,1.));
#52649=DIRECTION('',(0.,0.,1.));
#52650=DIRECTION('',(1.,0.,0.));
#52651=DIRECTION('center_axis',(1.,0.,0.));
#52652=DIRECTION('ref_axis',(0.,0.,1.));
#52653=DIRECTION('',(0.,1.,0.));
#52654=DIRECTION('center_axis',(0.,1.,0.));
#52655=DIRECTION('ref_axis',(0.,0.,1.));
#52656=DIRECTION('',(0.,0.,1.));
#52657=DIRECTION('',(1.,0.,0.));
#52658=DIRECTION('',(0.,0.,1.));
#52659=DIRECTION('center_axis',(1.,0.,0.));
#52660=DIRECTION('ref_axis',(0.,0.,1.));
#52661=DIRECTION('',(0.,0.,1.));
#52662=DIRECTION('',(0.,1.,0.));
#52663=DIRECTION('center_axis',(0.,1.,0.));
#52664=DIRECTION('ref_axis',(0.,0.,1.));
#52665=DIRECTION('',(0.,0.,1.));
#52666=DIRECTION('',(1.,0.,0.));
#52667=DIRECTION('center_axis',(1.,0.,0.));
#52668=DIRECTION('ref_axis',(0.,0.,1.));
#52669=DIRECTION('',(0.,1.,0.));
#52670=DIRECTION('center_axis',(-0.707106781186548,1.73516750835589E-18,
0.707106781186548));
#52671=DIRECTION('ref_axis',(1.22694871165302E-18,1.,-1.22694871165302E-18));
#52672=DIRECTION('',(-0.577350269189626,0.577350269189626,-0.577350269189626));
#52673=DIRECTION('',(0.,1.,0.));
#52674=DIRECTION('',(-0.577350269189626,-0.577350269189626,-0.577350269189626));
#52675=DIRECTION('center_axis',(-1.73516750835589E-18,-0.707106781186548,
0.707106781186548));
#52676=DIRECTION('ref_axis',(-1.,1.22694871165302E-18,-1.22694871165302E-18));
#52677=DIRECTION('',(1.,0.,0.));
#52678=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626));
#52679=DIRECTION('center_axis',(-0.707106781186548,-1.73516750835589E-18,
-0.707106781186548));
#52680=DIRECTION('ref_axis',(-1.22694871165302E-18,1.,-1.22694871165302E-18));
#52681=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626));
#52682=DIRECTION('',(0.,1.,0.));
#52683=DIRECTION('center_axis',(1.73516750835589E-18,-0.707106781186548,
-0.707106781186548));
#52684=DIRECTION('ref_axis',(-1.,-1.22694871165302E-18,-1.22694871165302E-18));
#52685=DIRECTION('',(1.,0.,0.));
#52686=DIRECTION('center_axis',(-1.73516750835589E-18,-0.707106781186548,
0.707106781186548));
#52687=DIRECTION('ref_axis',(-1.,1.22694871165302E-18,-1.22694871165302E-18));
#52688=DIRECTION('',(1.,0.,0.));
#52689=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626));
#52690=DIRECTION('',(-0.577350269189626,-0.577350269189626,-0.577350269189626));
#52691=DIRECTION('center_axis',(-0.707106781186548,-1.73516750835589E-18,
-0.707106781186548));
#52692=DIRECTION('ref_axis',(-1.22694871165302E-18,1.,-1.22694871165302E-18));
#52693=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626));
#52694=DIRECTION('',(0.,1.,0.));
#52695=DIRECTION('center_axis',(1.73516750835589E-18,-0.707106781186548,
-0.707106781186548));
#52696=DIRECTION('ref_axis',(-1.,-1.22694871165302E-18,-1.22694871165302E-18));
#52697=DIRECTION('',(1.,0.,0.));
#52698=DIRECTION('',(-0.577350269189626,0.577350269189626,-0.577350269189626));
#52699=DIRECTION('center_axis',(-0.707106781186548,1.73516750835589E-18,
0.707106781186548));
#52700=DIRECTION('ref_axis',(1.22694871165302E-18,1.,-1.22694871165302E-18));
#52701=DIRECTION('',(0.,1.,0.));
#52702=DIRECTION('center_axis',(-1.73516750835589E-18,-0.707106781186548,
0.707106781186548));
#52703=DIRECTION('ref_axis',(-1.,1.22694871165302E-18,-1.22694871165302E-18));
#52704=DIRECTION('',(1.,0.,0.));
#52705=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626));
#52706=DIRECTION('',(-0.577350269189626,-0.577350269189626,-0.577350269189626));
#52707=DIRECTION('center_axis',(-0.707106781186548,-1.73516750835589E-18,
-0.707106781186548));
#52708=DIRECTION('ref_axis',(-1.22694871165302E-18,1.,-1.22694871165302E-18));
#52709=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626));
#52710=DIRECTION('',(0.,1.,0.));
#52711=DIRECTION('center_axis',(1.73516750835589E-18,-0.707106781186548,
-0.707106781186548));
#52712=DIRECTION('ref_axis',(-1.,-1.22694871165302E-18,-1.22694871165302E-18));
#52713=DIRECTION('',(1.,0.,0.));
#52714=DIRECTION('',(-0.577350269189626,0.577350269189626,-0.577350269189626));
#52715=DIRECTION('center_axis',(-0.707106781186548,1.73516750835589E-18,
0.707106781186548));
#52716=DIRECTION('ref_axis',(1.22694871165302E-18,1.,-1.22694871165302E-18));
#52717=DIRECTION('',(0.,1.,0.));
#52718=DIRECTION('center_axis',(-1.73516750835589E-18,-0.707106781186548,
0.707106781186548));
#52719=DIRECTION('ref_axis',(-1.,1.22694871165302E-18,-1.22694871165302E-18));
#52720=DIRECTION('',(1.,0.,0.));
#52721=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626));
#52722=DIRECTION('',(-0.577350269189626,-0.577350269189626,-0.577350269189626));
#52723=DIRECTION('center_axis',(-0.707106781186548,-1.73516750835589E-18,
-0.707106781186548));
#52724=DIRECTION('ref_axis',(-1.22694871165302E-18,1.,-1.22694871165302E-18));
#52725=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626));
#52726=DIRECTION('',(0.,1.,0.));
#52727=DIRECTION('center_axis',(1.73516750835589E-18,-0.707106781186548,
-0.707106781186548));
#52728=DIRECTION('ref_axis',(-1.,-1.22694871165302E-18,-1.22694871165302E-18));
#52729=DIRECTION('',(1.,0.,0.));
#52730=DIRECTION('',(-0.577350269189626,0.577350269189626,-0.577350269189626));
#52731=DIRECTION('center_axis',(-0.707106781186548,1.73516750835589E-18,
0.707106781186548));
#52732=DIRECTION('ref_axis',(1.22694871165302E-18,1.,-1.22694871165302E-18));
#52733=DIRECTION('',(0.,1.,0.));
#52734=DIRECTION('center_axis',(1.73516750835589E-18,-0.707106781186548,
-0.707106781186548));
#52735=DIRECTION('ref_axis',(-1.,-1.22694871165302E-18,-1.22694871165302E-18));
#52736=DIRECTION('',(1.,0.,0.));
#52737=DIRECTION('',(-0.577350269189626,0.577350269189626,-0.577350269189626));
#52738=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626));
#52739=DIRECTION('center_axis',(-0.707106781186548,1.73516750835589E-18,
0.707106781186548));
#52740=DIRECTION('ref_axis',(1.22694871165302E-18,1.,-1.22694871165302E-18));
#52741=DIRECTION('',(0.,1.,0.));
#52742=DIRECTION('',(-0.577350269189626,-0.577350269189626,-0.577350269189626));
#52743=DIRECTION('center_axis',(-1.73516750835589E-18,-0.707106781186548,
0.707106781186548));
#52744=DIRECTION('ref_axis',(-1.,1.22694871165302E-18,-1.22694871165302E-18));
#52745=DIRECTION('',(1.,0.,0.));
#52746=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626));
#52747=DIRECTION('center_axis',(-0.707106781186548,-1.73516750835589E-18,
-0.707106781186548));
#52748=DIRECTION('ref_axis',(-1.22694871165302E-18,1.,-1.22694871165302E-18));
#52749=DIRECTION('',(0.,1.,0.));
#52750=DIRECTION('center_axis',(0.707106781186548,-1.73516750835589E-18,
0.707106781186548));
#52751=DIRECTION('ref_axis',(1.22694871165302E-18,1.,1.22694871165302E-18));
#52752=DIRECTION('',(-0.577350269189626,0.577350269189626,0.577350269189626));
#52753=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626));
#52754=DIRECTION('',(0.,1.,0.));
#52755=DIRECTION('center_axis',(1.73516750835589E-18,0.707106781186548,
0.707106781186548));
#52756=DIRECTION('ref_axis',(-1.,1.22694871165302E-18,1.22694871165302E-18));
#52757=DIRECTION('',(1.,0.,0.));
#52758=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626));
#52759=DIRECTION('center_axis',(0.707106781186548,1.73516750835589E-18,
-0.707106781186548));
#52760=DIRECTION('ref_axis',(-1.22694871165302E-18,1.,1.22694871165302E-18));
#52761=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626));
#52762=DIRECTION('',(0.,1.,0.));
#52763=DIRECTION('center_axis',(-1.73516750835589E-18,0.707106781186548,
-0.707106781186548));
#52764=DIRECTION('ref_axis',(-1.,-1.22694871165302E-18,1.22694871165302E-18));
#52765=DIRECTION('',(1.,0.,0.));
#52766=DIRECTION('center_axis',(1.73516750835589E-18,0.707106781186548,
0.707106781186548));
#52767=DIRECTION('ref_axis',(-1.,1.22694871165302E-18,1.22694871165302E-18));
#52768=DIRECTION('',(1.,0.,0.));
#52769=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626));
#52770=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626));
#52771=DIRECTION('center_axis',(0.707106781186548,1.73516750835589E-18,
-0.707106781186548));
#52772=DIRECTION('ref_axis',(-1.22694871165302E-18,1.,1.22694871165302E-18));
#52773=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626));
#52774=DIRECTION('',(0.,1.,0.));
#52775=DIRECTION('center_axis',(-1.73516750835589E-18,0.707106781186548,
-0.707106781186548));
#52776=DIRECTION('ref_axis',(-1.,-1.22694871165302E-18,1.22694871165302E-18));
#52777=DIRECTION('',(1.,0.,0.));
#52778=DIRECTION('',(-0.577350269189626,0.577350269189626,0.577350269189626));
#52779=DIRECTION('center_axis',(0.707106781186548,-1.73516750835589E-18,
0.707106781186548));
#52780=DIRECTION('ref_axis',(1.22694871165302E-18,1.,1.22694871165302E-18));
#52781=DIRECTION('',(0.,1.,0.));
#52782=DIRECTION('center_axis',(1.73516750835589E-18,0.707106781186548,
0.707106781186548));
#52783=DIRECTION('ref_axis',(-1.,1.22694871165302E-18,1.22694871165302E-18));
#52784=DIRECTION('',(1.,0.,0.));
#52785=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626));
#52786=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626));
#52787=DIRECTION('center_axis',(0.707106781186548,1.73516750835589E-18,
-0.707106781186548));
#52788=DIRECTION('ref_axis',(-1.22694871165302E-18,1.,1.22694871165302E-18));
#52789=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626));
#52790=DIRECTION('',(0.,1.,0.));
#52791=DIRECTION('center_axis',(-1.73516750835589E-18,0.707106781186548,
-0.707106781186548));
#52792=DIRECTION('ref_axis',(-1.,-1.22694871165302E-18,1.22694871165302E-18));
#52793=DIRECTION('',(1.,0.,0.));
#52794=DIRECTION('',(-0.577350269189626,0.577350269189626,0.577350269189626));
#52795=DIRECTION('center_axis',(0.707106781186548,-1.73516750835589E-18,
0.707106781186548));
#52796=DIRECTION('ref_axis',(1.22694871165302E-18,1.,1.22694871165302E-18));
#52797=DIRECTION('',(0.,1.,0.));
#52798=DIRECTION('center_axis',(1.73516750835589E-18,0.707106781186548,
0.707106781186548));
#52799=DIRECTION('ref_axis',(-1.,1.22694871165302E-18,1.22694871165302E-18));
#52800=DIRECTION('',(1.,0.,0.));
#52801=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626));
#52802=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626));
#52803=DIRECTION('center_axis',(0.707106781186548,1.73516750835589E-18,
-0.707106781186548));
#52804=DIRECTION('ref_axis',(-1.22694871165302E-18,1.,1.22694871165302E-18));
#52805=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626));
#52806=DIRECTION('',(0.,1.,0.));
#52807=DIRECTION('center_axis',(-1.73516750835589E-18,0.707106781186548,
-0.707106781186548));
#52808=DIRECTION('ref_axis',(-1.,-1.22694871165302E-18,1.22694871165302E-18));
#52809=DIRECTION('',(1.,0.,0.));
#52810=DIRECTION('',(-0.577350269189626,0.577350269189626,0.577350269189626));
#52811=DIRECTION('center_axis',(0.707106781186548,-1.73516750835589E-18,
0.707106781186548));
#52812=DIRECTION('ref_axis',(1.22694871165302E-18,1.,1.22694871165302E-18));
#52813=DIRECTION('',(0.,1.,0.));
#52814=DIRECTION('center_axis',(-1.73516750835589E-18,0.707106781186548,
-0.707106781186548));
#52815=DIRECTION('ref_axis',(-1.,-1.22694871165302E-18,1.22694871165302E-18));
#52816=DIRECTION('',(1.,0.,0.));
#52817=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626));
#52818=DIRECTION('',(-0.577350269189626,0.577350269189626,0.577350269189626));
#52819=DIRECTION('center_axis',(0.707106781186548,-1.73516750835589E-18,
0.707106781186548));
#52820=DIRECTION('ref_axis',(1.22694871165302E-18,1.,1.22694871165302E-18));
#52821=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626));
#52822=DIRECTION('',(0.,1.,0.));
#52823=DIRECTION('center_axis',(1.73516750835589E-18,0.707106781186548,
0.707106781186548));
#52824=DIRECTION('ref_axis',(-1.,1.22694871165302E-18,1.22694871165302E-18));
#52825=DIRECTION('',(1.,0.,0.));
#52826=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626));
#52827=DIRECTION('center_axis',(0.707106781186548,1.73516750835589E-18,
-0.707106781186548));
#52828=DIRECTION('ref_axis',(-1.22694871165302E-18,1.,1.22694871165302E-18));
#52829=DIRECTION('',(0.,1.,0.));
#52830=DIRECTION('center_axis',(0.,0.,1.));
#52831=DIRECTION('ref_axis',(1.,0.,0.));
#52832=DIRECTION('center_axis',(0.,0.,1.));
#52833=DIRECTION('ref_axis',(1.,0.,0.));
#52834=DIRECTION('center_axis',(0.,0.,1.));
#52835=DIRECTION('ref_axis',(1.,0.,0.));
#52836=DIRECTION('center_axis',(0.,0.,1.));
#52837=DIRECTION('ref_axis',(1.,0.,0.));
#52838=DIRECTION('center_axis',(0.,0.,1.));
#52839=DIRECTION('ref_axis',(1.,0.,0.));
#52840=DIRECTION('center_axis',(0.,0.,1.));
#52841=DIRECTION('ref_axis',(1.,0.,0.));
#52842=DIRECTION('center_axis',(0.,0.,1.));
#52843=DIRECTION('ref_axis',(1.,0.,0.));
#52844=DIRECTION('center_axis',(0.,0.,1.));
#52845=DIRECTION('ref_axis',(1.,0.,0.));
#52846=DIRECTION('center_axis',(0.,0.,1.));
#52847=DIRECTION('ref_axis',(1.,0.,0.));
#52848=DIRECTION('center_axis',(0.,0.,1.));
#52849=DIRECTION('ref_axis',(1.,0.,0.));
#52850=DIRECTION('',(0.,0.,1.));
#52851=DIRECTION('',(1.,0.,0.));
#52852=DIRECTION('axis',(0.,0.,1.));
#52853=DIRECTION('refdir',(1.,0.,0.));
#52854=DIRECTION('axis',(0.,0.,1.));
#52855=DIRECTION('refdir',(1.,0.,0.));
#52856=DIRECTION('center_axis',(-5.57234551408E-33,1.,3.18580557984E-32));
#52857=DIRECTION('ref_axis',(0.,-3.18580557984E-32,1.));
#52858=DIRECTION('',(0.101830105936045,0.,0.99480180414244));
#52859=DIRECTION('',(-1.,0.,-4.270088556251E-17));
#52860=DIRECTION('',(0.101830105936045,0.,-0.99480180414244));
#52861=DIRECTION('',(1.,0.,0.));
#52862=DIRECTION('center_axis',(-0.99480180414244,0.,0.101830105936045));
#52863=DIRECTION('ref_axis',(0.101830105936045,0.,0.99480180414244));
#52864=DIRECTION('',(0.,-1.,0.));
#52865=DIRECTION('',(0.,1.,0.));
#52866=DIRECTION('',(0.,-1.,0.));
#52867=DIRECTION('',(0.,-1.,0.));
#52868=DIRECTION('',(0.101830105936045,0.,0.99480180414244));
#52869=DIRECTION('center_axis',(0.,1.,0.));
#52870=DIRECTION('ref_axis',(0.,0.,1.));
#52871=DIRECTION('',(1.,0.,0.));
#52872=DIRECTION('',(1.,0.,0.));
#52873=DIRECTION('',(1.,0.,0.));
#52874=DIRECTION('',(0.,0.,1.));
#52875=DIRECTION('',(1.,0.,0.));
#52876=DIRECTION('',(1.,0.,0.));
#52877=DIRECTION('',(1.,0.,0.));
#52878=DIRECTION('',(1.,0.,0.));
#52879=DIRECTION('',(0.,0.,1.));
#52880=DIRECTION('center_axis',(0.,0.,1.));
#52881=DIRECTION('ref_axis',(1.,0.,0.));
#52882=DIRECTION('',(0.,-1.,0.));
#52883=DIRECTION('',(1.,0.,0.));
#52884=DIRECTION('center_axis',(0.99480180414244,0.,0.101830105936045));
#52885=DIRECTION('ref_axis',(0.101830105936045,0.,-0.99480180414244));
#52886=DIRECTION('',(0.,-1.,0.));
#52887=DIRECTION('',(0.,-1.,0.));
#52888=DIRECTION('',(0.,-1.,0.));
#52889=DIRECTION('',(0.101830105936045,0.,-0.99480180414244));
#52890=DIRECTION('center_axis',(0.,0.,1.));
#52891=DIRECTION('ref_axis',(1.,0.,0.));
#52892=DIRECTION('',(1.,0.,0.));
#52893=DIRECTION('',(0.,1.,0.));
#52894=DIRECTION('',(-1.,0.,0.));
#52895=DIRECTION('center_axis',(-5.57234551408E-33,1.,3.18580557984E-32));
#52896=DIRECTION('ref_axis',(0.,-3.18580557984E-32,1.));
#52897=DIRECTION('',(-1.,0.,-4.270088556251E-17));
#52898=DIRECTION('center_axis',(0.,1.,0.));
#52899=DIRECTION('ref_axis',(1.,0.,0.));
#52900=DIRECTION('center_axis',(0.,1.,0.));
#52901=DIRECTION('ref_axis',(1.,0.,0.));
#52902=DIRECTION('',(0.,1.,0.));
#52903=DIRECTION('center_axis',(0.,1.,0.));
#52904=DIRECTION('ref_axis',(1.,0.,0.));
#52905=DIRECTION('center_axis',(0.,0.,1.));
#52906=DIRECTION('ref_axis',(1.,0.,0.));
#52907=DIRECTION('',(0.,1.,0.));
#52908=DIRECTION('',(1.,0.,0.));
#52909=DIRECTION('center_axis',(0.,1.,0.));
#52910=DIRECTION('ref_axis',(0.,0.,1.));
#52911=DIRECTION('',(1.,0.,0.));
#52912=DIRECTION('',(0.,0.,1.));
#52913=DIRECTION('',(0.,0.,1.));
#52914=DIRECTION('',(1.,0.,0.));
#52915=DIRECTION('center_axis',(0.,1.,0.));
#52916=DIRECTION('ref_axis',(0.,0.,1.));
#52917=DIRECTION('',(0.,0.,1.));
#52918=DIRECTION('center_axis',(0.,-1.,0.));
#52919=DIRECTION('ref_axis',(0.,0.,1.));
#52920=DIRECTION('center_axis',(0.,0.,1.));
#52921=DIRECTION('ref_axis',(1.,0.,0.));
#52922=DIRECTION('',(0.,1.,0.));
#52923=DIRECTION('',(1.,0.,0.));
#52924=DIRECTION('',(0.,1.,0.));
#52925=DIRECTION('',(-1.,0.,0.));
#52926=DIRECTION('',(0.,1.,0.));
#52927=DIRECTION('',(1.,0.,0.));
#52928=DIRECTION('',(0.,-1.,0.));
#52929=DIRECTION('',(-1.,0.,0.));
#52930=DIRECTION('',(0.,1.,0.));
#52931=DIRECTION('',(1.,0.,0.));
#52932=DIRECTION('',(0.,-1.,0.));
#52933=DIRECTION('',(0.,-1.,0.));
#52934=DIRECTION('',(0.866025403784329,0.50000000000019,0.));
#52935=DIRECTION('',(-0.866025403784329,0.50000000000019,0.));
#52936=DIRECTION('center_axis',(0.,1.,0.));
#52937=DIRECTION('ref_axis',(0.,0.,1.));
#52938=DIRECTION('',(1.,0.,0.));
#52939=DIRECTION('center_axis',(0.,1.,0.));
#52940=DIRECTION('ref_axis',(0.,0.,1.));
#52941=DIRECTION('',(0.,0.,1.));
#52942=DIRECTION('center_axis',(0.,-1.,0.));
#52943=DIRECTION('ref_axis',(0.,0.,1.));
#52944=DIRECTION('',(1.,0.,0.));
#52945=DIRECTION('',(0.,0.,-1.));
#52946=DIRECTION('',(0.,0.,-1.));
#52947=DIRECTION('center_axis',(0.,0.,1.));
#52948=DIRECTION('ref_axis',(1.,0.,0.));
#52949=DIRECTION('',(1.,0.,0.));
#52950=DIRECTION('',(0.,-1.,0.));
#52951=DIRECTION('center_axis',(0.,0.,1.));
#52952=DIRECTION('ref_axis',(1.,0.,0.));
#52953=DIRECTION('',(1.,0.,0.));
#52954=DIRECTION('',(-1.,0.,0.));
#52955=DIRECTION('',(0.,-1.,0.));
#52956=DIRECTION('center_axis',(0.,1.,0.));
#52957=DIRECTION('ref_axis',(-1.,0.,0.));
#52958=DIRECTION('center_axis',(0.,1.,0.));
#52959=DIRECTION('ref_axis',(-1.,0.,0.));
#52960=DIRECTION('',(0.,1.,0.));
#52961=DIRECTION('center_axis',(0.,1.,0.));
#52962=DIRECTION('ref_axis',(-1.,0.,0.));
#52963=DIRECTION('center_axis',(0.,1.,0.));
#52964=DIRECTION('ref_axis',(0.,0.,1.));
#52965=DIRECTION('',(1.,0.,0.));
#52966=DIRECTION('',(0.,0.,1.));
#52967=DIRECTION('',(1.,0.,0.));
#52968=DIRECTION('',(1.,0.,0.));
#52969=DIRECTION('',(0.,0.,1.));
#52970=DIRECTION('',(1.,0.,0.));
#52971=DIRECTION('center_axis',(0.,-1.,0.));
#52972=DIRECTION('ref_axis',(1.,0.,0.));
#52973=DIRECTION('',(1.,0.,0.));
#52974=DIRECTION('',(0.,0.,-1.));
#52975=DIRECTION('center_axis',(-1.,0.,0.));
#52976=DIRECTION('ref_axis',(0.,-1.,0.));
#52977=DIRECTION('',(0.,0.,1.));
#52978=DIRECTION('',(0.,-1.,0.));
#52979=DIRECTION('center_axis',(0.,1.,0.));
#52980=DIRECTION('ref_axis',(-1.,0.,0.));
#52981=DIRECTION('',(0.,0.,-1.));
#52982=DIRECTION('',(-1.,0.,0.));
#52983=DIRECTION('center_axis',(0.,0.,1.));
#52984=DIRECTION('ref_axis',(1.,0.,0.));
#52985=DIRECTION('',(1.,0.,0.));
#52986=DIRECTION('',(0.,1.,0.));
#52987=DIRECTION('',(0.,-1.,0.));
#52988=DIRECTION('center_axis',(-1.,0.,0.));
#52989=DIRECTION('ref_axis',(0.,-1.,0.));
#52990=DIRECTION('center_axis',(0.,0.,1.));
#52991=DIRECTION('ref_axis',(1.,0.,0.));
#52992=DIRECTION('',(1.,0.,0.));
#52993=DIRECTION('',(0.,-1.,0.));
#52994=DIRECTION('',(1.,0.,0.));
#52995=DIRECTION('',(0.,1.,0.));
#52996=DIRECTION('',(-1.,0.,0.));
#52997=DIRECTION('',(0.,-1.,0.));
#52998=DIRECTION('',(0.,1.,0.));
#52999=DIRECTION('center_axis',(0.,1.,0.));
#53000=DIRECTION('ref_axis',(-1.,0.,0.));
#53001=DIRECTION('',(0.,1.,0.));
#53002=DIRECTION('center_axis',(0.,-1.,0.));
#53003=DIRECTION('ref_axis',(0.,0.,1.));
#53004=DIRECTION('center_axis',(-1.,0.,0.));
#53005=DIRECTION('ref_axis',(0.,-1.,0.));
#53006=DIRECTION('',(0.,0.,-1.));
#53007=DIRECTION('center_axis',(1.,0.,0.));
#53008=DIRECTION('ref_axis',(0.,0.,1.));
#53009=DIRECTION('',(0.,1.,0.));
#53010=DIRECTION('',(0.,0.,1.));
#53011=DIRECTION('center_axis',(0.,1.,0.));
#53012=DIRECTION('ref_axis',(-1.,0.,0.));
#53013=DIRECTION('center_axis',(0.,1.,0.));
#53014=DIRECTION('ref_axis',(0.,0.,1.));
#53015=DIRECTION('center_axis',(0.,1.,0.));
#53016=DIRECTION('ref_axis',(-1.,0.,0.));
#53017=DIRECTION('center_axis',(0.,1.,0.));
#53018=DIRECTION('ref_axis',(1.,0.,0.));
#53019=DIRECTION('center_axis',(0.,1.,0.));
#53020=DIRECTION('ref_axis',(1.,0.,0.));
#53021=DIRECTION('center_axis',(0.,1.,0.));
#53022=DIRECTION('ref_axis',(1.,0.,0.));
#53023=DIRECTION('center_axis',(0.,-1.,0.));
#53024=DIRECTION('ref_axis',(1.,0.,0.));
#53025=DIRECTION('',(0.,0.,-1.));
#53026=DIRECTION('center_axis',(-1.,0.,0.));
#53027=DIRECTION('ref_axis',(0.,-1.,0.));
#53028=DIRECTION('',(0.,0.,1.));
#53029=DIRECTION('center_axis',(1.,0.,0.));
#53030=DIRECTION('ref_axis',(0.,1.,0.));
#53031=DIRECTION('',(0.,0.,-1.));
#53032=DIRECTION('',(0.,1.,0.));
#53033=DIRECTION('',(0.,0.,-1.));
#53034=DIRECTION('center_axis',(0.,-1.,0.));
#53035=DIRECTION('ref_axis',(1.,0.,0.));
#53036=DIRECTION('',(1.,0.,0.));
#53037=DIRECTION('center_axis',(0.,1.,0.));
#53038=DIRECTION('ref_axis',(-1.,0.,0.));
#53039=DIRECTION('center_axis',(0.,1.,0.));
#53040=DIRECTION('ref_axis',(-1.,0.,0.));
#53041=DIRECTION('',(0.,1.,0.));
#53042=DIRECTION('center_axis',(0.,1.,0.));
#53043=DIRECTION('ref_axis',(-1.,0.,0.));
#53044=DIRECTION('center_axis',(0.,1.,0.));
#53045=DIRECTION('ref_axis',(-1.,0.,0.));
#53046=DIRECTION('',(-1.,0.,0.));
#53047=DIRECTION('',(0.,0.,-1.));
#53048=DIRECTION('center_axis',(1.,0.,0.));
#53049=DIRECTION('ref_axis',(0.,1.,0.));
#53050=DIRECTION('',(0.,1.,0.));
#53051=DIRECTION('center_axis',(1.,0.,0.));
#53052=DIRECTION('ref_axis',(0.,-1.,0.));
#53053=DIRECTION('',(0.,0.,1.));
#53054=DIRECTION('',(0.,0.,1.));
#53055=DIRECTION('',(0.,-1.,0.));
#53056=DIRECTION('center_axis',(-0.50000000000019,0.866025403784329,0.));
#53057=DIRECTION('ref_axis',(0.866025403784329,0.50000000000019,0.));
#53058=DIRECTION('',(0.,0.,1.));
#53059=DIRECTION('',(0.866025403784329,0.50000000000019,0.));
#53060=DIRECTION('center_axis',(-0.50000000000019,-0.866025403784329,0.));
#53061=DIRECTION('ref_axis',(-0.866025403784329,0.50000000000019,0.));
#53062=DIRECTION('',(-0.866025403784329,0.50000000000019,0.));
#53063=DIRECTION('center_axis',(0.,0.,1.));
#53064=DIRECTION('ref_axis',(1.,0.,0.));
#53065=DIRECTION('',(1.,0.,0.));
#53066=DIRECTION('',(0.,1.,0.));
#53067=DIRECTION('center_axis',(0.,1.,0.));
#53068=DIRECTION('ref_axis',(1.,0.,0.));
#53069=DIRECTION('center_axis',(0.,1.,0.));
#53070=DIRECTION('ref_axis',(0.,0.,1.));
#53071=DIRECTION('',(0.,1.,0.));
#53072=DIRECTION('center_axis',(1.,0.,0.));
#53073=DIRECTION('ref_axis',(0.,0.,1.));
#53074=DIRECTION('',(0.,0.,1.));
#53075=DIRECTION('',(0.,1.,0.));
#53076=DIRECTION('center_axis',(0.,1.,0.));
#53077=DIRECTION('ref_axis',(1.,0.,0.));
#53078=DIRECTION('center_axis',(0.,-1.,0.));
#53079=DIRECTION('ref_axis',(0.,0.,1.));
#53080=DIRECTION('',(0.,1.,0.));
#53081=DIRECTION('center_axis',(0.,0.,1.));
#53082=DIRECTION('ref_axis',(1.,0.,0.));
#53083=DIRECTION('',(1.,0.,0.));
#53084=DIRECTION('',(0.,1.,0.));
#53085=DIRECTION('',(1.,0.,0.));
#53086=DIRECTION('',(-1.,0.,0.));
#53087=DIRECTION('',(0.,1.,0.));
#53088=DIRECTION('center_axis',(1.,0.,0.));
#53089=DIRECTION('ref_axis',(0.,1.,0.));
#53090=DIRECTION('',(0.,0.,-1.));
#53091=DIRECTION('center_axis',(0.,1.,0.));
#53092=DIRECTION('ref_axis',(-1.,0.,0.));
#53093=DIRECTION('center_axis',(1.,0.,0.));
#53094=DIRECTION('ref_axis',(0.,1.,0.));
#53095=DIRECTION('',(0.,0.,-1.));
#53096=DIRECTION('',(0.,0.,-1.));
#53097=DIRECTION('center_axis',(0.,-1.,0.));
#53098=DIRECTION('ref_axis',(1.,0.,0.));
#53099=DIRECTION('center_axis',(0.,1.,0.));
#53100=DIRECTION('ref_axis',(0.,0.,1.));
#53101=DIRECTION('center_axis',(0.,1.,0.));
#53102=DIRECTION('ref_axis',(0.,0.,1.));
#53103=DIRECTION('center_axis',(0.,0.,-1.));
#53104=DIRECTION('ref_axis',(-1.,0.,0.));
#53105=DIRECTION('',(0.,0.,1.));
#53106=DIRECTION('',(1.,0.,0.));
#53107=DIRECTION('axis',(0.,0.,1.));
#53108=DIRECTION('refdir',(1.,0.,0.));
#53109=DIRECTION('axis',(0.,0.,1.));
#53110=DIRECTION('refdir',(1.,0.,0.));
#53111=DIRECTION('center_axis',(1.,0.,0.));
#53112=DIRECTION('ref_axis',(0.,0.,1.));
#53113=DIRECTION('',(0.,0.,1.));
#53114=DIRECTION('center_axis',(-1.,0.,0.));
#53115=DIRECTION('ref_axis',(0.,0.,1.));
#53116=DIRECTION('',(0.,1.,0.));
#53117=DIRECTION('center_axis',(1.,0.,0.));
#53118=DIRECTION('ref_axis',(0.,0.,1.));
#53119=DIRECTION('',(0.,0.,1.));
#53120=DIRECTION('center_axis',(-1.,0.,0.));
#53121=DIRECTION('ref_axis',(0.,0.,1.));
#53122=DIRECTION('',(0.,1.,0.));
#53123=DIRECTION('center_axis',(1.,0.,0.));
#53124=DIRECTION('ref_axis',(0.,0.,1.));
#53125=DIRECTION('center_axis',(0.,1.,0.));
#53126=DIRECTION('ref_axis',(0.,0.,1.));
#53127=DIRECTION('',(0.,0.,1.));
#53128=DIRECTION('',(1.,0.,0.));
#53129=DIRECTION('',(1.,0.,0.));
#53130=DIRECTION('center_axis',(1.,0.,0.));
#53131=DIRECTION('ref_axis',(0.,-1.,0.));
#53132=DIRECTION('',(1.,0.,0.));
#53133=DIRECTION('center_axis',(1.,0.,0.));
#53134=DIRECTION('ref_axis',(0.,0.,1.));
#53135=DIRECTION('center_axis',(1.,0.,0.));
#53136=DIRECTION('ref_axis',(0.,-1.,0.));
#53137=DIRECTION('center_axis',(-1.,0.,0.));
#53138=DIRECTION('ref_axis',(0.,0.,1.));
#53139=DIRECTION('',(1.,0.,0.));
#53140=DIRECTION('center_axis',(0.,0.,1.));
#53141=DIRECTION('ref_axis',(1.,0.,0.));
#53142=DIRECTION('',(1.,0.,0.));
#53143=DIRECTION('',(0.,1.,0.));
#53144=DIRECTION('center_axis',(0.,0.,1.));
#53145=DIRECTION('ref_axis',(1.,0.,0.));
#53146=DIRECTION('',(0.,1.,0.));
#53147=DIRECTION('',(1.,0.,0.));
#53148=DIRECTION('center_axis',(1.,0.,0.));
#53149=DIRECTION('ref_axis',(0.,1.,0.));
#53150=DIRECTION('',(1.,0.,0.));
#53151=DIRECTION('center_axis',(-1.,0.,0.));
#53152=DIRECTION('ref_axis',(0.,0.,1.));
#53153=DIRECTION('center_axis',(1.,0.,0.));
#53154=DIRECTION('ref_axis',(0.,1.,0.));
#53155=DIRECTION('center_axis',(1.,0.,0.));
#53156=DIRECTION('ref_axis',(0.,0.,1.));
#53157=DIRECTION('',(1.,0.,0.));
#53158=DIRECTION('center_axis',(0.,1.,0.));
#53159=DIRECTION('ref_axis',(0.,0.,1.));
#53160=DIRECTION('',(0.,0.,1.));
#53161=DIRECTION('center_axis',(1.,0.,0.));
#53162=DIRECTION('ref_axis',(0.,0.,1.));
#53163=DIRECTION('center_axis',(1.,0.,0.));
#53164=DIRECTION('ref_axis',(0.,0.,1.));
#53165=DIRECTION('',(0.,0.,1.));
#53166=DIRECTION('center_axis',(-1.,0.,0.));
#53167=DIRECTION('ref_axis',(0.,0.,1.));
#53168=DIRECTION('',(0.,1.,0.));
#53169=DIRECTION('center_axis',(1.,0.,0.));
#53170=DIRECTION('ref_axis',(0.,0.,1.));
#53171=DIRECTION('',(0.,0.,1.));
#53172=DIRECTION('center_axis',(-1.,0.,0.));
#53173=DIRECTION('ref_axis',(0.,0.,1.));
#53174=DIRECTION('',(0.,1.,0.));
#53175=DIRECTION('center_axis',(1.,0.,0.));
#53176=DIRECTION('ref_axis',(0.,-1.,0.));
#53177=DIRECTION('',(1.,0.,0.));
#53178=DIRECTION('center_axis',(1.,0.,0.));
#53179=DIRECTION('ref_axis',(0.,0.,1.));
#53180=DIRECTION('',(1.,0.,0.));
#53181=DIRECTION('center_axis',(0.,0.,1.));
#53182=DIRECTION('ref_axis',(1.,0.,0.));
#53183=DIRECTION('',(1.,0.,0.));
#53184=DIRECTION('',(0.,1.,0.));
#53185=DIRECTION('center_axis',(1.,0.,0.));
#53186=DIRECTION('ref_axis',(0.,1.,0.));
#53187=DIRECTION('',(1.,0.,0.));
#53188=DIRECTION('center_axis',(-1.,0.,0.));
#53189=DIRECTION('ref_axis',(0.,0.,1.));
#53190=DIRECTION('center_axis',(0.,1.,0.));
#53191=DIRECTION('ref_axis',(0.,0.,1.));
#53192=DIRECTION('',(0.,0.,1.));
#53193=DIRECTION('',(1.,0.,0.));
#53194=DIRECTION('center_axis',(1.,0.,0.));
#53195=DIRECTION('ref_axis',(0.,1.,0.));
#53196=DIRECTION('',(1.,0.,0.));
#53197=DIRECTION('center_axis',(1.,0.,0.));
#53198=DIRECTION('ref_axis',(0.,0.,1.));
#53199=DIRECTION('center_axis',(0.,0.,1.));
#53200=DIRECTION('ref_axis',(1.,0.,0.));
#53201=DIRECTION('',(1.,0.,0.));
#53202=DIRECTION('',(0.,1.,0.));
#53203=DIRECTION('center_axis',(1.,0.,0.));
#53204=DIRECTION('ref_axis',(0.,-1.,0.));
#53205=DIRECTION('',(1.,0.,0.));
#53206=DIRECTION('center_axis',(-1.,0.,0.));
#53207=DIRECTION('ref_axis',(0.,0.,1.));
#53208=DIRECTION('center_axis',(0.,1.,0.));
#53209=DIRECTION('ref_axis',(0.,0.,1.));
#53210=DIRECTION('',(0.,0.,1.));
#53211=DIRECTION('center_axis',(1.,0.,0.));
#53212=DIRECTION('ref_axis',(0.,0.,1.));
#53213=DIRECTION('',(0.,0.,1.));
#53214=DIRECTION('center_axis',(-1.,0.,0.));
#53215=DIRECTION('ref_axis',(0.,0.,1.));
#53216=DIRECTION('',(0.,1.,0.));
#53217=DIRECTION('center_axis',(1.,0.,0.));
#53218=DIRECTION('ref_axis',(0.,0.,1.));
#53219=DIRECTION('',(0.,0.,1.));
#53220=DIRECTION('center_axis',(-1.,0.,0.));
#53221=DIRECTION('ref_axis',(0.,0.,1.));
#53222=DIRECTION('',(0.,1.,0.));
#53223=DIRECTION('center_axis',(1.,0.,0.));
#53224=DIRECTION('ref_axis',(0.,0.,1.));
#53225=DIRECTION('center_axis',(0.,1.,0.));
#53226=DIRECTION('ref_axis',(0.,0.,1.));
#53227=DIRECTION('',(0.,0.,1.));
#53228=DIRECTION('',(1.,0.,0.));
#53229=DIRECTION('',(1.,0.,0.));
#53230=DIRECTION('center_axis',(1.,0.,0.));
#53231=DIRECTION('ref_axis',(0.,-1.,0.));
#53232=DIRECTION('',(1.,0.,0.));
#53233=DIRECTION('center_axis',(1.,0.,0.));
#53234=DIRECTION('ref_axis',(0.,0.,1.));
#53235=DIRECTION('center_axis',(1.,0.,0.));
#53236=DIRECTION('ref_axis',(0.,-1.,0.));
#53237=DIRECTION('center_axis',(-1.,0.,0.));
#53238=DIRECTION('ref_axis',(0.,0.,1.));
#53239=DIRECTION('',(1.,0.,0.));
#53240=DIRECTION('center_axis',(0.,0.,1.));
#53241=DIRECTION('ref_axis',(1.,0.,0.));
#53242=DIRECTION('',(1.,0.,0.));
#53243=DIRECTION('',(0.,1.,0.));
#53244=DIRECTION('center_axis',(0.,0.,1.));
#53245=DIRECTION('ref_axis',(1.,0.,0.));
#53246=DIRECTION('',(0.,1.,0.));
#53247=DIRECTION('',(1.,0.,0.));
#53248=DIRECTION('center_axis',(1.,0.,0.));
#53249=DIRECTION('ref_axis',(0.,1.,0.));
#53250=DIRECTION('',(1.,0.,0.));
#53251=DIRECTION('center_axis',(-1.,0.,0.));
#53252=DIRECTION('ref_axis',(0.,0.,1.));
#53253=DIRECTION('center_axis',(1.,0.,0.));
#53254=DIRECTION('ref_axis',(0.,1.,0.));
#53255=DIRECTION('center_axis',(1.,0.,0.));
#53256=DIRECTION('ref_axis',(0.,0.,1.));
#53257=DIRECTION('',(1.,0.,0.));
#53258=DIRECTION('center_axis',(0.,1.,0.));
#53259=DIRECTION('ref_axis',(0.,0.,1.));
#53260=DIRECTION('',(0.,0.,1.));
#53261=DIRECTION('center_axis',(1.,0.,0.));
#53262=DIRECTION('ref_axis',(0.,0.,1.));
#53263=DIRECTION('',(0.,0.,1.));
#53264=DIRECTION('',(1.,0.,0.));
#53265=DIRECTION('axis',(0.,0.,1.));
#53266=DIRECTION('refdir',(1.,0.,0.));
#53267=DIRECTION('center_axis',(0.,-1.,0.));
#53268=DIRECTION('ref_axis',(-1.,0.,0.));
#53269=DIRECTION('',(0.,0.,1.));
#53270=DIRECTION('',(-1.,0.,0.));
#53271=DIRECTION('',(0.,0.,1.));
#53272=DIRECTION('',(-1.,0.,0.));
#53273=DIRECTION('center_axis',(-1.,0.,0.));
#53274=DIRECTION('ref_axis',(0.,1.,0.));
#53275=DIRECTION('',(0.,0.,1.));
#53276=DIRECTION('',(0.,1.,0.));
#53277=DIRECTION('',(0.,1.,0.));
#53278=DIRECTION('center_axis',(1.,0.,0.));
#53279=DIRECTION('ref_axis',(0.,-1.,0.));
#53280=DIRECTION('',(0.,-1.,0.));
#53281=DIRECTION('',(0.,0.,1.));
#53282=DIRECTION('',(0.,-1.,0.));
#53283=DIRECTION('center_axis',(0.,0.,1.));
#53284=DIRECTION('ref_axis',(1.,0.,0.));
#53285=DIRECTION('',(1.,0.,0.));
#53286=DIRECTION('center_axis',(0.,0.,1.));
#53287=DIRECTION('ref_axis',(1.,0.,0.));
#53288=DIRECTION('center_axis',(0.,0.,1.));
#53289=DIRECTION('ref_axis',(1.,0.,0.));
#53290=DIRECTION('center_axis',(0.,0.,1.));
#53291=DIRECTION('ref_axis',(1.,0.,0.));
#53292=DIRECTION('center_axis',(0.,0.,1.));
#53293=DIRECTION('ref_axis',(1.,0.,0.));
#53294=DIRECTION('center_axis',(0.,0.,1.));
#53295=DIRECTION('ref_axis',(1.,0.,0.));
#53296=DIRECTION('center_axis',(0.,0.,1.));
#53297=DIRECTION('ref_axis',(1.,0.,0.));
#53298=DIRECTION('center_axis',(0.,0.,1.));
#53299=DIRECTION('ref_axis',(1.,0.,0.));
#53300=DIRECTION('center_axis',(0.,0.,1.));
#53301=DIRECTION('ref_axis',(1.,0.,0.));
#53302=DIRECTION('center_axis',(0.,0.,1.));
#53303=DIRECTION('ref_axis',(1.,0.,0.));
#53304=DIRECTION('center_axis',(0.,0.,1.));
#53305=DIRECTION('ref_axis',(1.,0.,0.));
#53306=DIRECTION('',(0.,1.,0.));
#53307=DIRECTION('center_axis',(0.,0.,1.));
#53308=DIRECTION('ref_axis',(1.,0.,0.));
#53309=DIRECTION('',(0.,-1.,0.));
#53310=DIRECTION('center_axis',(0.,0.,1.));
#53311=DIRECTION('ref_axis',(1.,0.,0.));
#53312=DIRECTION('center_axis',(0.,0.,1.));
#53313=DIRECTION('ref_axis',(1.,0.,0.));
#53314=DIRECTION('center_axis',(0.,0.,1.));
#53315=DIRECTION('ref_axis',(1.,0.,0.));
#53316=DIRECTION('center_axis',(0.,0.,1.));
#53317=DIRECTION('ref_axis',(1.,0.,0.));
#53318=DIRECTION('center_axis',(0.,0.,1.));
#53319=DIRECTION('ref_axis',(1.,0.,0.));
#53320=DIRECTION('center_axis',(0.,0.,1.));
#53321=DIRECTION('ref_axis',(1.,0.,0.));
#53322=DIRECTION('center_axis',(0.,0.,1.));
#53323=DIRECTION('ref_axis',(1.,0.,0.));
#53324=DIRECTION('center_axis',(0.,0.,1.));
#53325=DIRECTION('ref_axis',(1.,0.,0.));
#53326=DIRECTION('center_axis',(0.,0.,1.));
#53327=DIRECTION('ref_axis',(1.,0.,0.));
#53328=DIRECTION('center_axis',(0.,0.,1.));
#53329=DIRECTION('ref_axis',(1.,0.,0.));
#53330=DIRECTION('center_axis',(0.,0.,1.));
#53331=DIRECTION('ref_axis',(1.,0.,0.));
#53332=DIRECTION('center_axis',(0.,0.,1.));
#53333=DIRECTION('ref_axis',(1.,0.,0.));
#53334=DIRECTION('center_axis',(0.,0.,1.));
#53335=DIRECTION('ref_axis',(1.,0.,0.));
#53336=DIRECTION('center_axis',(0.,0.,1.));
#53337=DIRECTION('ref_axis',(1.,0.,0.));
#53338=DIRECTION('center_axis',(0.,0.,1.));
#53339=DIRECTION('ref_axis',(1.,0.,0.));
#53340=DIRECTION('center_axis',(0.,0.,1.));
#53341=DIRECTION('ref_axis',(1.,0.,0.));
#53342=DIRECTION('center_axis',(0.,0.,1.));
#53343=DIRECTION('ref_axis',(1.,0.,0.));
#53344=DIRECTION('center_axis',(0.,0.,1.));
#53345=DIRECTION('ref_axis',(1.,0.,0.));
#53346=DIRECTION('center_axis',(0.,0.,1.));
#53347=DIRECTION('ref_axis',(1.,0.,0.));
#53348=DIRECTION('center_axis',(0.,0.,1.));
#53349=DIRECTION('ref_axis',(1.,0.,0.));
#53350=DIRECTION('center_axis',(0.,0.,1.));
#53351=DIRECTION('ref_axis',(1.,0.,0.));
#53352=DIRECTION('center_axis',(0.,0.,1.));
#53353=DIRECTION('ref_axis',(1.,0.,0.));
#53354=DIRECTION('center_axis',(0.,0.,1.));
#53355=DIRECTION('ref_axis',(1.,0.,0.));
#53356=DIRECTION('center_axis',(0.,0.,1.));
#53357=DIRECTION('ref_axis',(1.,0.,0.));
#53358=DIRECTION('center_axis',(0.,0.,1.));
#53359=DIRECTION('ref_axis',(1.,0.,0.));
#53360=DIRECTION('center_axis',(0.,0.,1.));
#53361=DIRECTION('ref_axis',(1.,0.,0.));
#53362=DIRECTION('center_axis',(0.,0.,1.));
#53363=DIRECTION('ref_axis',(1.,0.,0.));
#53364=DIRECTION('center_axis',(0.,0.,1.));
#53365=DIRECTION('ref_axis',(1.,0.,0.));
#53366=DIRECTION('center_axis',(0.,0.,1.));
#53367=DIRECTION('ref_axis',(1.,0.,0.));
#53368=DIRECTION('center_axis',(0.,0.,1.));
#53369=DIRECTION('ref_axis',(1.,0.,0.));
#53370=DIRECTION('center_axis',(0.,0.,1.));
#53371=DIRECTION('ref_axis',(1.,0.,0.));
#53372=DIRECTION('center_axis',(0.,0.,1.));
#53373=DIRECTION('ref_axis',(1.,0.,0.));
#53374=DIRECTION('center_axis',(0.,0.,1.));
#53375=DIRECTION('ref_axis',(1.,0.,0.));
#53376=DIRECTION('center_axis',(0.,0.,1.));
#53377=DIRECTION('ref_axis',(1.,0.,0.));
#53378=DIRECTION('center_axis',(0.,0.,1.));
#53379=DIRECTION('ref_axis',(1.,0.,0.));
#53380=DIRECTION('center_axis',(0.,0.,1.));
#53381=DIRECTION('ref_axis',(1.,0.,0.));
#53382=DIRECTION('center_axis',(0.,0.,1.));
#53383=DIRECTION('ref_axis',(1.,0.,0.));
#53384=DIRECTION('center_axis',(0.,0.,1.));
#53385=DIRECTION('ref_axis',(1.,0.,0.));
#53386=DIRECTION('center_axis',(0.,0.,1.));
#53387=DIRECTION('ref_axis',(1.,0.,0.));
#53388=DIRECTION('center_axis',(0.,0.,1.));
#53389=DIRECTION('ref_axis',(1.,0.,0.));
#53390=DIRECTION('center_axis',(0.,0.,1.));
#53391=DIRECTION('ref_axis',(1.,0.,0.));
#53392=DIRECTION('center_axis',(0.,0.,1.));
#53393=DIRECTION('ref_axis',(1.,0.,0.));
#53394=DIRECTION('center_axis',(0.,0.,1.));
#53395=DIRECTION('ref_axis',(1.,0.,0.));
#53396=DIRECTION('center_axis',(0.,0.,1.));
#53397=DIRECTION('ref_axis',(1.,0.,0.));
#53398=DIRECTION('center_axis',(0.,0.,1.));
#53399=DIRECTION('ref_axis',(1.,0.,0.));
#53400=DIRECTION('center_axis',(0.,0.,1.));
#53401=DIRECTION('ref_axis',(1.,0.,0.));
#53402=DIRECTION('center_axis',(0.,0.,1.));
#53403=DIRECTION('ref_axis',(1.,0.,0.));
#53404=DIRECTION('center_axis',(0.,0.,1.));
#53405=DIRECTION('ref_axis',(1.,0.,0.));
#53406=DIRECTION('center_axis',(0.,0.,1.));
#53407=DIRECTION('ref_axis',(1.,0.,0.));
#53408=DIRECTION('center_axis',(0.,0.,1.));
#53409=DIRECTION('ref_axis',(1.,0.,0.));
#53410=DIRECTION('center_axis',(0.,0.,1.));
#53411=DIRECTION('ref_axis',(1.,0.,0.));
#53412=DIRECTION('center_axis',(0.,0.,1.));
#53413=DIRECTION('ref_axis',(1.,0.,0.));
#53414=DIRECTION('center_axis',(0.,0.,1.));
#53415=DIRECTION('ref_axis',(1.,0.,0.));
#53416=DIRECTION('center_axis',(0.,0.,1.));
#53417=DIRECTION('ref_axis',(1.,0.,0.));
#53418=DIRECTION('center_axis',(0.,0.,1.));
#53419=DIRECTION('ref_axis',(1.,0.,0.));
#53420=DIRECTION('center_axis',(0.,0.,1.));
#53421=DIRECTION('ref_axis',(1.,0.,0.));
#53422=DIRECTION('center_axis',(0.,0.,1.));
#53423=DIRECTION('ref_axis',(1.,0.,0.));
#53424=DIRECTION('center_axis',(0.,0.,1.));
#53425=DIRECTION('ref_axis',(1.,0.,0.));
#53426=DIRECTION('center_axis',(0.,0.,1.));
#53427=DIRECTION('ref_axis',(1.,0.,0.));
#53428=DIRECTION('center_axis',(0.,0.,1.));
#53429=DIRECTION('ref_axis',(1.,0.,0.));
#53430=DIRECTION('center_axis',(0.,0.,1.));
#53431=DIRECTION('ref_axis',(1.,0.,0.));
#53432=DIRECTION('center_axis',(0.,0.,1.));
#53433=DIRECTION('ref_axis',(1.,0.,0.));
#53434=DIRECTION('center_axis',(0.,0.,1.));
#53435=DIRECTION('ref_axis',(1.,0.,0.));
#53436=DIRECTION('center_axis',(0.,0.,1.));
#53437=DIRECTION('ref_axis',(1.,0.,0.));
#53438=DIRECTION('center_axis',(0.,0.,1.));
#53439=DIRECTION('ref_axis',(1.,0.,0.));
#53440=DIRECTION('center_axis',(0.,0.,1.));
#53441=DIRECTION('ref_axis',(1.,0.,0.));
#53442=DIRECTION('center_axis',(0.,0.,1.));
#53443=DIRECTION('ref_axis',(1.,0.,0.));
#53444=DIRECTION('center_axis',(0.,0.,1.));
#53445=DIRECTION('ref_axis',(1.,0.,0.));
#53446=DIRECTION('center_axis',(0.,0.,1.));
#53447=DIRECTION('ref_axis',(1.,0.,0.));
#53448=DIRECTION('center_axis',(0.,0.,1.));
#53449=DIRECTION('ref_axis',(1.,0.,0.));
#53450=DIRECTION('center_axis',(0.,0.,1.));
#53451=DIRECTION('ref_axis',(1.,0.,0.));
#53452=DIRECTION('center_axis',(0.,0.,1.));
#53453=DIRECTION('ref_axis',(1.,0.,0.));
#53454=DIRECTION('center_axis',(0.,0.,1.));
#53455=DIRECTION('ref_axis',(1.,0.,0.));
#53456=DIRECTION('center_axis',(0.,0.,1.));
#53457=DIRECTION('ref_axis',(1.,0.,0.));
#53458=DIRECTION('center_axis',(0.,0.,1.));
#53459=DIRECTION('ref_axis',(1.,0.,0.));
#53460=DIRECTION('center_axis',(0.,0.,1.));
#53461=DIRECTION('ref_axis',(1.,0.,0.));
#53462=DIRECTION('center_axis',(0.,0.,1.));
#53463=DIRECTION('ref_axis',(1.,0.,0.));
#53464=DIRECTION('center_axis',(0.,0.,1.));
#53465=DIRECTION('ref_axis',(1.,0.,0.));
#53466=DIRECTION('center_axis',(0.,0.,1.));
#53467=DIRECTION('ref_axis',(1.,0.,0.));
#53468=DIRECTION('center_axis',(0.,0.,1.));
#53469=DIRECTION('ref_axis',(1.,0.,0.));
#53470=DIRECTION('center_axis',(0.,0.,1.));
#53471=DIRECTION('ref_axis',(1.,0.,0.));
#53472=DIRECTION('center_axis',(0.,0.,1.));
#53473=DIRECTION('ref_axis',(1.,0.,0.));
#53474=DIRECTION('center_axis',(0.,0.,1.));
#53475=DIRECTION('ref_axis',(1.,0.,0.));
#53476=DIRECTION('center_axis',(0.,0.,1.));
#53477=DIRECTION('ref_axis',(1.,0.,0.));
#53478=DIRECTION('center_axis',(0.,0.,1.));
#53479=DIRECTION('ref_axis',(1.,0.,0.));
#53480=DIRECTION('center_axis',(0.,0.,1.));
#53481=DIRECTION('ref_axis',(1.,0.,0.));
#53482=DIRECTION('center_axis',(0.,0.,1.));
#53483=DIRECTION('ref_axis',(1.,0.,0.));
#53484=DIRECTION('center_axis',(0.,0.,1.));
#53485=DIRECTION('ref_axis',(1.,0.,0.));
#53486=DIRECTION('center_axis',(0.,0.,1.));
#53487=DIRECTION('ref_axis',(1.,0.,0.));
#53488=DIRECTION('center_axis',(0.,0.,1.));
#53489=DIRECTION('ref_axis',(1.,0.,0.));
#53490=DIRECTION('center_axis',(0.,0.,1.));
#53491=DIRECTION('ref_axis',(1.,0.,0.));
#53492=DIRECTION('center_axis',(0.,0.,1.));
#53493=DIRECTION('ref_axis',(1.,0.,0.));
#53494=DIRECTION('center_axis',(0.,0.,1.));
#53495=DIRECTION('ref_axis',(1.,0.,0.));
#53496=DIRECTION('center_axis',(0.,0.,1.));
#53497=DIRECTION('ref_axis',(1.,0.,0.));
#53498=DIRECTION('center_axis',(0.,0.,1.));
#53499=DIRECTION('ref_axis',(1.,0.,0.));
#53500=DIRECTION('center_axis',(0.,0.,1.));
#53501=DIRECTION('ref_axis',(1.,0.,0.));
#53502=DIRECTION('center_axis',(0.,0.,1.));
#53503=DIRECTION('ref_axis',(1.,0.,0.));
#53504=DIRECTION('center_axis',(0.,0.,1.));
#53505=DIRECTION('ref_axis',(1.,0.,0.));
#53506=DIRECTION('center_axis',(0.,0.,1.));
#53507=DIRECTION('ref_axis',(1.,0.,0.));
#53508=DIRECTION('center_axis',(0.,0.,1.));
#53509=DIRECTION('ref_axis',(1.,0.,0.));
#53510=DIRECTION('center_axis',(0.,0.,1.));
#53511=DIRECTION('ref_axis',(1.,0.,0.));
#53512=DIRECTION('center_axis',(0.,0.,1.));
#53513=DIRECTION('ref_axis',(1.,0.,0.));
#53514=DIRECTION('center_axis',(0.,0.,1.));
#53515=DIRECTION('ref_axis',(1.,0.,0.));
#53516=DIRECTION('center_axis',(0.,0.,1.));
#53517=DIRECTION('ref_axis',(1.,0.,0.));
#53518=DIRECTION('center_axis',(0.,0.,1.));
#53519=DIRECTION('ref_axis',(1.,0.,0.));
#53520=DIRECTION('center_axis',(0.,0.,1.));
#53521=DIRECTION('ref_axis',(1.,0.,0.));
#53522=DIRECTION('center_axis',(0.,0.,1.));
#53523=DIRECTION('ref_axis',(1.,0.,0.));
#53524=DIRECTION('center_axis',(0.,0.,1.));
#53525=DIRECTION('ref_axis',(1.,0.,0.));
#53526=DIRECTION('center_axis',(0.,0.,1.));
#53527=DIRECTION('ref_axis',(1.,0.,0.));
#53528=DIRECTION('center_axis',(0.,0.,1.));
#53529=DIRECTION('ref_axis',(1.,0.,0.));
#53530=DIRECTION('center_axis',(0.,0.,1.));
#53531=DIRECTION('ref_axis',(1.,0.,0.));
#53532=DIRECTION('center_axis',(0.,0.,1.));
#53533=DIRECTION('ref_axis',(1.,0.,0.));
#53534=DIRECTION('center_axis',(0.,0.,1.));
#53535=DIRECTION('ref_axis',(1.,0.,0.));
#53536=DIRECTION('center_axis',(0.,0.,1.));
#53537=DIRECTION('ref_axis',(1.,0.,0.));
#53538=DIRECTION('center_axis',(0.,0.,1.));
#53539=DIRECTION('ref_axis',(1.,0.,0.));
#53540=DIRECTION('center_axis',(0.,0.,1.));
#53541=DIRECTION('ref_axis',(1.,0.,0.));
#53542=DIRECTION('center_axis',(0.,0.,1.));
#53543=DIRECTION('ref_axis',(1.,0.,0.));
#53544=DIRECTION('center_axis',(0.,0.,1.));
#53545=DIRECTION('ref_axis',(1.,0.,0.));
#53546=DIRECTION('center_axis',(0.,0.,1.));
#53547=DIRECTION('ref_axis',(1.,0.,0.));
#53548=DIRECTION('center_axis',(0.,0.,1.));
#53549=DIRECTION('ref_axis',(1.,0.,0.));
#53550=DIRECTION('center_axis',(0.,0.,1.));
#53551=DIRECTION('ref_axis',(1.,0.,0.));
#53552=DIRECTION('center_axis',(0.,0.,1.));
#53553=DIRECTION('ref_axis',(1.,0.,0.));
#53554=DIRECTION('center_axis',(0.,0.,1.));
#53555=DIRECTION('ref_axis',(1.,0.,0.));
#53556=DIRECTION('center_axis',(0.,0.,1.));
#53557=DIRECTION('ref_axis',(1.,0.,0.));
#53558=DIRECTION('center_axis',(0.,0.,1.));
#53559=DIRECTION('ref_axis',(1.,0.,0.));
#53560=DIRECTION('center_axis',(0.,0.,1.));
#53561=DIRECTION('ref_axis',(1.,0.,0.));
#53562=DIRECTION('center_axis',(0.,0.,1.));
#53563=DIRECTION('ref_axis',(1.,0.,0.));
#53564=DIRECTION('center_axis',(0.,0.,1.));
#53565=DIRECTION('ref_axis',(1.,0.,0.));
#53566=DIRECTION('center_axis',(0.,0.,1.));
#53567=DIRECTION('ref_axis',(1.,0.,0.));
#53568=DIRECTION('center_axis',(0.,0.,1.));
#53569=DIRECTION('ref_axis',(1.,0.,0.));
#53570=DIRECTION('center_axis',(0.,0.,1.));
#53571=DIRECTION('ref_axis',(1.,0.,0.));
#53572=DIRECTION('center_axis',(0.,0.,1.));
#53573=DIRECTION('ref_axis',(1.,0.,0.));
#53574=DIRECTION('center_axis',(0.,0.,1.));
#53575=DIRECTION('ref_axis',(1.,0.,0.));
#53576=DIRECTION('center_axis',(0.,0.,1.));
#53577=DIRECTION('ref_axis',(1.,0.,0.));
#53578=DIRECTION('center_axis',(0.,0.,1.));
#53579=DIRECTION('ref_axis',(1.,0.,0.));
#53580=DIRECTION('center_axis',(0.,0.,1.));
#53581=DIRECTION('ref_axis',(1.,0.,0.));
#53582=DIRECTION('center_axis',(0.,0.,1.));
#53583=DIRECTION('ref_axis',(1.,0.,0.));
#53584=DIRECTION('center_axis',(0.,0.,1.));
#53585=DIRECTION('ref_axis',(1.,0.,0.));
#53586=DIRECTION('center_axis',(0.,0.,1.));
#53587=DIRECTION('ref_axis',(1.,0.,0.));
#53588=DIRECTION('center_axis',(0.,0.,1.));
#53589=DIRECTION('ref_axis',(1.,0.,0.));
#53590=DIRECTION('center_axis',(0.,0.,1.));
#53591=DIRECTION('ref_axis',(1.,0.,0.));
#53592=DIRECTION('center_axis',(0.,0.,1.));
#53593=DIRECTION('ref_axis',(1.,0.,0.));
#53594=DIRECTION('center_axis',(0.,0.,1.));
#53595=DIRECTION('ref_axis',(1.,0.,0.));
#53596=DIRECTION('center_axis',(0.,0.,1.));
#53597=DIRECTION('ref_axis',(1.,0.,0.));
#53598=DIRECTION('center_axis',(0.,0.,1.));
#53599=DIRECTION('ref_axis',(1.,0.,0.));
#53600=DIRECTION('center_axis',(0.,0.,1.));
#53601=DIRECTION('ref_axis',(1.,0.,0.));
#53602=DIRECTION('center_axis',(0.,0.,1.));
#53603=DIRECTION('ref_axis',(1.,0.,0.));
#53604=DIRECTION('center_axis',(0.,0.,1.));
#53605=DIRECTION('ref_axis',(1.,0.,0.));
#53606=DIRECTION('center_axis',(0.,0.,1.));
#53607=DIRECTION('ref_axis',(1.,0.,0.));
#53608=DIRECTION('center_axis',(0.,0.,1.));
#53609=DIRECTION('ref_axis',(1.,0.,0.));
#53610=DIRECTION('center_axis',(0.,0.,1.));
#53611=DIRECTION('ref_axis',(1.,0.,0.));
#53612=DIRECTION('center_axis',(0.,0.,1.));
#53613=DIRECTION('ref_axis',(1.,0.,0.));
#53614=DIRECTION('center_axis',(0.,0.,1.));
#53615=DIRECTION('ref_axis',(1.,0.,0.));
#53616=DIRECTION('center_axis',(0.,0.,1.));
#53617=DIRECTION('ref_axis',(1.,0.,0.));
#53618=DIRECTION('center_axis',(0.,0.,1.));
#53619=DIRECTION('ref_axis',(1.,0.,0.));
#53620=DIRECTION('center_axis',(0.,0.,1.));
#53621=DIRECTION('ref_axis',(1.,0.,0.));
#53622=DIRECTION('center_axis',(0.,0.,1.));
#53623=DIRECTION('ref_axis',(1.,0.,0.));
#53624=DIRECTION('center_axis',(0.,0.,1.));
#53625=DIRECTION('ref_axis',(1.,0.,0.));
#53626=DIRECTION('center_axis',(0.,0.,1.));
#53627=DIRECTION('ref_axis',(1.,0.,0.));
#53628=DIRECTION('center_axis',(0.,0.,1.));
#53629=DIRECTION('ref_axis',(1.,0.,0.));
#53630=DIRECTION('center_axis',(0.,0.,1.));
#53631=DIRECTION('ref_axis',(1.,0.,0.));
#53632=DIRECTION('center_axis',(0.,0.,1.));
#53633=DIRECTION('ref_axis',(1.,0.,0.));
#53634=DIRECTION('center_axis',(0.,0.,1.));
#53635=DIRECTION('ref_axis',(1.,0.,0.));
#53636=DIRECTION('center_axis',(0.,0.,1.));
#53637=DIRECTION('ref_axis',(1.,0.,0.));
#53638=DIRECTION('center_axis',(0.,0.,1.));
#53639=DIRECTION('ref_axis',(1.,0.,0.));
#53640=DIRECTION('center_axis',(0.,0.,1.));
#53641=DIRECTION('ref_axis',(1.,0.,0.));
#53642=DIRECTION('center_axis',(0.,0.,1.));
#53643=DIRECTION('ref_axis',(1.,0.,0.));
#53644=DIRECTION('center_axis',(0.,0.,1.));
#53645=DIRECTION('ref_axis',(1.,0.,0.));
#53646=DIRECTION('center_axis',(0.,0.,1.));
#53647=DIRECTION('ref_axis',(1.,0.,0.));
#53648=DIRECTION('center_axis',(0.,0.,1.));
#53649=DIRECTION('ref_axis',(1.,0.,0.));
#53650=DIRECTION('center_axis',(0.,0.,1.));
#53651=DIRECTION('ref_axis',(1.,0.,0.));
#53652=DIRECTION('center_axis',(0.,0.,1.));
#53653=DIRECTION('ref_axis',(1.,0.,0.));
#53654=DIRECTION('center_axis',(0.,0.,1.));
#53655=DIRECTION('ref_axis',(1.,0.,0.));
#53656=DIRECTION('center_axis',(0.,0.,1.));
#53657=DIRECTION('ref_axis',(1.,0.,0.));
#53658=DIRECTION('center_axis',(0.,0.,1.));
#53659=DIRECTION('ref_axis',(1.,0.,0.));
#53660=DIRECTION('center_axis',(0.,0.,1.));
#53661=DIRECTION('ref_axis',(1.,0.,0.));
#53662=DIRECTION('center_axis',(0.,0.,1.));
#53663=DIRECTION('ref_axis',(1.,0.,0.));
#53664=DIRECTION('center_axis',(0.,0.,1.));
#53665=DIRECTION('ref_axis',(1.,0.,0.));
#53666=DIRECTION('center_axis',(0.,0.,1.));
#53667=DIRECTION('ref_axis',(1.,0.,0.));
#53668=DIRECTION('center_axis',(0.,0.,1.));
#53669=DIRECTION('ref_axis',(1.,0.,0.));
#53670=DIRECTION('',(-1.,0.,0.));
#53671=DIRECTION('center_axis',(0.,0.,1.));
#53672=DIRECTION('ref_axis',(1.,0.,0.));
#53673=DIRECTION('',(1.,0.,0.));
#53674=DIRECTION('center_axis',(0.,0.,1.));
#53675=DIRECTION('ref_axis',(1.,0.,0.));
#53676=DIRECTION('',(0.,1.,0.));
#53677=DIRECTION('center_axis',(0.,0.,1.));
#53678=DIRECTION('ref_axis',(1.,0.,0.));
#53679=DIRECTION('',(0.,-1.,0.));
#53680=DIRECTION('center_axis',(0.,0.,1.));
#53681=DIRECTION('ref_axis',(1.,0.,0.));
#53682=DIRECTION('center_axis',(0.,0.,1.));
#53683=DIRECTION('ref_axis',(1.,0.,0.));
#53684=DIRECTION('center_axis',(0.,0.,1.));
#53685=DIRECTION('ref_axis',(1.,0.,0.));
#53686=DIRECTION('center_axis',(0.,0.,1.));
#53687=DIRECTION('ref_axis',(1.,0.,0.));
#53688=DIRECTION('center_axis',(0.,0.,1.));
#53689=DIRECTION('ref_axis',(1.,0.,0.));
#53690=DIRECTION('center_axis',(0.,0.,1.));
#53691=DIRECTION('ref_axis',(1.,0.,0.));
#53692=DIRECTION('center_axis',(0.,0.,1.));
#53693=DIRECTION('ref_axis',(1.,0.,0.));
#53694=DIRECTION('center_axis',(0.,0.,1.));
#53695=DIRECTION('ref_axis',(1.,0.,0.));
#53696=DIRECTION('center_axis',(0.,0.,1.));
#53697=DIRECTION('ref_axis',(1.,0.,0.));
#53698=DIRECTION('center_axis',(0.,0.,1.));
#53699=DIRECTION('ref_axis',(1.,0.,0.));
#53700=DIRECTION('center_axis',(0.,0.,1.));
#53701=DIRECTION('ref_axis',(1.,0.,0.));
#53702=DIRECTION('center_axis',(0.,0.,1.));
#53703=DIRECTION('ref_axis',(1.,0.,0.));
#53704=DIRECTION('center_axis',(0.,0.,1.));
#53705=DIRECTION('ref_axis',(1.,0.,0.));
#53706=DIRECTION('center_axis',(0.,0.,1.));
#53707=DIRECTION('ref_axis',(1.,0.,0.));
#53708=DIRECTION('center_axis',(0.,0.,1.));
#53709=DIRECTION('ref_axis',(1.,0.,0.));
#53710=DIRECTION('center_axis',(0.,0.,1.));
#53711=DIRECTION('ref_axis',(1.,0.,0.));
#53712=DIRECTION('center_axis',(0.,0.,1.));
#53713=DIRECTION('ref_axis',(1.,0.,0.));
#53714=DIRECTION('center_axis',(0.,0.,1.));
#53715=DIRECTION('ref_axis',(1.,0.,0.));
#53716=DIRECTION('center_axis',(0.,0.,1.));
#53717=DIRECTION('ref_axis',(1.,0.,0.));
#53718=DIRECTION('center_axis',(0.,0.,1.));
#53719=DIRECTION('ref_axis',(1.,0.,0.));
#53720=DIRECTION('center_axis',(0.,0.,1.));
#53721=DIRECTION('ref_axis',(1.,0.,0.));
#53722=DIRECTION('center_axis',(0.,0.,1.));
#53723=DIRECTION('ref_axis',(1.,0.,0.));
#53724=DIRECTION('center_axis',(0.,0.,1.));
#53725=DIRECTION('ref_axis',(1.,0.,0.));
#53726=DIRECTION('center_axis',(0.,0.,1.));
#53727=DIRECTION('ref_axis',(1.,0.,0.));
#53728=DIRECTION('center_axis',(0.,0.,1.));
#53729=DIRECTION('ref_axis',(1.,0.,0.));
#53730=DIRECTION('center_axis',(0.,0.,1.));
#53731=DIRECTION('ref_axis',(1.,0.,0.));
#53732=DIRECTION('center_axis',(0.,0.,1.));
#53733=DIRECTION('ref_axis',(1.,0.,0.));
#53734=DIRECTION('center_axis',(0.,0.,1.));
#53735=DIRECTION('ref_axis',(1.,0.,0.));
#53736=DIRECTION('center_axis',(0.,0.,1.));
#53737=DIRECTION('ref_axis',(1.,0.,0.));
#53738=DIRECTION('center_axis',(0.,0.,1.));
#53739=DIRECTION('ref_axis',(1.,0.,0.));
#53740=DIRECTION('center_axis',(0.,0.,1.));
#53741=DIRECTION('ref_axis',(1.,0.,0.));
#53742=DIRECTION('center_axis',(0.,0.,1.));
#53743=DIRECTION('ref_axis',(1.,0.,0.));
#53744=DIRECTION('center_axis',(0.,0.,1.));
#53745=DIRECTION('ref_axis',(1.,0.,0.));
#53746=DIRECTION('center_axis',(0.,0.,1.));
#53747=DIRECTION('ref_axis',(1.,0.,0.));
#53748=DIRECTION('center_axis',(0.,0.,1.));
#53749=DIRECTION('ref_axis',(1.,0.,0.));
#53750=DIRECTION('center_axis',(0.,0.,1.));
#53751=DIRECTION('ref_axis',(1.,0.,0.));
#53752=DIRECTION('center_axis',(0.,0.,1.));
#53753=DIRECTION('ref_axis',(1.,0.,0.));
#53754=DIRECTION('center_axis',(0.,0.,1.));
#53755=DIRECTION('ref_axis',(1.,0.,0.));
#53756=DIRECTION('center_axis',(0.,0.,1.));
#53757=DIRECTION('ref_axis',(1.,0.,0.));
#53758=DIRECTION('center_axis',(0.,0.,1.));
#53759=DIRECTION('ref_axis',(1.,0.,0.));
#53760=DIRECTION('center_axis',(0.,0.,1.));
#53761=DIRECTION('ref_axis',(1.,0.,0.));
#53762=DIRECTION('center_axis',(0.,0.,1.));
#53763=DIRECTION('ref_axis',(1.,0.,0.));
#53764=DIRECTION('center_axis',(0.,0.,1.));
#53765=DIRECTION('ref_axis',(1.,0.,0.));
#53766=DIRECTION('center_axis',(0.,0.,1.));
#53767=DIRECTION('ref_axis',(1.,0.,0.));
#53768=DIRECTION('center_axis',(0.,0.,1.));
#53769=DIRECTION('ref_axis',(1.,0.,0.));
#53770=DIRECTION('center_axis',(0.,0.,1.));
#53771=DIRECTION('ref_axis',(1.,0.,0.));
#53772=DIRECTION('center_axis',(0.,0.,1.));
#53773=DIRECTION('ref_axis',(1.,0.,0.));
#53774=DIRECTION('center_axis',(0.,0.,1.));
#53775=DIRECTION('ref_axis',(1.,0.,0.));
#53776=DIRECTION('center_axis',(0.,0.,1.));
#53777=DIRECTION('ref_axis',(1.,0.,0.));
#53778=DIRECTION('center_axis',(0.,0.,1.));
#53779=DIRECTION('ref_axis',(1.,0.,0.));
#53780=DIRECTION('center_axis',(0.,0.,1.));
#53781=DIRECTION('ref_axis',(1.,0.,0.));
#53782=DIRECTION('center_axis',(0.,0.,1.));
#53783=DIRECTION('ref_axis',(1.,0.,0.));
#53784=DIRECTION('center_axis',(0.,0.,1.));
#53785=DIRECTION('ref_axis',(1.,0.,0.));
#53786=DIRECTION('center_axis',(0.,0.,1.));
#53787=DIRECTION('ref_axis',(1.,0.,0.));
#53788=DIRECTION('center_axis',(0.,0.,1.));
#53789=DIRECTION('ref_axis',(1.,0.,0.));
#53790=DIRECTION('center_axis',(0.,0.,1.));
#53791=DIRECTION('ref_axis',(1.,0.,0.));
#53792=DIRECTION('center_axis',(0.,0.,1.));
#53793=DIRECTION('ref_axis',(1.,0.,0.));
#53794=DIRECTION('center_axis',(0.,0.,1.));
#53795=DIRECTION('ref_axis',(1.,0.,0.));
#53796=DIRECTION('center_axis',(0.,0.,1.));
#53797=DIRECTION('ref_axis',(1.,0.,0.));
#53798=DIRECTION('center_axis',(0.,0.,1.));
#53799=DIRECTION('ref_axis',(1.,0.,0.));
#53800=DIRECTION('center_axis',(0.,0.,1.));
#53801=DIRECTION('ref_axis',(1.,0.,0.));
#53802=DIRECTION('center_axis',(0.,0.,1.));
#53803=DIRECTION('ref_axis',(1.,0.,0.));
#53804=DIRECTION('center_axis',(0.,0.,1.));
#53805=DIRECTION('ref_axis',(1.,0.,0.));
#53806=DIRECTION('center_axis',(0.,0.,1.));
#53807=DIRECTION('ref_axis',(1.,0.,0.));
#53808=DIRECTION('center_axis',(0.,0.,1.));
#53809=DIRECTION('ref_axis',(1.,0.,0.));
#53810=DIRECTION('center_axis',(0.,0.,1.));
#53811=DIRECTION('ref_axis',(1.,0.,0.));
#53812=DIRECTION('center_axis',(0.,0.,1.));
#53813=DIRECTION('ref_axis',(1.,0.,0.));
#53814=DIRECTION('center_axis',(0.,0.,1.));
#53815=DIRECTION('ref_axis',(1.,0.,0.));
#53816=DIRECTION('center_axis',(0.,0.,1.));
#53817=DIRECTION('ref_axis',(1.,0.,0.));
#53818=DIRECTION('center_axis',(0.,0.,1.));
#53819=DIRECTION('ref_axis',(1.,0.,0.));
#53820=DIRECTION('center_axis',(0.,0.,1.));
#53821=DIRECTION('ref_axis',(1.,0.,0.));
#53822=DIRECTION('center_axis',(0.,0.,1.));
#53823=DIRECTION('ref_axis',(1.,0.,0.));
#53824=DIRECTION('center_axis',(0.,0.,1.));
#53825=DIRECTION('ref_axis',(1.,0.,0.));
#53826=DIRECTION('center_axis',(0.,0.,1.));
#53827=DIRECTION('ref_axis',(1.,0.,0.));
#53828=DIRECTION('center_axis',(0.,0.,1.));
#53829=DIRECTION('ref_axis',(1.,0.,0.));
#53830=DIRECTION('center_axis',(0.,0.,1.));
#53831=DIRECTION('ref_axis',(1.,0.,0.));
#53832=DIRECTION('center_axis',(0.,0.,1.));
#53833=DIRECTION('ref_axis',(1.,0.,0.));
#53834=DIRECTION('center_axis',(0.,0.,1.));
#53835=DIRECTION('ref_axis',(1.,0.,0.));
#53836=DIRECTION('center_axis',(0.,0.,1.));
#53837=DIRECTION('ref_axis',(1.,0.,0.));
#53838=DIRECTION('center_axis',(0.,0.,1.));
#53839=DIRECTION('ref_axis',(1.,0.,0.));
#53840=DIRECTION('center_axis',(0.,0.,1.));
#53841=DIRECTION('ref_axis',(1.,0.,0.));
#53842=DIRECTION('center_axis',(0.,0.,1.));
#53843=DIRECTION('ref_axis',(1.,0.,0.));
#53844=DIRECTION('center_axis',(0.,0.,1.));
#53845=DIRECTION('ref_axis',(1.,0.,0.));
#53846=DIRECTION('center_axis',(0.,0.,1.));
#53847=DIRECTION('ref_axis',(1.,0.,0.));
#53848=DIRECTION('center_axis',(0.,0.,1.));
#53849=DIRECTION('ref_axis',(1.,0.,0.));
#53850=DIRECTION('center_axis',(0.,0.,1.));
#53851=DIRECTION('ref_axis',(1.,0.,0.));
#53852=DIRECTION('center_axis',(0.,0.,1.));
#53853=DIRECTION('ref_axis',(1.,0.,0.));
#53854=DIRECTION('center_axis',(0.,0.,1.));
#53855=DIRECTION('ref_axis',(1.,0.,0.));
#53856=DIRECTION('center_axis',(0.,0.,1.));
#53857=DIRECTION('ref_axis',(1.,0.,0.));
#53858=DIRECTION('center_axis',(0.,0.,1.));
#53859=DIRECTION('ref_axis',(1.,0.,0.));
#53860=DIRECTION('center_axis',(0.,0.,1.));
#53861=DIRECTION('ref_axis',(1.,0.,0.));
#53862=DIRECTION('center_axis',(0.,0.,1.));
#53863=DIRECTION('ref_axis',(1.,0.,0.));
#53864=DIRECTION('center_axis',(0.,0.,1.));
#53865=DIRECTION('ref_axis',(1.,0.,0.));
#53866=DIRECTION('center_axis',(0.,0.,1.));
#53867=DIRECTION('ref_axis',(1.,0.,0.));
#53868=DIRECTION('center_axis',(0.,0.,1.));
#53869=DIRECTION('ref_axis',(1.,0.,0.));
#53870=DIRECTION('center_axis',(0.,0.,1.));
#53871=DIRECTION('ref_axis',(1.,0.,0.));
#53872=DIRECTION('center_axis',(0.,0.,1.));
#53873=DIRECTION('ref_axis',(1.,0.,0.));
#53874=DIRECTION('center_axis',(0.,0.,1.));
#53875=DIRECTION('ref_axis',(1.,0.,0.));
#53876=DIRECTION('center_axis',(0.,0.,1.));
#53877=DIRECTION('ref_axis',(1.,0.,0.));
#53878=DIRECTION('center_axis',(0.,0.,1.));
#53879=DIRECTION('ref_axis',(1.,0.,0.));
#53880=DIRECTION('center_axis',(0.,0.,1.));
#53881=DIRECTION('ref_axis',(1.,0.,0.));
#53882=DIRECTION('center_axis',(0.,0.,1.));
#53883=DIRECTION('ref_axis',(1.,0.,0.));
#53884=DIRECTION('center_axis',(0.,0.,1.));
#53885=DIRECTION('ref_axis',(1.,0.,0.));
#53886=DIRECTION('center_axis',(0.,0.,1.));
#53887=DIRECTION('ref_axis',(1.,0.,0.));
#53888=DIRECTION('center_axis',(0.,0.,1.));
#53889=DIRECTION('ref_axis',(1.,0.,0.));
#53890=DIRECTION('center_axis',(0.,0.,1.));
#53891=DIRECTION('ref_axis',(1.,0.,0.));
#53892=DIRECTION('center_axis',(0.,0.,1.));
#53893=DIRECTION('ref_axis',(1.,0.,0.));
#53894=DIRECTION('',(1.,0.,0.));
#53895=DIRECTION('center_axis',(0.,0.,1.));
#53896=DIRECTION('ref_axis',(1.,0.,0.));
#53897=DIRECTION('center_axis',(0.,0.,1.));
#53898=DIRECTION('ref_axis',(1.,0.,0.));
#53899=DIRECTION('center_axis',(0.,0.,1.));
#53900=DIRECTION('ref_axis',(1.,0.,0.));
#53901=DIRECTION('center_axis',(0.,0.,1.));
#53902=DIRECTION('ref_axis',(1.,0.,0.));
#53903=DIRECTION('center_axis',(0.,0.,1.));
#53904=DIRECTION('ref_axis',(1.,0.,0.));
#53905=DIRECTION('center_axis',(0.,0.,1.));
#53906=DIRECTION('ref_axis',(1.,0.,0.));
#53907=DIRECTION('center_axis',(0.,0.,1.));
#53908=DIRECTION('ref_axis',(1.,0.,0.));
#53909=DIRECTION('center_axis',(0.,0.,1.));
#53910=DIRECTION('ref_axis',(1.,0.,0.));
#53911=DIRECTION('center_axis',(0.,0.,1.));
#53912=DIRECTION('ref_axis',(1.,0.,0.));
#53913=DIRECTION('center_axis',(0.,0.,1.));
#53914=DIRECTION('ref_axis',(1.,0.,0.));
#53915=DIRECTION('center_axis',(0.,0.,1.));
#53916=DIRECTION('ref_axis',(1.,0.,0.));
#53917=DIRECTION('center_axis',(0.,0.,1.));
#53918=DIRECTION('ref_axis',(1.,0.,0.));
#53919=DIRECTION('center_axis',(0.,0.,1.));
#53920=DIRECTION('ref_axis',(1.,0.,0.));
#53921=DIRECTION('center_axis',(0.,0.,1.));
#53922=DIRECTION('ref_axis',(1.,0.,0.));
#53923=DIRECTION('center_axis',(0.,0.,1.));
#53924=DIRECTION('ref_axis',(1.,0.,0.));
#53925=DIRECTION('center_axis',(0.,0.,1.));
#53926=DIRECTION('ref_axis',(1.,0.,0.));
#53927=DIRECTION('center_axis',(0.,0.,1.));
#53928=DIRECTION('ref_axis',(1.,0.,0.));
#53929=DIRECTION('center_axis',(0.,0.,1.));
#53930=DIRECTION('ref_axis',(1.,0.,0.));
#53931=DIRECTION('center_axis',(0.,0.,1.));
#53932=DIRECTION('ref_axis',(1.,0.,0.));
#53933=DIRECTION('center_axis',(0.,0.,1.));
#53934=DIRECTION('ref_axis',(1.,0.,0.));
#53935=DIRECTION('center_axis',(0.,0.,1.));
#53936=DIRECTION('ref_axis',(1.,0.,0.));
#53937=DIRECTION('center_axis',(0.,0.,1.));
#53938=DIRECTION('ref_axis',(1.,0.,0.));
#53939=DIRECTION('center_axis',(0.,0.,1.));
#53940=DIRECTION('ref_axis',(1.,0.,0.));
#53941=DIRECTION('center_axis',(0.,0.,1.));
#53942=DIRECTION('ref_axis',(1.,0.,0.));
#53943=DIRECTION('center_axis',(0.,0.,1.));
#53944=DIRECTION('ref_axis',(1.,0.,0.));
#53945=DIRECTION('center_axis',(0.,0.,1.));
#53946=DIRECTION('ref_axis',(1.,0.,0.));
#53947=DIRECTION('center_axis',(0.,0.,1.));
#53948=DIRECTION('ref_axis',(1.,0.,0.));
#53949=DIRECTION('center_axis',(0.,0.,1.));
#53950=DIRECTION('ref_axis',(1.,0.,0.));
#53951=DIRECTION('center_axis',(0.,0.,1.));
#53952=DIRECTION('ref_axis',(1.,0.,0.));
#53953=DIRECTION('center_axis',(0.,0.,1.));
#53954=DIRECTION('ref_axis',(1.,0.,0.));
#53955=DIRECTION('center_axis',(0.,0.,1.));
#53956=DIRECTION('ref_axis',(1.,0.,0.));
#53957=DIRECTION('center_axis',(0.,0.,1.));
#53958=DIRECTION('ref_axis',(1.,0.,0.));
#53959=DIRECTION('center_axis',(0.,0.,1.));
#53960=DIRECTION('ref_axis',(1.,0.,0.));
#53961=DIRECTION('center_axis',(0.,0.,1.));
#53962=DIRECTION('ref_axis',(1.,0.,0.));
#53963=DIRECTION('center_axis',(0.,0.,1.));
#53964=DIRECTION('ref_axis',(1.,0.,0.));
#53965=DIRECTION('center_axis',(0.,0.,1.));
#53966=DIRECTION('ref_axis',(1.,0.,0.));
#53967=DIRECTION('center_axis',(0.,0.,1.));
#53968=DIRECTION('ref_axis',(1.,0.,0.));
#53969=DIRECTION('center_axis',(0.,0.,1.));
#53970=DIRECTION('ref_axis',(1.,0.,0.));
#53971=DIRECTION('center_axis',(0.,0.,1.));
#53972=DIRECTION('ref_axis',(1.,0.,0.));
#53973=DIRECTION('center_axis',(0.,0.,1.));
#53974=DIRECTION('ref_axis',(1.,0.,0.));
#53975=DIRECTION('center_axis',(0.,0.,1.));
#53976=DIRECTION('ref_axis',(1.,0.,0.));
#53977=DIRECTION('center_axis',(0.,0.,1.));
#53978=DIRECTION('ref_axis',(1.,0.,0.));
#53979=DIRECTION('center_axis',(0.,0.,1.));
#53980=DIRECTION('ref_axis',(1.,0.,0.));
#53981=DIRECTION('center_axis',(0.,0.,1.));
#53982=DIRECTION('ref_axis',(1.,0.,0.));
#53983=DIRECTION('center_axis',(0.,0.,1.));
#53984=DIRECTION('ref_axis',(1.,0.,0.));
#53985=DIRECTION('center_axis',(0.,0.,1.));
#53986=DIRECTION('ref_axis',(1.,0.,0.));
#53987=DIRECTION('center_axis',(0.,0.,1.));
#53988=DIRECTION('ref_axis',(1.,0.,0.));
#53989=DIRECTION('center_axis',(0.,0.,1.));
#53990=DIRECTION('ref_axis',(1.,0.,0.));
#53991=DIRECTION('center_axis',(0.,0.,1.));
#53992=DIRECTION('ref_axis',(1.,0.,0.));
#53993=DIRECTION('center_axis',(0.,0.,1.));
#53994=DIRECTION('ref_axis',(1.,0.,0.));
#53995=DIRECTION('center_axis',(0.,0.,1.));
#53996=DIRECTION('ref_axis',(1.,0.,0.));
#53997=DIRECTION('center_axis',(0.,0.,1.));
#53998=DIRECTION('ref_axis',(1.,0.,0.));
#53999=DIRECTION('center_axis',(0.,0.,1.));
#54000=DIRECTION('ref_axis',(1.,0.,0.));
#54001=DIRECTION('center_axis',(0.,0.,1.));
#54002=DIRECTION('ref_axis',(1.,0.,0.));
#54003=DIRECTION('center_axis',(0.,0.,1.));
#54004=DIRECTION('ref_axis',(1.,0.,0.));
#54005=DIRECTION('center_axis',(0.,0.,1.));
#54006=DIRECTION('ref_axis',(1.,0.,0.));
#54007=DIRECTION('center_axis',(0.,0.,1.));
#54008=DIRECTION('ref_axis',(1.,0.,0.));
#54009=DIRECTION('center_axis',(0.,0.,1.));
#54010=DIRECTION('ref_axis',(1.,0.,0.));
#54011=DIRECTION('center_axis',(0.,0.,1.));
#54012=DIRECTION('ref_axis',(1.,0.,0.));
#54013=DIRECTION('center_axis',(0.,0.,1.));
#54014=DIRECTION('ref_axis',(1.,0.,0.));
#54015=DIRECTION('center_axis',(0.,0.,1.));
#54016=DIRECTION('ref_axis',(1.,0.,0.));
#54017=DIRECTION('center_axis',(0.,0.,1.));
#54018=DIRECTION('ref_axis',(1.,0.,0.));
#54019=DIRECTION('center_axis',(0.,0.,1.));
#54020=DIRECTION('ref_axis',(1.,0.,0.));
#54021=DIRECTION('center_axis',(0.,0.,1.));
#54022=DIRECTION('ref_axis',(1.,0.,0.));
#54023=DIRECTION('center_axis',(0.,0.,1.));
#54024=DIRECTION('ref_axis',(1.,0.,0.));
#54025=DIRECTION('center_axis',(0.,0.,1.));
#54026=DIRECTION('ref_axis',(1.,0.,0.));
#54027=DIRECTION('center_axis',(0.,0.,1.));
#54028=DIRECTION('ref_axis',(1.,0.,0.));
#54029=DIRECTION('center_axis',(0.,0.,1.));
#54030=DIRECTION('ref_axis',(1.,0.,0.));
#54031=DIRECTION('center_axis',(0.,0.,1.));
#54032=DIRECTION('ref_axis',(1.,0.,0.));
#54033=DIRECTION('center_axis',(0.,0.,1.));
#54034=DIRECTION('ref_axis',(1.,0.,0.));
#54035=DIRECTION('center_axis',(0.,0.,1.));
#54036=DIRECTION('ref_axis',(1.,0.,0.));
#54037=DIRECTION('center_axis',(0.,0.,1.));
#54038=DIRECTION('ref_axis',(1.,0.,0.));
#54039=DIRECTION('center_axis',(0.,0.,1.));
#54040=DIRECTION('ref_axis',(1.,0.,0.));
#54041=DIRECTION('center_axis',(0.,0.,1.));
#54042=DIRECTION('ref_axis',(1.,0.,0.));
#54043=DIRECTION('center_axis',(0.,0.,1.));
#54044=DIRECTION('ref_axis',(1.,0.,0.));
#54045=DIRECTION('center_axis',(0.,0.,1.));
#54046=DIRECTION('ref_axis',(1.,0.,0.));
#54047=DIRECTION('center_axis',(0.,0.,1.));
#54048=DIRECTION('ref_axis',(1.,0.,0.));
#54049=DIRECTION('center_axis',(0.,0.,1.));
#54050=DIRECTION('ref_axis',(1.,0.,0.));
#54051=DIRECTION('center_axis',(0.,0.,1.));
#54052=DIRECTION('ref_axis',(1.,0.,0.));
#54053=DIRECTION('center_axis',(0.,0.,1.));
#54054=DIRECTION('ref_axis',(1.,0.,0.));
#54055=DIRECTION('center_axis',(0.,0.,1.));
#54056=DIRECTION('ref_axis',(1.,0.,0.));
#54057=DIRECTION('center_axis',(0.,0.,1.));
#54058=DIRECTION('ref_axis',(1.,0.,0.));
#54059=DIRECTION('center_axis',(0.,0.,1.));
#54060=DIRECTION('ref_axis',(1.,0.,0.));
#54061=DIRECTION('center_axis',(0.,0.,1.));
#54062=DIRECTION('ref_axis',(1.,0.,0.));
#54063=DIRECTION('center_axis',(0.,0.,1.));
#54064=DIRECTION('ref_axis',(1.,0.,0.));
#54065=DIRECTION('center_axis',(0.,0.,1.));
#54066=DIRECTION('ref_axis',(1.,0.,0.));
#54067=DIRECTION('center_axis',(0.,0.,1.));
#54068=DIRECTION('ref_axis',(1.,0.,0.));
#54069=DIRECTION('center_axis',(0.,0.,1.));
#54070=DIRECTION('ref_axis',(1.,0.,0.));
#54071=DIRECTION('center_axis',(0.,0.,1.));
#54072=DIRECTION('ref_axis',(1.,0.,0.));
#54073=DIRECTION('center_axis',(0.,0.,1.));
#54074=DIRECTION('ref_axis',(1.,0.,0.));
#54075=DIRECTION('center_axis',(0.,0.,1.));
#54076=DIRECTION('ref_axis',(1.,0.,0.));
#54077=DIRECTION('center_axis',(0.,0.,1.));
#54078=DIRECTION('ref_axis',(1.,0.,0.));
#54079=DIRECTION('center_axis',(0.,0.,1.));
#54080=DIRECTION('ref_axis',(1.,0.,0.));
#54081=DIRECTION('center_axis',(0.,0.,1.));
#54082=DIRECTION('ref_axis',(1.,0.,0.));
#54083=DIRECTION('center_axis',(0.,0.,1.));
#54084=DIRECTION('ref_axis',(1.,0.,0.));
#54085=DIRECTION('center_axis',(0.,0.,1.));
#54086=DIRECTION('ref_axis',(1.,0.,0.));
#54087=DIRECTION('center_axis',(0.,0.,1.));
#54088=DIRECTION('ref_axis',(1.,0.,0.));
#54089=DIRECTION('center_axis',(0.,0.,1.));
#54090=DIRECTION('ref_axis',(1.,0.,0.));
#54091=DIRECTION('center_axis',(0.,0.,1.));
#54092=DIRECTION('ref_axis',(1.,0.,0.));
#54093=DIRECTION('center_axis',(0.,0.,1.));
#54094=DIRECTION('ref_axis',(1.,0.,0.));
#54095=DIRECTION('center_axis',(0.,0.,1.));
#54096=DIRECTION('ref_axis',(1.,0.,0.));
#54097=DIRECTION('center_axis',(0.,0.,1.));
#54098=DIRECTION('ref_axis',(1.,0.,0.));
#54099=DIRECTION('center_axis',(0.,0.,1.));
#54100=DIRECTION('ref_axis',(1.,0.,0.));
#54101=DIRECTION('center_axis',(0.,0.,1.));
#54102=DIRECTION('ref_axis',(1.,0.,0.));
#54103=DIRECTION('center_axis',(0.,0.,1.));
#54104=DIRECTION('ref_axis',(1.,0.,0.));
#54105=DIRECTION('center_axis',(0.,0.,1.));
#54106=DIRECTION('ref_axis',(1.,0.,0.));
#54107=DIRECTION('center_axis',(0.,0.,1.));
#54108=DIRECTION('ref_axis',(1.,0.,0.));
#54109=DIRECTION('center_axis',(0.,0.,1.));
#54110=DIRECTION('ref_axis',(1.,0.,0.));
#54111=DIRECTION('center_axis',(0.,0.,1.));
#54112=DIRECTION('ref_axis',(1.,0.,0.));
#54113=DIRECTION('center_axis',(0.,0.,1.));
#54114=DIRECTION('ref_axis',(1.,0.,0.));
#54115=DIRECTION('center_axis',(0.,0.,1.));
#54116=DIRECTION('ref_axis',(1.,0.,0.));
#54117=DIRECTION('center_axis',(0.,0.,1.));
#54118=DIRECTION('ref_axis',(1.,0.,0.));
#54119=DIRECTION('center_axis',(0.,0.,1.));
#54120=DIRECTION('ref_axis',(1.,0.,0.));
#54121=DIRECTION('center_axis',(0.,0.,1.));
#54122=DIRECTION('ref_axis',(1.,0.,0.));
#54123=DIRECTION('center_axis',(0.,0.,1.));
#54124=DIRECTION('ref_axis',(1.,0.,0.));
#54125=DIRECTION('center_axis',(0.,0.,1.));
#54126=DIRECTION('ref_axis',(1.,0.,0.));
#54127=DIRECTION('center_axis',(0.,0.,1.));
#54128=DIRECTION('ref_axis',(1.,0.,0.));
#54129=DIRECTION('center_axis',(0.,0.,1.));
#54130=DIRECTION('ref_axis',(1.,0.,0.));
#54131=DIRECTION('center_axis',(0.,0.,1.));
#54132=DIRECTION('ref_axis',(1.,0.,0.));
#54133=DIRECTION('center_axis',(0.,0.,1.));
#54134=DIRECTION('ref_axis',(1.,0.,0.));
#54135=DIRECTION('center_axis',(0.,0.,1.));
#54136=DIRECTION('ref_axis',(1.,0.,0.));
#54137=DIRECTION('center_axis',(0.,0.,1.));
#54138=DIRECTION('ref_axis',(1.,0.,0.));
#54139=DIRECTION('center_axis',(0.,0.,1.));
#54140=DIRECTION('ref_axis',(1.,0.,0.));
#54141=DIRECTION('center_axis',(0.,0.,1.));
#54142=DIRECTION('ref_axis',(1.,0.,0.));
#54143=DIRECTION('center_axis',(0.,0.,1.));
#54144=DIRECTION('ref_axis',(1.,0.,0.));
#54145=DIRECTION('center_axis',(0.,0.,1.));
#54146=DIRECTION('ref_axis',(1.,0.,0.));
#54147=DIRECTION('center_axis',(0.,0.,1.));
#54148=DIRECTION('ref_axis',(1.,0.,0.));
#54149=DIRECTION('center_axis',(0.,0.,1.));
#54150=DIRECTION('ref_axis',(1.,0.,0.));
#54151=DIRECTION('center_axis',(0.,0.,1.));
#54152=DIRECTION('ref_axis',(1.,0.,0.));
#54153=DIRECTION('center_axis',(0.,0.,1.));
#54154=DIRECTION('ref_axis',(1.,0.,0.));
#54155=DIRECTION('center_axis',(0.,0.,1.));
#54156=DIRECTION('ref_axis',(1.,0.,0.));
#54157=DIRECTION('',(0.,-1.,0.));
#54158=DIRECTION('center_axis',(0.,0.,1.));
#54159=DIRECTION('ref_axis',(1.,0.,0.));
#54160=DIRECTION('',(0.,1.,0.));
#54161=DIRECTION('center_axis',(0.,0.,1.));
#54162=DIRECTION('ref_axis',(1.,0.,0.));
#54163=DIRECTION('center_axis',(0.,0.,1.));
#54164=DIRECTION('ref_axis',(1.,0.,0.));
#54165=DIRECTION('center_axis',(0.,0.,1.));
#54166=DIRECTION('ref_axis',(1.,0.,0.));
#54167=DIRECTION('center_axis',(0.,0.,1.));
#54168=DIRECTION('ref_axis',(1.,0.,0.));
#54169=DIRECTION('center_axis',(0.,0.,1.));
#54170=DIRECTION('ref_axis',(1.,0.,0.));
#54171=DIRECTION('center_axis',(0.,0.,1.));
#54172=DIRECTION('ref_axis',(1.,0.,0.));
#54173=DIRECTION('center_axis',(0.,0.,1.));
#54174=DIRECTION('ref_axis',(1.,0.,0.));
#54175=DIRECTION('center_axis',(0.,0.,1.));
#54176=DIRECTION('ref_axis',(1.,0.,0.));
#54177=DIRECTION('center_axis',(0.,0.,1.));
#54178=DIRECTION('ref_axis',(1.,0.,0.));
#54179=DIRECTION('center_axis',(0.,0.,1.));
#54180=DIRECTION('ref_axis',(1.,0.,0.));
#54181=DIRECTION('center_axis',(0.,0.,1.));
#54182=DIRECTION('ref_axis',(1.,0.,0.));
#54183=DIRECTION('center_axis',(0.,0.,1.));
#54184=DIRECTION('ref_axis',(1.,0.,0.));
#54185=DIRECTION('center_axis',(0.,0.,1.));
#54186=DIRECTION('ref_axis',(1.,0.,0.));
#54187=DIRECTION('center_axis',(0.,0.,1.));
#54188=DIRECTION('ref_axis',(1.,0.,0.));
#54189=DIRECTION('center_axis',(0.,0.,1.));
#54190=DIRECTION('ref_axis',(1.,0.,0.));
#54191=DIRECTION('center_axis',(0.,0.,1.));
#54192=DIRECTION('ref_axis',(1.,0.,0.));
#54193=DIRECTION('center_axis',(0.,0.,1.));
#54194=DIRECTION('ref_axis',(1.,0.,0.));
#54195=DIRECTION('center_axis',(0.,0.,1.));
#54196=DIRECTION('ref_axis',(1.,0.,0.));
#54197=DIRECTION('center_axis',(0.,0.,1.));
#54198=DIRECTION('ref_axis',(1.,0.,0.));
#54199=DIRECTION('center_axis',(0.,0.,1.));
#54200=DIRECTION('ref_axis',(1.,0.,0.));
#54201=DIRECTION('center_axis',(0.,0.,1.));
#54202=DIRECTION('ref_axis',(1.,0.,0.));
#54203=DIRECTION('center_axis',(0.,0.,1.));
#54204=DIRECTION('ref_axis',(1.,0.,0.));
#54205=DIRECTION('center_axis',(0.,0.,1.));
#54206=DIRECTION('ref_axis',(1.,0.,0.));
#54207=DIRECTION('center_axis',(0.,0.,1.));
#54208=DIRECTION('ref_axis',(1.,0.,0.));
#54209=DIRECTION('center_axis',(0.,0.,1.));
#54210=DIRECTION('ref_axis',(1.,0.,0.));
#54211=DIRECTION('center_axis',(0.,0.,1.));
#54212=DIRECTION('ref_axis',(1.,0.,0.));
#54213=DIRECTION('center_axis',(0.,0.,1.));
#54214=DIRECTION('ref_axis',(1.,0.,0.));
#54215=DIRECTION('center_axis',(0.,0.,1.));
#54216=DIRECTION('ref_axis',(1.,0.,0.));
#54217=DIRECTION('center_axis',(0.,0.,1.));
#54218=DIRECTION('ref_axis',(1.,0.,0.));
#54219=DIRECTION('center_axis',(0.,0.,1.));
#54220=DIRECTION('ref_axis',(1.,0.,0.));
#54221=DIRECTION('center_axis',(0.,0.,1.));
#54222=DIRECTION('ref_axis',(1.,0.,0.));
#54223=DIRECTION('center_axis',(0.,0.,1.));
#54224=DIRECTION('ref_axis',(1.,0.,0.));
#54225=DIRECTION('center_axis',(0.,0.,1.));
#54226=DIRECTION('ref_axis',(1.,0.,0.));
#54227=DIRECTION('center_axis',(0.,0.,1.));
#54228=DIRECTION('ref_axis',(1.,0.,0.));
#54229=DIRECTION('center_axis',(0.,0.,1.));
#54230=DIRECTION('ref_axis',(1.,0.,0.));
#54231=DIRECTION('center_axis',(0.,0.,1.));
#54232=DIRECTION('ref_axis',(1.,0.,0.));
#54233=DIRECTION('center_axis',(0.,0.,1.));
#54234=DIRECTION('ref_axis',(1.,0.,0.));
#54235=DIRECTION('center_axis',(0.,0.,1.));
#54236=DIRECTION('ref_axis',(1.,0.,0.));
#54237=DIRECTION('center_axis',(0.,0.,1.));
#54238=DIRECTION('ref_axis',(1.,0.,0.));
#54239=DIRECTION('center_axis',(0.,0.,1.));
#54240=DIRECTION('ref_axis',(1.,0.,0.));
#54241=DIRECTION('center_axis',(0.,0.,1.));
#54242=DIRECTION('ref_axis',(1.,0.,0.));
#54243=DIRECTION('center_axis',(0.,0.,1.));
#54244=DIRECTION('ref_axis',(1.,0.,0.));
#54245=DIRECTION('center_axis',(0.,0.,1.));
#54246=DIRECTION('ref_axis',(1.,0.,0.));
#54247=DIRECTION('center_axis',(0.,0.,1.));
#54248=DIRECTION('ref_axis',(1.,0.,0.));
#54249=DIRECTION('center_axis',(0.,0.,1.));
#54250=DIRECTION('ref_axis',(1.,0.,0.));
#54251=DIRECTION('center_axis',(0.,0.,1.));
#54252=DIRECTION('ref_axis',(1.,0.,0.));
#54253=DIRECTION('center_axis',(0.,0.,1.));
#54254=DIRECTION('ref_axis',(1.,0.,0.));
#54255=DIRECTION('center_axis',(0.,0.,1.));
#54256=DIRECTION('ref_axis',(1.,0.,0.));
#54257=DIRECTION('center_axis',(0.,0.,1.));
#54258=DIRECTION('ref_axis',(1.,0.,0.));
#54259=DIRECTION('center_axis',(0.,0.,1.));
#54260=DIRECTION('ref_axis',(1.,0.,0.));
#54261=DIRECTION('center_axis',(0.,0.,1.));
#54262=DIRECTION('ref_axis',(1.,0.,0.));
#54263=DIRECTION('center_axis',(0.,0.,1.));
#54264=DIRECTION('ref_axis',(1.,0.,0.));
#54265=DIRECTION('center_axis',(0.,0.,1.));
#54266=DIRECTION('ref_axis',(1.,0.,0.));
#54267=DIRECTION('center_axis',(0.,0.,1.));
#54268=DIRECTION('ref_axis',(1.,0.,0.));
#54269=DIRECTION('center_axis',(0.,0.,1.));
#54270=DIRECTION('ref_axis',(1.,0.,0.));
#54271=DIRECTION('center_axis',(0.,0.,1.));
#54272=DIRECTION('ref_axis',(1.,0.,0.));
#54273=DIRECTION('center_axis',(0.,0.,1.));
#54274=DIRECTION('ref_axis',(1.,0.,0.));
#54275=DIRECTION('center_axis',(0.,0.,1.));
#54276=DIRECTION('ref_axis',(1.,0.,0.));
#54277=DIRECTION('center_axis',(0.,0.,1.));
#54278=DIRECTION('ref_axis',(1.,0.,0.));
#54279=DIRECTION('center_axis',(0.,0.,1.));
#54280=DIRECTION('ref_axis',(1.,0.,0.));
#54281=DIRECTION('center_axis',(0.,0.,1.));
#54282=DIRECTION('ref_axis',(1.,0.,0.));
#54283=DIRECTION('',(0.,-1.,0.));
#54284=DIRECTION('center_axis',(0.,0.,1.));
#54285=DIRECTION('ref_axis',(1.,0.,0.));
#54286=DIRECTION('',(0.,1.,0.));
#54287=DIRECTION('center_axis',(0.,0.,1.));
#54288=DIRECTION('ref_axis',(1.,0.,0.));
#54289=DIRECTION('center_axis',(0.,0.,1.));
#54290=DIRECTION('ref_axis',(1.,0.,0.));
#54291=DIRECTION('center_axis',(0.,0.,1.));
#54292=DIRECTION('ref_axis',(1.,0.,0.));
#54293=DIRECTION('center_axis',(0.,0.,1.));
#54294=DIRECTION('ref_axis',(1.,0.,0.));
#54295=DIRECTION('center_axis',(0.,0.,1.));
#54296=DIRECTION('ref_axis',(1.,0.,0.));
#54297=DIRECTION('center_axis',(0.,0.,1.));
#54298=DIRECTION('ref_axis',(1.,0.,0.));
#54299=DIRECTION('center_axis',(0.,0.,1.));
#54300=DIRECTION('ref_axis',(1.,0.,0.));
#54301=DIRECTION('center_axis',(0.,0.,1.));
#54302=DIRECTION('ref_axis',(1.,0.,0.));
#54303=DIRECTION('center_axis',(0.,0.,1.));
#54304=DIRECTION('ref_axis',(1.,0.,0.));
#54305=DIRECTION('center_axis',(0.,0.,1.));
#54306=DIRECTION('ref_axis',(1.,0.,0.));
#54307=DIRECTION('center_axis',(0.,0.,1.));
#54308=DIRECTION('ref_axis',(1.,0.,0.));
#54309=DIRECTION('center_axis',(0.,0.,1.));
#54310=DIRECTION('ref_axis',(1.,0.,0.));
#54311=DIRECTION('center_axis',(0.,0.,1.));
#54312=DIRECTION('ref_axis',(1.,0.,0.));
#54313=DIRECTION('center_axis',(0.,0.,1.));
#54314=DIRECTION('ref_axis',(1.,0.,0.));
#54315=DIRECTION('center_axis',(0.,0.,1.));
#54316=DIRECTION('ref_axis',(1.,0.,0.));
#54317=DIRECTION('center_axis',(0.,0.,1.));
#54318=DIRECTION('ref_axis',(1.,0.,0.));
#54319=DIRECTION('center_axis',(0.,0.,1.));
#54320=DIRECTION('ref_axis',(1.,0.,0.));
#54321=DIRECTION('center_axis',(0.,0.,1.));
#54322=DIRECTION('ref_axis',(1.,0.,0.));
#54323=DIRECTION('center_axis',(0.,0.,1.));
#54324=DIRECTION('ref_axis',(1.,0.,0.));
#54325=DIRECTION('center_axis',(0.,0.,1.));
#54326=DIRECTION('ref_axis',(1.,0.,0.));
#54327=DIRECTION('center_axis',(0.,0.,1.));
#54328=DIRECTION('ref_axis',(1.,0.,0.));
#54329=DIRECTION('center_axis',(0.,0.,1.));
#54330=DIRECTION('ref_axis',(1.,0.,0.));
#54331=DIRECTION('center_axis',(0.,0.,1.));
#54332=DIRECTION('ref_axis',(1.,0.,0.));
#54333=DIRECTION('center_axis',(0.,0.,1.));
#54334=DIRECTION('ref_axis',(1.,0.,0.));
#54335=DIRECTION('center_axis',(0.,0.,1.));
#54336=DIRECTION('ref_axis',(1.,0.,0.));
#54337=DIRECTION('center_axis',(0.,0.,1.));
#54338=DIRECTION('ref_axis',(1.,0.,0.));
#54339=DIRECTION('center_axis',(0.,0.,1.));
#54340=DIRECTION('ref_axis',(1.,0.,0.));
#54341=DIRECTION('center_axis',(0.,0.,1.));
#54342=DIRECTION('ref_axis',(1.,0.,0.));
#54343=DIRECTION('center_axis',(0.,0.,1.));
#54344=DIRECTION('ref_axis',(1.,0.,0.));
#54345=DIRECTION('center_axis',(0.,0.,1.));
#54346=DIRECTION('ref_axis',(1.,0.,0.));
#54347=DIRECTION('center_axis',(0.,0.,1.));
#54348=DIRECTION('ref_axis',(1.,0.,0.));
#54349=DIRECTION('center_axis',(0.,0.,1.));
#54350=DIRECTION('ref_axis',(1.,0.,0.));
#54351=DIRECTION('center_axis',(0.,0.,1.));
#54352=DIRECTION('ref_axis',(1.,0.,0.));
#54353=DIRECTION('center_axis',(0.,0.,1.));
#54354=DIRECTION('ref_axis',(1.,0.,0.));
#54355=DIRECTION('center_axis',(0.,0.,1.));
#54356=DIRECTION('ref_axis',(1.,0.,0.));
#54357=DIRECTION('center_axis',(0.,0.,1.));
#54358=DIRECTION('ref_axis',(1.,0.,0.));
#54359=DIRECTION('center_axis',(0.,0.,1.));
#54360=DIRECTION('ref_axis',(1.,0.,0.));
#54361=DIRECTION('center_axis',(0.,0.,1.));
#54362=DIRECTION('ref_axis',(1.,0.,0.));
#54363=DIRECTION('center_axis',(0.,0.,1.));
#54364=DIRECTION('ref_axis',(1.,0.,0.));
#54365=DIRECTION('center_axis',(0.,0.,1.));
#54366=DIRECTION('ref_axis',(1.,0.,0.));
#54367=DIRECTION('center_axis',(0.,0.,1.));
#54368=DIRECTION('ref_axis',(1.,0.,0.));
#54369=DIRECTION('center_axis',(0.,0.,1.));
#54370=DIRECTION('ref_axis',(1.,0.,0.));
#54371=DIRECTION('center_axis',(0.,0.,1.));
#54372=DIRECTION('ref_axis',(1.,0.,0.));
#54373=DIRECTION('center_axis',(0.,0.,1.));
#54374=DIRECTION('ref_axis',(1.,0.,0.));
#54375=DIRECTION('center_axis',(0.,0.,1.));
#54376=DIRECTION('ref_axis',(1.,0.,0.));
#54377=DIRECTION('center_axis',(0.,0.,1.));
#54378=DIRECTION('ref_axis',(1.,0.,0.));
#54379=DIRECTION('center_axis',(0.,0.,1.));
#54380=DIRECTION('ref_axis',(1.,0.,0.));
#54381=DIRECTION('center_axis',(0.,0.,1.));
#54382=DIRECTION('ref_axis',(1.,0.,0.));
#54383=DIRECTION('center_axis',(0.,0.,1.));
#54384=DIRECTION('ref_axis',(1.,0.,0.));
#54385=DIRECTION('center_axis',(0.,0.,1.));
#54386=DIRECTION('ref_axis',(1.,0.,0.));
#54387=DIRECTION('center_axis',(0.,0.,1.));
#54388=DIRECTION('ref_axis',(1.,0.,0.));
#54389=DIRECTION('center_axis',(0.,0.,1.));
#54390=DIRECTION('ref_axis',(1.,0.,0.));
#54391=DIRECTION('center_axis',(0.,0.,1.));
#54392=DIRECTION('ref_axis',(1.,0.,0.));
#54393=DIRECTION('center_axis',(0.,0.,1.));
#54394=DIRECTION('ref_axis',(1.,0.,0.));
#54395=DIRECTION('center_axis',(0.,0.,1.));
#54396=DIRECTION('ref_axis',(1.,0.,0.));
#54397=DIRECTION('center_axis',(0.,0.,1.));
#54398=DIRECTION('ref_axis',(1.,0.,0.));
#54399=DIRECTION('center_axis',(0.,0.,1.));
#54400=DIRECTION('ref_axis',(1.,0.,0.));
#54401=DIRECTION('center_axis',(0.,0.,1.));
#54402=DIRECTION('ref_axis',(1.,0.,0.));
#54403=DIRECTION('center_axis',(0.,0.,1.));
#54404=DIRECTION('ref_axis',(1.,0.,0.));
#54405=DIRECTION('center_axis',(0.,0.,1.));
#54406=DIRECTION('ref_axis',(1.,0.,0.));
#54407=DIRECTION('center_axis',(0.,0.,1.));
#54408=DIRECTION('ref_axis',(1.,0.,0.));
#54409=DIRECTION('center_axis',(0.,0.,1.));
#54410=DIRECTION('ref_axis',(1.,0.,0.));
#54411=DIRECTION('center_axis',(0.,0.,1.));
#54412=DIRECTION('ref_axis',(1.,0.,0.));
#54413=DIRECTION('center_axis',(0.,0.,1.));
#54414=DIRECTION('ref_axis',(1.,0.,0.));
#54415=DIRECTION('center_axis',(0.,0.,1.));
#54416=DIRECTION('ref_axis',(1.,0.,0.));
#54417=DIRECTION('center_axis',(0.,0.,1.));
#54418=DIRECTION('ref_axis',(1.,0.,0.));
#54419=DIRECTION('',(1.,0.,0.));
#54420=DIRECTION('center_axis',(0.,0.,1.));
#54421=DIRECTION('ref_axis',(1.,0.,0.));
#54422=DIRECTION('',(-1.,0.,0.));
#54423=DIRECTION('center_axis',(0.,0.,1.));
#54424=DIRECTION('ref_axis',(1.,0.,0.));
#54425=DIRECTION('center_axis',(0.,0.,1.));
#54426=DIRECTION('ref_axis',(1.,0.,0.));
#54427=DIRECTION('center_axis',(0.,0.,1.));
#54428=DIRECTION('ref_axis',(1.,0.,0.));
#54429=DIRECTION('center_axis',(0.,0.,1.));
#54430=DIRECTION('ref_axis',(1.,0.,0.));
#54431=DIRECTION('center_axis',(0.,0.,1.));
#54432=DIRECTION('ref_axis',(1.,0.,0.));
#54433=DIRECTION('center_axis',(0.,0.,1.));
#54434=DIRECTION('ref_axis',(1.,0.,0.));
#54435=DIRECTION('center_axis',(0.,0.,1.));
#54436=DIRECTION('ref_axis',(1.,0.,0.));
#54437=DIRECTION('center_axis',(0.,0.,1.));
#54438=DIRECTION('ref_axis',(1.,0.,0.));
#54439=DIRECTION('center_axis',(0.,0.,1.));
#54440=DIRECTION('ref_axis',(1.,0.,0.));
#54441=DIRECTION('center_axis',(0.,0.,1.));
#54442=DIRECTION('ref_axis',(1.,0.,0.));
#54443=DIRECTION('center_axis',(0.,0.,1.));
#54444=DIRECTION('ref_axis',(1.,0.,0.));
#54445=DIRECTION('center_axis',(0.,0.,1.));
#54446=DIRECTION('ref_axis',(1.,0.,0.));
#54447=DIRECTION('center_axis',(0.,0.,1.));
#54448=DIRECTION('ref_axis',(1.,0.,0.));
#54449=DIRECTION('center_axis',(0.,0.,1.));
#54450=DIRECTION('ref_axis',(1.,0.,0.));
#54451=DIRECTION('center_axis',(0.,0.,1.));
#54452=DIRECTION('ref_axis',(1.,0.,0.));
#54453=DIRECTION('center_axis',(0.,0.,1.));
#54454=DIRECTION('ref_axis',(1.,0.,0.));
#54455=DIRECTION('center_axis',(0.,0.,1.));
#54456=DIRECTION('ref_axis',(1.,0.,0.));
#54457=DIRECTION('center_axis',(0.,0.,1.));
#54458=DIRECTION('ref_axis',(1.,0.,0.));
#54459=DIRECTION('center_axis',(0.,0.,1.));
#54460=DIRECTION('ref_axis',(1.,0.,0.));
#54461=DIRECTION('center_axis',(0.,0.,1.));
#54462=DIRECTION('ref_axis',(1.,0.,0.));
#54463=DIRECTION('center_axis',(0.,0.,1.));
#54464=DIRECTION('ref_axis',(1.,0.,0.));
#54465=DIRECTION('center_axis',(0.,0.,1.));
#54466=DIRECTION('ref_axis',(1.,0.,0.));
#54467=DIRECTION('center_axis',(0.,0.,1.));
#54468=DIRECTION('ref_axis',(1.,0.,0.));
#54469=DIRECTION('center_axis',(0.,0.,1.));
#54470=DIRECTION('ref_axis',(1.,0.,0.));
#54471=DIRECTION('center_axis',(0.,0.,1.));
#54472=DIRECTION('ref_axis',(1.,0.,0.));
#54473=DIRECTION('center_axis',(0.,0.,1.));
#54474=DIRECTION('ref_axis',(1.,0.,0.));
#54475=DIRECTION('center_axis',(0.,0.,1.));
#54476=DIRECTION('ref_axis',(1.,0.,0.));
#54477=DIRECTION('center_axis',(0.,0.,1.));
#54478=DIRECTION('ref_axis',(1.,0.,0.));
#54479=DIRECTION('center_axis',(0.,0.,1.));
#54480=DIRECTION('ref_axis',(1.,0.,0.));
#54481=DIRECTION('center_axis',(0.,0.,1.));
#54482=DIRECTION('ref_axis',(1.,0.,0.));
#54483=DIRECTION('center_axis',(0.,0.,1.));
#54484=DIRECTION('ref_axis',(1.,0.,0.));
#54485=DIRECTION('center_axis',(0.,0.,1.));
#54486=DIRECTION('ref_axis',(1.,0.,0.));
#54487=DIRECTION('center_axis',(0.,0.,1.));
#54488=DIRECTION('ref_axis',(1.,0.,0.));
#54489=DIRECTION('center_axis',(0.,0.,1.));
#54490=DIRECTION('ref_axis',(1.,0.,0.));
#54491=DIRECTION('center_axis',(0.,0.,1.));
#54492=DIRECTION('ref_axis',(1.,0.,0.));
#54493=DIRECTION('center_axis',(0.,0.,1.));
#54494=DIRECTION('ref_axis',(1.,0.,0.));
#54495=DIRECTION('center_axis',(0.,0.,1.));
#54496=DIRECTION('ref_axis',(1.,0.,0.));
#54497=DIRECTION('center_axis',(0.,0.,1.));
#54498=DIRECTION('ref_axis',(1.,0.,0.));
#54499=DIRECTION('center_axis',(0.,0.,1.));
#54500=DIRECTION('ref_axis',(1.,0.,0.));
#54501=DIRECTION('center_axis',(0.,1.,0.));
#54502=DIRECTION('ref_axis',(1.,0.,0.));
#54503=DIRECTION('center_axis',(0.,0.,1.));
#54504=DIRECTION('ref_axis',(1.,0.,0.));
#54505=DIRECTION('',(0.,0.,1.));
#54506=DIRECTION('center_axis',(0.,0.,1.));
#54507=DIRECTION('ref_axis',(1.,0.,0.));
#54508=DIRECTION('',(0.,0.,1.));
#54509=DIRECTION('center_axis',(0.,0.,1.));
#54510=DIRECTION('ref_axis',(1.,0.,0.));
#54511=DIRECTION('',(0.,0.,1.));
#54512=DIRECTION('center_axis',(0.,0.,1.));
#54513=DIRECTION('ref_axis',(1.,0.,0.));
#54514=DIRECTION('',(0.,0.,1.));
#54515=DIRECTION('center_axis',(0.,0.,1.));
#54516=DIRECTION('ref_axis',(1.,0.,0.));
#54517=DIRECTION('',(0.,0.,1.));
#54518=DIRECTION('center_axis',(-1.,0.,0.));
#54519=DIRECTION('ref_axis',(0.,1.,0.));
#54520=DIRECTION('',(0.,0.,1.));
#54521=DIRECTION('',(0.,0.,1.));
#54522=DIRECTION('center_axis',(0.,0.,-1.));
#54523=DIRECTION('ref_axis',(1.,0.,0.));
#54524=DIRECTION('',(0.,0.,1.));
#54525=DIRECTION('center_axis',(0.,0.,-1.));
#54526=DIRECTION('ref_axis',(1.,0.,0.));
#54527=DIRECTION('',(0.,0.,1.));
#54528=DIRECTION('center_axis',(1.,0.,0.));
#54529=DIRECTION('ref_axis',(0.,-1.,0.));
#54530=DIRECTION('center_axis',(0.,0.,1.));
#54531=DIRECTION('ref_axis',(1.,0.,0.));
#54532=DIRECTION('',(0.,0.,1.));
#54533=DIRECTION('center_axis',(0.,0.,1.));
#54534=DIRECTION('ref_axis',(1.,0.,0.));
#54535=DIRECTION('',(0.,0.,1.));
#54536=DIRECTION('center_axis',(0.,0.,1.));
#54537=DIRECTION('ref_axis',(1.,0.,0.));
#54538=DIRECTION('',(0.,0.,1.));
#54539=DIRECTION('center_axis',(0.,0.,1.));
#54540=DIRECTION('ref_axis',(1.,0.,0.));
#54541=DIRECTION('',(0.,0.,1.));
#54542=DIRECTION('center_axis',(0.,0.,1.));
#54543=DIRECTION('ref_axis',(1.,0.,0.));
#54544=DIRECTION('',(0.,0.,1.));
#54545=DIRECTION('center_axis',(0.,0.,1.));
#54546=DIRECTION('ref_axis',(1.,0.,0.));
#54547=DIRECTION('',(0.,0.,1.));
#54548=DIRECTION('center_axis',(0.,0.,1.));
#54549=DIRECTION('ref_axis',(1.,0.,0.));
#54550=DIRECTION('',(0.,0.,1.));
#54551=DIRECTION('center_axis',(0.,0.,1.));
#54552=DIRECTION('ref_axis',(1.,0.,0.));
#54553=DIRECTION('',(0.,0.,1.));
#54554=DIRECTION('center_axis',(0.,0.,1.));
#54555=DIRECTION('ref_axis',(1.,0.,0.));
#54556=DIRECTION('',(0.,0.,1.));
#54557=DIRECTION('center_axis',(0.,0.,1.));
#54558=DIRECTION('ref_axis',(1.,0.,0.));
#54559=DIRECTION('',(0.,0.,1.));
#54560=DIRECTION('center_axis',(0.,0.,1.));
#54561=DIRECTION('ref_axis',(1.,0.,0.));
#54562=DIRECTION('',(0.,0.,1.));
#54563=DIRECTION('center_axis',(0.,0.,1.));
#54564=DIRECTION('ref_axis',(1.,0.,0.));
#54565=DIRECTION('',(0.,0.,1.));
#54566=DIRECTION('center_axis',(0.,0.,1.));
#54567=DIRECTION('ref_axis',(1.,0.,0.));
#54568=DIRECTION('',(0.,0.,1.));
#54569=DIRECTION('center_axis',(0.,0.,1.));
#54570=DIRECTION('ref_axis',(1.,0.,0.));
#54571=DIRECTION('',(0.,0.,1.));
#54572=DIRECTION('center_axis',(0.,0.,1.));
#54573=DIRECTION('ref_axis',(1.,0.,0.));
#54574=DIRECTION('',(0.,0.,1.));
#54575=DIRECTION('center_axis',(0.,0.,1.));
#54576=DIRECTION('ref_axis',(1.,0.,0.));
#54577=DIRECTION('',(0.,0.,1.));
#54578=DIRECTION('center_axis',(0.,0.,1.));
#54579=DIRECTION('ref_axis',(1.,0.,0.));
#54580=DIRECTION('',(0.,0.,1.));
#54581=DIRECTION('center_axis',(0.,0.,1.));
#54582=DIRECTION('ref_axis',(1.,0.,0.));
#54583=DIRECTION('',(0.,0.,1.));
#54584=DIRECTION('center_axis',(0.,0.,1.));
#54585=DIRECTION('ref_axis',(1.,0.,0.));
#54586=DIRECTION('',(0.,0.,1.));
#54587=DIRECTION('center_axis',(0.,0.,1.));
#54588=DIRECTION('ref_axis',(1.,0.,0.));
#54589=DIRECTION('',(0.,0.,1.));
#54590=DIRECTION('center_axis',(0.,0.,1.));
#54591=DIRECTION('ref_axis',(1.,0.,0.));
#54592=DIRECTION('',(0.,0.,1.));
#54593=DIRECTION('center_axis',(0.,0.,1.));
#54594=DIRECTION('ref_axis',(1.,0.,0.));
#54595=DIRECTION('',(0.,0.,1.));
#54596=DIRECTION('center_axis',(0.,0.,1.));
#54597=DIRECTION('ref_axis',(1.,0.,0.));
#54598=DIRECTION('',(0.,0.,1.));
#54599=DIRECTION('center_axis',(0.,0.,1.));
#54600=DIRECTION('ref_axis',(1.,0.,0.));
#54601=DIRECTION('',(0.,0.,1.));
#54602=DIRECTION('center_axis',(0.,0.,1.));
#54603=DIRECTION('ref_axis',(1.,0.,0.));
#54604=DIRECTION('',(0.,0.,1.));
#54605=DIRECTION('center_axis',(0.,0.,1.));
#54606=DIRECTION('ref_axis',(1.,0.,0.));
#54607=DIRECTION('',(0.,0.,1.));
#54608=DIRECTION('center_axis',(0.,0.,1.));
#54609=DIRECTION('ref_axis',(1.,0.,0.));
#54610=DIRECTION('',(0.,0.,1.));
#54611=DIRECTION('center_axis',(0.,0.,1.));
#54612=DIRECTION('ref_axis',(1.,0.,0.));
#54613=DIRECTION('',(0.,0.,1.));
#54614=DIRECTION('center_axis',(0.,0.,1.));
#54615=DIRECTION('ref_axis',(1.,0.,0.));
#54616=DIRECTION('',(0.,0.,1.));
#54617=DIRECTION('center_axis',(0.,0.,1.));
#54618=DIRECTION('ref_axis',(1.,0.,0.));
#54619=DIRECTION('',(0.,0.,1.));
#54620=DIRECTION('center_axis',(0.,0.,1.));
#54621=DIRECTION('ref_axis',(1.,0.,0.));
#54622=DIRECTION('',(0.,0.,1.));
#54623=DIRECTION('center_axis',(0.,0.,1.));
#54624=DIRECTION('ref_axis',(1.,0.,0.));
#54625=DIRECTION('',(0.,0.,1.));
#54626=DIRECTION('center_axis',(0.,0.,1.));
#54627=DIRECTION('ref_axis',(1.,0.,0.));
#54628=DIRECTION('',(0.,0.,1.));
#54629=DIRECTION('center_axis',(0.,0.,1.));
#54630=DIRECTION('ref_axis',(1.,0.,0.));
#54631=DIRECTION('',(0.,0.,1.));
#54632=DIRECTION('center_axis',(0.,0.,1.));
#54633=DIRECTION('ref_axis',(1.,0.,0.));
#54634=DIRECTION('',(0.,0.,1.));
#54635=DIRECTION('center_axis',(0.,0.,1.));
#54636=DIRECTION('ref_axis',(1.,0.,0.));
#54637=DIRECTION('',(0.,0.,1.));
#54638=DIRECTION('center_axis',(0.,0.,1.));
#54639=DIRECTION('ref_axis',(1.,0.,0.));
#54640=DIRECTION('',(0.,0.,1.));
#54641=DIRECTION('center_axis',(0.,0.,1.));
#54642=DIRECTION('ref_axis',(1.,0.,0.));
#54643=DIRECTION('',(0.,0.,1.));
#54644=DIRECTION('center_axis',(0.,0.,1.));
#54645=DIRECTION('ref_axis',(1.,0.,0.));
#54646=DIRECTION('',(0.,0.,1.));
#54647=DIRECTION('center_axis',(0.,0.,1.));
#54648=DIRECTION('ref_axis',(1.,0.,0.));
#54649=DIRECTION('',(0.,0.,1.));
#54650=DIRECTION('center_axis',(0.,0.,1.));
#54651=DIRECTION('ref_axis',(1.,0.,0.));
#54652=DIRECTION('',(0.,0.,1.));
#54653=DIRECTION('center_axis',(0.,0.,1.));
#54654=DIRECTION('ref_axis',(1.,0.,0.));
#54655=DIRECTION('',(0.,0.,1.));
#54656=DIRECTION('center_axis',(0.,0.,1.));
#54657=DIRECTION('ref_axis',(1.,0.,0.));
#54658=DIRECTION('',(0.,0.,1.));
#54659=DIRECTION('center_axis',(0.,0.,1.));
#54660=DIRECTION('ref_axis',(1.,0.,0.));
#54661=DIRECTION('',(0.,0.,1.));
#54662=DIRECTION('center_axis',(0.,0.,1.));
#54663=DIRECTION('ref_axis',(1.,0.,0.));
#54664=DIRECTION('',(0.,0.,1.));
#54665=DIRECTION('center_axis',(0.,0.,1.));
#54666=DIRECTION('ref_axis',(1.,0.,0.));
#54667=DIRECTION('',(0.,0.,1.));
#54668=DIRECTION('center_axis',(0.,0.,1.));
#54669=DIRECTION('ref_axis',(1.,0.,0.));
#54670=DIRECTION('',(0.,0.,1.));
#54671=DIRECTION('center_axis',(0.,0.,1.));
#54672=DIRECTION('ref_axis',(1.,0.,0.));
#54673=DIRECTION('',(0.,0.,1.));
#54674=DIRECTION('center_axis',(0.,0.,1.));
#54675=DIRECTION('ref_axis',(1.,0.,0.));
#54676=DIRECTION('',(0.,0.,1.));
#54677=DIRECTION('center_axis',(0.,0.,1.));
#54678=DIRECTION('ref_axis',(1.,0.,0.));
#54679=DIRECTION('',(0.,0.,1.));
#54680=DIRECTION('center_axis',(0.,0.,1.));
#54681=DIRECTION('ref_axis',(1.,0.,0.));
#54682=DIRECTION('',(0.,0.,1.));
#54683=DIRECTION('center_axis',(0.,0.,1.));
#54684=DIRECTION('ref_axis',(1.,0.,0.));
#54685=DIRECTION('',(0.,0.,1.));
#54686=DIRECTION('center_axis',(0.,0.,1.));
#54687=DIRECTION('ref_axis',(1.,0.,0.));
#54688=DIRECTION('',(0.,0.,1.));
#54689=DIRECTION('center_axis',(0.,0.,1.));
#54690=DIRECTION('ref_axis',(1.,0.,0.));
#54691=DIRECTION('',(0.,0.,1.));
#54692=DIRECTION('center_axis',(0.,0.,1.));
#54693=DIRECTION('ref_axis',(1.,0.,0.));
#54694=DIRECTION('',(0.,0.,1.));
#54695=DIRECTION('center_axis',(0.,0.,1.));
#54696=DIRECTION('ref_axis',(1.,0.,0.));
#54697=DIRECTION('',(0.,0.,1.));
#54698=DIRECTION('center_axis',(0.,0.,1.));
#54699=DIRECTION('ref_axis',(1.,0.,0.));
#54700=DIRECTION('',(0.,0.,1.));
#54701=DIRECTION('center_axis',(0.,0.,1.));
#54702=DIRECTION('ref_axis',(1.,0.,0.));
#54703=DIRECTION('',(0.,0.,1.));
#54704=DIRECTION('center_axis',(0.,0.,1.));
#54705=DIRECTION('ref_axis',(1.,0.,0.));
#54706=DIRECTION('',(0.,0.,1.));
#54707=DIRECTION('center_axis',(0.,0.,1.));
#54708=DIRECTION('ref_axis',(1.,0.,0.));
#54709=DIRECTION('',(0.,0.,1.));
#54710=DIRECTION('center_axis',(0.,0.,1.));
#54711=DIRECTION('ref_axis',(1.,0.,0.));
#54712=DIRECTION('',(0.,0.,1.));
#54713=DIRECTION('center_axis',(0.,0.,1.));
#54714=DIRECTION('ref_axis',(1.,0.,0.));
#54715=DIRECTION('',(0.,0.,1.));
#54716=DIRECTION('center_axis',(0.,0.,1.));
#54717=DIRECTION('ref_axis',(1.,0.,0.));
#54718=DIRECTION('',(0.,0.,1.));
#54719=DIRECTION('center_axis',(0.,0.,1.));
#54720=DIRECTION('ref_axis',(1.,0.,0.));
#54721=DIRECTION('',(0.,0.,1.));
#54722=DIRECTION('center_axis',(0.,0.,1.));
#54723=DIRECTION('ref_axis',(1.,0.,0.));
#54724=DIRECTION('',(0.,0.,1.));
#54725=DIRECTION('center_axis',(0.,0.,1.));
#54726=DIRECTION('ref_axis',(1.,0.,0.));
#54727=DIRECTION('',(0.,0.,1.));
#54728=DIRECTION('center_axis',(0.,0.,1.));
#54729=DIRECTION('ref_axis',(1.,0.,0.));
#54730=DIRECTION('',(0.,0.,1.));
#54731=DIRECTION('center_axis',(0.,0.,1.));
#54732=DIRECTION('ref_axis',(1.,0.,0.));
#54733=DIRECTION('',(0.,0.,1.));
#54734=DIRECTION('center_axis',(0.,0.,1.));
#54735=DIRECTION('ref_axis',(1.,0.,0.));
#54736=DIRECTION('',(0.,0.,1.));
#54737=DIRECTION('center_axis',(0.,0.,1.));
#54738=DIRECTION('ref_axis',(1.,0.,0.));
#54739=DIRECTION('',(0.,0.,1.));
#54740=DIRECTION('center_axis',(0.,0.,1.));
#54741=DIRECTION('ref_axis',(1.,0.,0.));
#54742=DIRECTION('',(0.,0.,1.));
#54743=DIRECTION('center_axis',(0.,0.,1.));
#54744=DIRECTION('ref_axis',(1.,0.,0.));
#54745=DIRECTION('',(0.,0.,1.));
#54746=DIRECTION('center_axis',(0.,0.,1.));
#54747=DIRECTION('ref_axis',(1.,0.,0.));
#54748=DIRECTION('',(0.,0.,1.));
#54749=DIRECTION('center_axis',(0.,0.,1.));
#54750=DIRECTION('ref_axis',(1.,0.,0.));
#54751=DIRECTION('',(0.,0.,1.));
#54752=DIRECTION('center_axis',(0.,0.,1.));
#54753=DIRECTION('ref_axis',(1.,0.,0.));
#54754=DIRECTION('',(0.,0.,1.));
#54755=DIRECTION('center_axis',(0.,0.,1.));
#54756=DIRECTION('ref_axis',(1.,0.,0.));
#54757=DIRECTION('',(0.,0.,1.));
#54758=DIRECTION('center_axis',(0.,0.,1.));
#54759=DIRECTION('ref_axis',(1.,0.,0.));
#54760=DIRECTION('',(0.,0.,1.));
#54761=DIRECTION('center_axis',(0.,0.,1.));
#54762=DIRECTION('ref_axis',(1.,0.,0.));
#54763=DIRECTION('',(0.,0.,1.));
#54764=DIRECTION('center_axis',(0.,0.,1.));
#54765=DIRECTION('ref_axis',(1.,0.,0.));
#54766=DIRECTION('',(0.,0.,1.));
#54767=DIRECTION('center_axis',(0.,0.,1.));
#54768=DIRECTION('ref_axis',(1.,0.,0.));
#54769=DIRECTION('',(0.,0.,1.));
#54770=DIRECTION('center_axis',(0.,0.,1.));
#54771=DIRECTION('ref_axis',(1.,0.,0.));
#54772=DIRECTION('',(0.,0.,1.));
#54773=DIRECTION('center_axis',(0.,0.,1.));
#54774=DIRECTION('ref_axis',(1.,0.,0.));
#54775=DIRECTION('',(0.,0.,1.));
#54776=DIRECTION('center_axis',(0.,0.,1.));
#54777=DIRECTION('ref_axis',(1.,0.,0.));
#54778=DIRECTION('',(0.,0.,1.));
#54779=DIRECTION('center_axis',(0.,0.,1.));
#54780=DIRECTION('ref_axis',(1.,0.,0.));
#54781=DIRECTION('',(0.,0.,1.));
#54782=DIRECTION('center_axis',(0.,0.,1.));
#54783=DIRECTION('ref_axis',(1.,0.,0.));
#54784=DIRECTION('',(0.,0.,1.));
#54785=DIRECTION('center_axis',(0.,0.,1.));
#54786=DIRECTION('ref_axis',(1.,0.,0.));
#54787=DIRECTION('',(0.,0.,1.));
#54788=DIRECTION('center_axis',(0.,0.,1.));
#54789=DIRECTION('ref_axis',(1.,0.,0.));
#54790=DIRECTION('',(0.,0.,1.));
#54791=DIRECTION('center_axis',(0.,0.,1.));
#54792=DIRECTION('ref_axis',(1.,0.,0.));
#54793=DIRECTION('',(0.,0.,1.));
#54794=DIRECTION('center_axis',(0.,0.,1.));
#54795=DIRECTION('ref_axis',(1.,0.,0.));
#54796=DIRECTION('',(0.,0.,1.));
#54797=DIRECTION('center_axis',(0.,0.,-1.));
#54798=DIRECTION('ref_axis',(1.,0.,0.));
#54799=DIRECTION('',(0.,0.,1.));
#54800=DIRECTION('',(0.,0.,1.));
#54801=DIRECTION('center_axis',(0.,1.,0.));
#54802=DIRECTION('ref_axis',(1.,0.,0.));
#54803=DIRECTION('',(0.,0.,1.));
#54804=DIRECTION('center_axis',(0.,-1.,0.));
#54805=DIRECTION('ref_axis',(-1.,0.,0.));
#54806=DIRECTION('',(0.,0.,1.));
#54807=DIRECTION('center_axis',(0.,0.,-1.));
#54808=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.));
#54809=DIRECTION('center_axis',(0.,0.,-1.));
#54810=DIRECTION('ref_axis',(1.,0.,0.));
#54811=DIRECTION('',(0.,0.,1.));
#54812=DIRECTION('',(0.,0.,1.));
#54813=DIRECTION('center_axis',(1.,0.,0.));
#54814=DIRECTION('ref_axis',(0.,-1.,0.));
#54815=DIRECTION('',(0.,0.,1.));
#54816=DIRECTION('center_axis',(-1.,0.,0.));
#54817=DIRECTION('ref_axis',(0.,1.,0.));
#54818=DIRECTION('',(0.,0.,1.));
#54819=DIRECTION('center_axis',(0.,0.,-1.));
#54820=DIRECTION('ref_axis',(1.,0.,0.));
#54821=DIRECTION('center_axis',(0.,0.,1.));
#54822=DIRECTION('ref_axis',(1.,0.,0.));
#54823=DIRECTION('',(0.,0.,1.));
#54824=DIRECTION('center_axis',(0.,0.,1.));
#54825=DIRECTION('ref_axis',(1.,0.,0.));
#54826=DIRECTION('',(0.,0.,1.));
#54827=DIRECTION('center_axis',(0.,0.,1.));
#54828=DIRECTION('ref_axis',(1.,0.,0.));
#54829=DIRECTION('',(0.,0.,1.));
#54830=DIRECTION('center_axis',(0.,0.,1.));
#54831=DIRECTION('ref_axis',(1.,0.,0.));
#54832=DIRECTION('',(0.,0.,1.));
#54833=DIRECTION('center_axis',(0.,0.,1.));
#54834=DIRECTION('ref_axis',(1.,0.,0.));
#54835=DIRECTION('',(0.,0.,1.));
#54836=DIRECTION('center_axis',(0.,0.,1.));
#54837=DIRECTION('ref_axis',(1.,0.,0.));
#54838=DIRECTION('',(0.,0.,1.));
#54839=DIRECTION('center_axis',(0.,0.,1.));
#54840=DIRECTION('ref_axis',(1.,0.,0.));
#54841=DIRECTION('',(0.,0.,1.));
#54842=DIRECTION('center_axis',(0.,0.,1.));
#54843=DIRECTION('ref_axis',(1.,0.,0.));
#54844=DIRECTION('',(0.,0.,1.));
#54845=DIRECTION('center_axis',(0.,0.,1.));
#54846=DIRECTION('ref_axis',(1.,0.,0.));
#54847=DIRECTION('',(0.,0.,1.));
#54848=DIRECTION('center_axis',(0.,0.,1.));
#54849=DIRECTION('ref_axis',(1.,0.,0.));
#54850=DIRECTION('',(0.,0.,1.));
#54851=DIRECTION('center_axis',(0.,0.,1.));
#54852=DIRECTION('ref_axis',(1.,0.,0.));
#54853=DIRECTION('',(0.,0.,1.));
#54854=DIRECTION('center_axis',(0.,0.,1.));
#54855=DIRECTION('ref_axis',(1.,0.,0.));
#54856=DIRECTION('',(0.,0.,1.));
#54857=DIRECTION('center_axis',(0.,0.,1.));
#54858=DIRECTION('ref_axis',(1.,0.,0.));
#54859=DIRECTION('',(0.,0.,1.));
#54860=DIRECTION('center_axis',(0.,0.,1.));
#54861=DIRECTION('ref_axis',(1.,0.,0.));
#54862=DIRECTION('',(0.,0.,1.));
#54863=DIRECTION('center_axis',(0.,0.,1.));
#54864=DIRECTION('ref_axis',(1.,0.,0.));
#54865=DIRECTION('',(0.,0.,1.));
#54866=DIRECTION('center_axis',(0.,0.,1.));
#54867=DIRECTION('ref_axis',(1.,0.,0.));
#54868=DIRECTION('',(0.,0.,1.));
#54869=DIRECTION('center_axis',(0.,0.,1.));
#54870=DIRECTION('ref_axis',(1.,0.,0.));
#54871=DIRECTION('',(0.,0.,1.));
#54872=DIRECTION('center_axis',(0.,0.,1.));
#54873=DIRECTION('ref_axis',(1.,0.,0.));
#54874=DIRECTION('',(0.,0.,1.));
#54875=DIRECTION('center_axis',(0.,0.,1.));
#54876=DIRECTION('ref_axis',(1.,0.,0.));
#54877=DIRECTION('',(0.,0.,1.));
#54878=DIRECTION('center_axis',(0.,0.,1.));
#54879=DIRECTION('ref_axis',(1.,0.,0.));
#54880=DIRECTION('',(0.,0.,1.));
#54881=DIRECTION('center_axis',(0.,0.,1.));
#54882=DIRECTION('ref_axis',(1.,0.,0.));
#54883=DIRECTION('',(0.,0.,1.));
#54884=DIRECTION('center_axis',(0.,0.,1.));
#54885=DIRECTION('ref_axis',(1.,0.,0.));
#54886=DIRECTION('',(0.,0.,1.));
#54887=DIRECTION('center_axis',(0.,0.,1.));
#54888=DIRECTION('ref_axis',(1.,0.,0.));
#54889=DIRECTION('',(0.,0.,1.));
#54890=DIRECTION('center_axis',(0.,0.,1.));
#54891=DIRECTION('ref_axis',(1.,0.,0.));
#54892=DIRECTION('',(0.,0.,1.));
#54893=DIRECTION('center_axis',(0.,0.,1.));
#54894=DIRECTION('ref_axis',(1.,0.,0.));
#54895=DIRECTION('',(0.,0.,1.));
#54896=DIRECTION('center_axis',(0.,0.,1.));
#54897=DIRECTION('ref_axis',(1.,0.,0.));
#54898=DIRECTION('',(0.,0.,1.));
#54899=DIRECTION('center_axis',(0.,0.,1.));
#54900=DIRECTION('ref_axis',(1.,0.,0.));
#54901=DIRECTION('',(0.,0.,1.));
#54902=DIRECTION('center_axis',(0.,0.,1.));
#54903=DIRECTION('ref_axis',(1.,0.,0.));
#54904=DIRECTION('',(0.,0.,1.));
#54905=DIRECTION('center_axis',(0.,0.,1.));
#54906=DIRECTION('ref_axis',(1.,0.,0.));
#54907=DIRECTION('',(0.,0.,1.));
#54908=DIRECTION('center_axis',(0.,0.,1.));
#54909=DIRECTION('ref_axis',(1.,0.,0.));
#54910=DIRECTION('',(0.,0.,1.));
#54911=DIRECTION('center_axis',(0.,0.,1.));
#54912=DIRECTION('ref_axis',(1.,0.,0.));
#54913=DIRECTION('',(0.,0.,1.));
#54914=DIRECTION('center_axis',(0.,0.,1.));
#54915=DIRECTION('ref_axis',(1.,0.,0.));
#54916=DIRECTION('',(0.,0.,1.));
#54917=DIRECTION('center_axis',(0.,0.,1.));
#54918=DIRECTION('ref_axis',(1.,0.,0.));
#54919=DIRECTION('',(0.,0.,1.));
#54920=DIRECTION('center_axis',(0.,0.,1.));
#54921=DIRECTION('ref_axis',(1.,0.,0.));
#54922=DIRECTION('',(0.,0.,1.));
#54923=DIRECTION('center_axis',(0.,0.,1.));
#54924=DIRECTION('ref_axis',(1.,0.,0.));
#54925=DIRECTION('',(0.,0.,1.));
#54926=DIRECTION('center_axis',(0.,0.,1.));
#54927=DIRECTION('ref_axis',(1.,0.,0.));
#54928=DIRECTION('',(0.,0.,1.));
#54929=DIRECTION('center_axis',(0.,0.,1.));
#54930=DIRECTION('ref_axis',(1.,0.,0.));
#54931=DIRECTION('',(0.,0.,1.));
#54932=DIRECTION('center_axis',(0.,0.,1.));
#54933=DIRECTION('ref_axis',(1.,0.,0.));
#54934=DIRECTION('',(0.,0.,1.));
#54935=DIRECTION('center_axis',(0.,0.,1.));
#54936=DIRECTION('ref_axis',(1.,0.,0.));
#54937=DIRECTION('',(0.,0.,1.));
#54938=DIRECTION('center_axis',(0.,0.,1.));
#54939=DIRECTION('ref_axis',(1.,0.,0.));
#54940=DIRECTION('',(0.,0.,1.));
#54941=DIRECTION('center_axis',(0.,0.,1.));
#54942=DIRECTION('ref_axis',(1.,0.,0.));
#54943=DIRECTION('',(0.,0.,1.));
#54944=DIRECTION('center_axis',(0.,0.,1.));
#54945=DIRECTION('ref_axis',(1.,0.,0.));
#54946=DIRECTION('',(0.,0.,1.));
#54947=DIRECTION('center_axis',(0.,0.,1.));
#54948=DIRECTION('ref_axis',(1.,0.,0.));
#54949=DIRECTION('',(0.,0.,1.));
#54950=DIRECTION('center_axis',(0.,0.,1.));
#54951=DIRECTION('ref_axis',(1.,0.,0.));
#54952=DIRECTION('',(0.,0.,1.));
#54953=DIRECTION('center_axis',(0.,0.,1.));
#54954=DIRECTION('ref_axis',(1.,0.,0.));
#54955=DIRECTION('',(0.,0.,1.));
#54956=DIRECTION('center_axis',(0.,0.,1.));
#54957=DIRECTION('ref_axis',(1.,0.,0.));
#54958=DIRECTION('',(0.,0.,1.));
#54959=DIRECTION('center_axis',(0.,0.,1.));
#54960=DIRECTION('ref_axis',(1.,0.,0.));
#54961=DIRECTION('',(0.,0.,1.));
#54962=DIRECTION('center_axis',(0.,0.,1.));
#54963=DIRECTION('ref_axis',(1.,0.,0.));
#54964=DIRECTION('',(0.,0.,1.));
#54965=DIRECTION('center_axis',(0.,0.,1.));
#54966=DIRECTION('ref_axis',(1.,0.,0.));
#54967=DIRECTION('',(0.,0.,1.));
#54968=DIRECTION('center_axis',(0.,0.,1.));
#54969=DIRECTION('ref_axis',(1.,0.,0.));
#54970=DIRECTION('',(0.,0.,1.));
#54971=DIRECTION('center_axis',(0.,0.,1.));
#54972=DIRECTION('ref_axis',(1.,0.,0.));
#54973=DIRECTION('',(0.,0.,1.));
#54974=DIRECTION('center_axis',(0.,0.,1.));
#54975=DIRECTION('ref_axis',(1.,0.,0.));
#54976=DIRECTION('',(0.,0.,1.));
#54977=DIRECTION('center_axis',(0.,0.,1.));
#54978=DIRECTION('ref_axis',(1.,0.,0.));
#54979=DIRECTION('',(0.,0.,1.));
#54980=DIRECTION('',(-1.,1.110223024625E-16,-2.334829378448E-16));
#54981=DIRECTION('',(1.110223024625E-16,1.,0.));
#54982=DIRECTION('',(-1.,1.110223024625E-16,-2.334829378448E-16));
#54983=DIRECTION('',(1.110223024625E-16,1.,0.));
#54984=DIRECTION('',(-1.,1.110223024625E-16,-2.334829378448E-16));
#54985=DIRECTION('',(1.110223024625E-16,1.,0.));
#54986=DIRECTION('',(-1.,1.110223024625E-16,-2.334829378448E-16));
#54987=DIRECTION('',(1.110223024625E-16,1.,0.));
#54988=DIRECTION('',(-1.,1.110223024625E-16,-2.334829378448E-16));
#54989=DIRECTION('',(1.110223024625E-16,1.,0.));
#54990=DIRECTION('',(-1.,1.110223024625E-16,-2.334829378448E-16));
#54991=DIRECTION('',(1.110223024625E-16,1.,0.));
#54992=DIRECTION('',(-1.224606353822E-16,1.,1.110223024625E-16));
#54993=DIRECTION('',(-1.,-1.224606353822E-16,0.));
#54994=DIRECTION('',(0.,-1.,1.110223024625E-16));
#54995=DIRECTION('',(1.,0.,0.));
#54996=DIRECTION('',(-1.224606353822E-16,1.,1.110223024625E-16));
#54997=DIRECTION('',(-1.,-1.224606353822E-16,0.));
#54998=DIRECTION('',(-1.224606353822E-16,1.,1.110223024625E-16));
#54999=DIRECTION('',(-1.,-1.224606353822E-16,0.));
#55000=DIRECTION('',(-1.224606353822E-16,1.,1.110223024625E-16));
#55001=DIRECTION('',(-1.,-1.224606353822E-16,0.));
#55002=DIRECTION('',(-1.224606353822E-16,1.,1.110223024625E-16));
#55003=DIRECTION('',(-1.,-1.224606353822E-16,0.));
#55004=DIRECTION('',(-1.224606353822E-16,1.,1.110223024625E-16));
#55005=DIRECTION('',(-1.,-1.224606353822E-16,0.));
#55006=DIRECTION('',(0.,0.,1.));
#55007=DIRECTION('',(-2.22044604925E-16,-1.,0.));
#55008=DIRECTION('',(-1.224606353822E-16,2.719172340232E-32,-1.));
#55009=DIRECTION('',(-2.22044604925E-16,-1.,-1.49216391162088E-44));
#55010=DIRECTION('',(-1.224606353822E-16,2.719172340232E-32,-1.));
#55011=DIRECTION('',(-2.22044604925E-16,-1.,-1.49216391162088E-44));
#55012=DIRECTION('',(1.224606353822E-16,-1.359586170116E-32,-1.));
#55013=DIRECTION('',(1.110223024625E-16,1.,-7.4608195581044E-45));
#55014=DIRECTION('',(-1.224606353822E-16,2.719172340232E-32,-1.));
#55015=DIRECTION('',(-2.22044604925E-16,-1.,-1.49216391162088E-44));
#55016=DIRECTION('',(1.224606353822E-16,-1.359586170116E-32,-1.));
#55017=DIRECTION('',(1.110223024625E-16,1.,-7.4608195581044E-45));
#55018=DIRECTION('',(1.224606353822E-16,-1.359586170116E-32,-1.));
#55019=DIRECTION('',(1.110223024625E-16,1.,-7.4608195581044E-45));
#55020=DIRECTION('',(-1.224606353822E-16,2.719172340232E-32,-1.));
#55021=DIRECTION('',(-2.22044604925E-16,-1.,-1.49216391162088E-44));
#55022=DIRECTION('',(-1.224606353822E-16,2.719172340232E-32,-1.));
#55023=DIRECTION('',(-2.22044604925E-16,-1.,-1.49216391162088E-44));
#55024=DIRECTION('',(1.224606353822E-16,-1.359586170116E-32,-1.));
#55025=DIRECTION('',(1.110223024625E-16,1.,-7.4608195581044E-45));
#55026=DIRECTION('',(-1.224606353822E-16,2.719172340232E-32,-1.));
#55027=DIRECTION('',(-2.22044604925E-16,-1.,-1.49216391162088E-44));
#55028=DIRECTION('',(1.224606353822E-16,-1.359586170116E-32,-1.));
#55029=DIRECTION('',(1.110223024625E-16,1.,-7.4608195581044E-45));
#55030=DIRECTION('',(1.224606353822E-16,-1.359586170116E-32,-1.));
#55031=DIRECTION('',(1.110223024625E-16,1.,-7.4608195581044E-45));
#55032=DIRECTION('',(0.,0.,1.));
#55033=DIRECTION('',(1.,0.,0.));
#55034=DIRECTION('',(0.,0.,1.));
#55035=DIRECTION('',(1.,0.,0.));
#55036=DIRECTION('',(0.,0.,1.));
#55037=DIRECTION('',(-2.22044604925E-16,-1.,0.));
#55038=DIRECTION('',(0.,0.,1.));
#55039=DIRECTION('',(1.110223024625E-16,1.,0.));
#55040=DIRECTION('',(0.,0.,1.));
#55041=DIRECTION('',(-1.,1.224606353822E-16,0.));
#55042=DIRECTION('',(0.,0.,1.));
#55043=DIRECTION('',(-1.,1.224606353822E-16,0.));
#55044=DIRECTION('',(0.,0.,1.));
#55045=DIRECTION('',(-1.,1.224606353822E-16,0.));
#55046=DIRECTION('',(0.,0.,1.));
#55047=DIRECTION('',(-1.,1.224606353822E-16,0.));
#55048=DIRECTION('',(0.,0.,1.));
#55049=DIRECTION('',(-1.,1.224606353822E-16,0.));
#55050=DIRECTION('',(0.,0.,1.));
#55051=DIRECTION('',(-1.,1.224606353822E-16,0.));
#55052=DIRECTION('',(0.,0.,1.));
#55053=DIRECTION('',(-1.,1.224606353822E-16,0.));
#55054=DIRECTION('',(0.,0.,1.));
#55055=DIRECTION('',(-1.,1.224606353822E-16,0.));
#55056=DIRECTION('',(0.,0.,1.));
#55057=DIRECTION('',(-1.,1.224606353822E-16,0.));
#55058=DIRECTION('',(0.,0.,1.));
#55059=DIRECTION('',(-1.,1.224606353822E-16,0.));
#55060=DIRECTION('',(0.,0.,1.));
#55061=DIRECTION('',(-1.,1.224606353822E-16,0.));
#55062=DIRECTION('',(0.,0.,1.));
#55063=DIRECTION('',(-1.,1.224606353822E-16,0.));
#55064=DIRECTION('',(0.,0.,1.));
#55065=DIRECTION('',(1.,0.,0.));
#55066=DIRECTION('',(0.,0.,1.));
#55067=DIRECTION('',(-1.,1.224606353822E-16,0.));
#55068=DIRECTION('',(0.,0.,1.));
#55069=DIRECTION('',(1.110223024625E-16,1.,0.));
#55070=DIRECTION('',(0.,-1.224606353822E-16,-1.));
#55071=DIRECTION('',(1.,0.,0.));
#55072=DIRECTION('',(0.,-1.224606353822E-16,-1.));
#55073=DIRECTION('',(1.,0.,0.));
#55074=DIRECTION('',(1.499660721822E-32,1.224606353822E-16,-1.));
#55075=DIRECTION('',(-1.,1.224606353822E-16,-7.86314548438516E-45));
#55076=DIRECTION('',(0.,0.,1.));
#55077=DIRECTION('',(-1.,1.224606353822E-16,0.));
#55078=DIRECTION('',(0.,0.,1.));
#55079=DIRECTION('',(-2.22044604925E-16,-1.,0.));
#55080=DIRECTION('',(-1.224606353822E-16,2.719172340232E-32,-1.));
#55081=DIRECTION('',(-2.22044604925E-16,-1.,-1.49216391162088E-44));
#55082=DIRECTION('',(1.224606353822E-16,-1.359586170116E-32,-1.));
#55083=DIRECTION('',(1.110223024625E-16,1.,-7.4608195581044E-45));
#55084=DIRECTION('',(0.,0.,1.));
#55085=DIRECTION('',(-2.22044604925E-16,-1.,0.));
#55086=DIRECTION('',(-1.224606353822E-16,2.719172340232E-32,-1.));
#55087=DIRECTION('',(-2.22044604925E-16,-1.,-1.49216391162088E-44));
#55088=DIRECTION('',(0.,0.,1.));
#55089=DIRECTION('',(-1.,1.224606353822E-16,0.));
#55090=DIRECTION('',(1.499660721822E-32,1.224606353822E-16,-1.));
#55091=DIRECTION('',(-1.,1.224606353822E-16,-7.86314548438516E-45));
#55092=DIRECTION('',(0.,0.,1.));
#55093=DIRECTION('',(1.,0.,0.));
#55094=DIRECTION('',(0.,-1.224606353822E-16,-1.));
#55095=DIRECTION('',(1.,0.,0.));
#55096=DIRECTION('',(0.,-1.224606353822E-16,-1.));
#55097=DIRECTION('',(1.,0.,0.));
#55098=DIRECTION('',(0.,0.,1.));
#55099=DIRECTION('',(-2.22044604925E-16,-1.,0.));
#55100=DIRECTION('',(1.224606353822E-16,-1.359586170116E-32,-1.));
#55101=DIRECTION('',(1.110223024625E-16,1.,-7.4608195581044E-45));
#55102=DIRECTION('',(-1.224606353822E-16,2.719172340232E-32,-1.));
#55103=DIRECTION('',(-2.22044604925E-16,-1.,-1.49216391162088E-44));
#55104=DIRECTION('',(-1.224606353822E-16,2.719172340232E-32,-1.));
#55105=DIRECTION('',(-2.22044604925E-16,-1.,-1.49216391162088E-44));
#55106=DIRECTION('',(1.224606353822E-16,-1.359586170116E-32,-1.));
#55107=DIRECTION('',(1.110223024625E-16,1.,-7.4608195581044E-45));
#55108=DIRECTION('',(1.224606353822E-16,-1.359586170116E-32,-1.));
#55109=DIRECTION('',(1.110223024625E-16,1.,-7.4608195581044E-45));
#55110=DIRECTION('',(1.224606353822E-16,-1.359586170116E-32,-1.));
#55111=DIRECTION('',(1.110223024625E-16,1.,-7.4608195581044E-45));
#55112=DIRECTION('',(1.224606353822E-16,-1.359586170116E-32,-1.));
#55113=DIRECTION('',(1.110223024625E-16,1.,-7.4608195581044E-45));
#55114=DIRECTION('',(0.,-1.224606353822E-16,-1.));
#55115=DIRECTION('',(1.,0.,0.));
#55116=DIRECTION('',(0.,-1.224606353822E-16,-1.));
#55117=DIRECTION('',(1.,0.,0.));
#55118=DIRECTION('',(0.,0.,1.));
#55119=DIRECTION('',(1.,0.,0.));
#55120=DIRECTION('axis',(0.,0.,1.));
#55121=DIRECTION('refdir',(1.,0.,0.));
#55122=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186548));
#55123=DIRECTION('ref_axis',(-1.,0.,0.));
#55124=DIRECTION('',(1.,0.,0.));
#55125=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626));
#55126=DIRECTION('',(-1.,0.,0.));
#55127=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626));
#55128=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547,0.));
#55129=DIRECTION('ref_axis',(0.,0.,1.));
#55130=DIRECTION('',(0.,0.,1.));
#55131=DIRECTION('',(0.,0.,-1.));
#55132=DIRECTION('',(-0.577350269189626,0.577350269189626,0.577350269189626));
#55133=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.));
#55134=DIRECTION('ref_axis',(0.,0.,-1.));
#55135=DIRECTION('',(0.,0.,1.));
#55136=DIRECTION('',(0.,0.,-1.));
#55137=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626));
#55138=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186548));
#55139=DIRECTION('ref_axis',(1.,0.,0.));
#55140=DIRECTION('',(-1.,0.,0.));
#55141=DIRECTION('',(1.,0.,0.));
#55142=DIRECTION('center_axis',(-1.,0.,0.));
#55143=DIRECTION('ref_axis',(0.,0.,1.));
#55144=DIRECTION('',(0.,-1.,0.));
#55145=DIRECTION('',(0.,0.,-1.));
#55146=DIRECTION('',(0.,1.,0.));
#55147=DIRECTION('center_axis',(0.,0.,-1.));
#55148=DIRECTION('ref_axis',(-1.,0.,0.));
#55149=DIRECTION('',(0.,-1.,0.));
#55150=DIRECTION('',(1.,0.,0.));
#55151=DIRECTION('center_axis',(0.,1.,0.));
#55152=DIRECTION('ref_axis',(1.,0.,0.));
#55153=DIRECTION('',(0.,0.,-1.));
#55154=DIRECTION('',(1.,0.,0.));
#55155=DIRECTION('',(0.,0.,1.));
#55156=DIRECTION('',(-1.,0.,0.));
#55157=DIRECTION('center_axis',(0.,1.,0.));
#55158=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548));
#55159=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548,0.));
#55160=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.));
#55161=DIRECTION('',(0.,-1.,0.));
#55162=DIRECTION('center_axis',(0.,-1.,0.));
#55163=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548));
#55164=DIRECTION('',(0.,1.,0.));
#55165=DIRECTION('center_axis',(0.,-0.707106781186547,0.707106781186548));
#55166=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547));
#55167=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548));
#55168=DIRECTION('ref_axis',(-1.,0.,0.));
#55169=DIRECTION('',(-1.,0.,0.));
#55170=DIRECTION('center_axis',(0.,-0.707106781186547,0.707106781186548));
#55171=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547));
#55172=DIRECTION('',(-0.577350269189626,-0.577350269189626,-0.577350269189626));
#55173=DIRECTION('',(1.,0.,0.));
#55174=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626));
#55175=DIRECTION('center_axis',(0.,0.,-1.));
#55176=DIRECTION('ref_axis',(-1.,0.,0.));
#55177=DIRECTION('',(-1.,0.,0.));
#55178=DIRECTION('',(0.,-1.,0.));
#55179=DIRECTION('center_axis',(0.,1.,0.));
#55180=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548));
#55181=DIRECTION('center_axis',(0.,-1.,0.));
#55182=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548));
#55183=DIRECTION('',(0.,1.,0.));
#55184=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.));
#55185=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.));
#55186=DIRECTION('center_axis',(0.,1.,0.));
#55187=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#55188=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.));
#55189=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.));
#55190=DIRECTION('',(0.,-1.,0.));
#55191=DIRECTION('center_axis',(0.,-1.,0.));
#55192=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#55193=DIRECTION('',(0.,1.,0.));
#55194=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#55195=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#55196=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186547));
#55197=DIRECTION('ref_axis',(1.,0.,0.));
#55198=DIRECTION('',(1.,0.,0.));
#55199=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#55200=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#55201=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626));
#55202=DIRECTION('',(-1.,0.,0.));
#55203=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626));
#55204=DIRECTION('center_axis',(0.,0.,1.));
#55205=DIRECTION('ref_axis',(1.,0.,0.));
#55206=DIRECTION('',(1.,0.,0.));
#55207=DIRECTION('',(0.,-1.,0.));
#55208=DIRECTION('center_axis',(0.,1.,0.));
#55209=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#55210=DIRECTION('center_axis',(0.,-1.,0.));
#55211=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#55212=DIRECTION('',(0.,1.,0.));
#55213=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548,0.));
#55214=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.));
#55215=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.));
#55216=DIRECTION('ref_axis',(0.,0.,-1.));
#55217=DIRECTION('',(0.,0.,1.));
#55218=DIRECTION('',(0.,0.,-1.));
#55219=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.));
#55220=DIRECTION('ref_axis',(0.,0.,1.));
#55221=DIRECTION('',(0.,0.,1.));
#55222=DIRECTION('',(0.,0.,-1.));
#55223=DIRECTION('center_axis',(-1.,0.,0.));
#55224=DIRECTION('ref_axis',(0.,0.,1.));
#55225=DIRECTION('',(0.,0.,1.));
#55226=DIRECTION('',(0.,-1.,0.));
#55227=DIRECTION('',(0.,1.,0.));
#55228=DIRECTION('',(0.,0.,1.));
#55229=DIRECTION('center_axis',(0.,1.,0.));
#55230=DIRECTION('ref_axis',(0.,0.,1.));
#55231=DIRECTION('center_axis',(0.,1.,0.));
#55232=DIRECTION('ref_axis',(-1.,0.,0.));
#55233=DIRECTION('',(0.,0.,1.));
#55234=DIRECTION('',(-1.,0.,0.));
#55235=DIRECTION('center_axis',(0.,0.,1.));
#55236=DIRECTION('ref_axis',(1.,0.,0.));
#55237=DIRECTION('',(0.,-1.,0.));
#55238=DIRECTION('',(1.,0.,0.));
#55239=DIRECTION('center_axis',(0.,1.,0.));
#55240=DIRECTION('ref_axis',(0.,0.,1.));
#55241=DIRECTION('',(0.,0.,-1.));
#55242=DIRECTION('center_axis',(0.,0.,-1.));
#55243=DIRECTION('ref_axis',(-1.,0.,0.));
#55244=DIRECTION('',(-1.,0.,0.));
#55245=DIRECTION('',(0.,1.,0.));
#55246=DIRECTION('center_axis',(0.,1.,0.));
#55247=DIRECTION('ref_axis',(0.,0.,1.));
#55248=DIRECTION('',(0.,0.,-1.));
#55249=DIRECTION('center_axis',(0.,0.,1.));
#55250=DIRECTION('ref_axis',(1.,0.,0.));
#55251=DIRECTION('',(0.,-1.,0.));
#55252=DIRECTION('center_axis',(1.,0.,0.));
#55253=DIRECTION('ref_axis',(0.,0.,-1.));
#55254=DIRECTION('center_axis',(1.,0.,0.));
#55255=DIRECTION('ref_axis',(0.,0.,-1.));
#55256=DIRECTION('axis',(0.,0.,1.));
#55257=DIRECTION('refdir',(1.,0.,0.));
#55258=DIRECTION('center_axis',(-0.707106781186548,-1.42590029411066E-16,
-0.707106781186548));
#55259=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#55260=DIRECTION('center_axis',(0.707106781186548,1.42590029411066E-16,
0.707106781186548));
#55261=DIRECTION('ref_axis',(-0.707106781186548,1.47196168001604E-16,0.707106781186548));
#55262=DIRECTION('',(9.06304509898087E-17,-1.,1.110223024625E-16));
#55263=DIRECTION('center_axis',(0.707106781186548,1.42590029411066E-16,
0.707106781186548));
#55264=DIRECTION('ref_axis',(-0.707106781186547,1.47196168001604E-16,0.707106781186547));
#55265=DIRECTION('',(-9.06304509898087E-17,1.,-1.110223024625E-16));
#55266=DIRECTION('center_axis',(1.,0.,0.));
#55267=DIRECTION('ref_axis',(0.,-0.7806247497998,0.625));
#55268=DIRECTION('center_axis',(1.,9.06304509898087E-17,0.));
#55269=DIRECTION('ref_axis',(0.,-0.7806247497998,0.625));
#55270=DIRECTION('center_axis',(0.707106781186548,1.42590029411066E-16,
0.707106781186547));
#55271=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186548));
#55272=DIRECTION('',(-1.,0.,0.));
#55273=DIRECTION('center_axis',(1.,8.86405608483159E-17,0.));
#55274=DIRECTION('ref_axis',(0.,-0.7806247497998,0.625));
#55275=DIRECTION('center_axis',(1.,8.86405608483159E-17,0.));
#55276=DIRECTION('ref_axis',(0.,-0.7806247497998,0.625));
#55277=DIRECTION('center_axis',(1.,8.86405608483159E-17,0.));
#55278=DIRECTION('ref_axis',(0.,-0.7806247497998,0.625));
#55279=DIRECTION('',(1.,0.,0.));
#55280=DIRECTION('center_axis',(-1.,-9.06304509898087E-17,0.));
#55281=DIRECTION('ref_axis',(9.06304509898087E-17,-1.,-2.26576127474522E-17));
#55282=DIRECTION('center_axis',(1.,9.06304509898087E-17,0.));
#55283=DIRECTION('ref_axis',(0.,-0.7806247497998,0.625));
#55284=DIRECTION('',(-9.06304509898087E-17,1.,-1.110223024625E-16));
#55285=DIRECTION('',(0.,0.,-1.));
#55286=DIRECTION('',(9.06304509898087E-17,-1.,-2.26576127474522E-17));
#55287=DIRECTION('',(0.,0.,1.));
#55288=DIRECTION('',(-9.06304509898087E-17,1.,-1.110223024625E-16));
#55289=DIRECTION('center_axis',(1.,9.06304509898087E-17,0.));
#55290=DIRECTION('ref_axis',(0.,-0.780624749799802,0.624999999999997));
#55291=DIRECTION('',(-9.06304509898087E-17,1.,-1.110223024625E-16));
#55292=DIRECTION('center_axis',(1.,9.06304509898087E-17,0.));
#55293=DIRECTION('ref_axis',(0.,-0.780624749799801,0.624999999999999));
#55294=DIRECTION('',(-9.06304509898087E-17,1.,-1.110223024625E-16));
#55295=DIRECTION('center_axis',(1.,9.06304509898087E-17,0.));
#55296=DIRECTION('ref_axis',(0.,-0.780624749799801,0.624999999999999));
#55297=DIRECTION('',(-9.06304509898087E-17,1.,-1.110223024625E-16));
#55298=DIRECTION('center_axis',(1.,9.06304509898087E-17,0.));
#55299=DIRECTION('ref_axis',(0.,-0.780624749799801,0.624999999999999));
#55300=DIRECTION('',(-9.06304509898087E-17,1.,-1.110223024625E-16));
#55301=DIRECTION('center_axis',(-0.707106781186548,-1.42590029411066E-16,
-0.707106781186547));
#55302=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186548));
#55303=DIRECTION('center_axis',(0.707106781186548,1.42590029411066E-16,
0.707106781186547));
#55304=DIRECTION('ref_axis',(-0.707106781186547,1.47196168001604E-16,0.707106781186548));
#55305=DIRECTION('',(9.06304509898087E-17,-1.,1.110223024625E-16));
#55306=DIRECTION('center_axis',(1.,0.,0.));
#55307=DIRECTION('ref_axis',(0.,-0.780624749799801,0.624999999999999));
#55308=DIRECTION('center_axis',(0.707106781186548,1.42590029411066E-16,
0.707106781186547));
#55309=DIRECTION('ref_axis',(-0.707106781186547,1.47196168001604E-16,0.707106781186548));
#55310=DIRECTION('',(-1.,0.,0.));
#55311=DIRECTION('center_axis',(1.,8.86405608483159E-17,0.));
#55312=DIRECTION('ref_axis',(0.,-0.780624749799801,0.624999999999999));
#55313=DIRECTION('center_axis',(1.,8.86405608483159E-17,0.));
#55314=DIRECTION('ref_axis',(0.,-0.780624749799801,0.624999999999999));
#55315=DIRECTION('center_axis',(1.,8.86405608483159E-17,0.));
#55316=DIRECTION('ref_axis',(0.,-0.780624749799801,0.624999999999999));
#55317=DIRECTION('',(1.,0.,0.));
#55318=DIRECTION('center_axis',(-0.707106781186548,-1.42590029411066E-16,
-0.707106781186547));
#55319=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186548));
#55320=DIRECTION('center_axis',(0.707106781186548,1.42590029411066E-16,
0.707106781186547));
#55321=DIRECTION('ref_axis',(-0.707106781186547,1.96261557335472E-16,0.707106781186548));
#55322=DIRECTION('',(9.06304509898087E-17,-1.,1.110223024625E-16));
#55323=DIRECTION('center_axis',(1.,0.,0.));
#55324=DIRECTION('ref_axis',(0.,-0.780624749799801,0.624999999999999));
#55325=DIRECTION('center_axis',(0.707106781186548,1.42590029411066E-16,
0.707106781186548));
#55326=DIRECTION('ref_axis',(-0.707106781186548,1.96261557335472E-16,0.707106781186547));
#55327=DIRECTION('',(-1.,0.,0.));
#55328=DIRECTION('center_axis',(1.,8.86405608483159E-17,0.));
#55329=DIRECTION('ref_axis',(0.,-0.780624749799801,0.624999999999999));
#55330=DIRECTION('center_axis',(1.,8.86405608483159E-17,0.));
#55331=DIRECTION('ref_axis',(0.,-0.780624749799801,0.624999999999999));
#55332=DIRECTION('center_axis',(1.,8.86405608483159E-17,0.));
#55333=DIRECTION('ref_axis',(0.,-0.780624749799801,0.624999999999999));
#55334=DIRECTION('',(1.,0.,0.));
#55335=DIRECTION('center_axis',(-0.707106781186548,-1.42590029411066E-16,
-0.707106781186548));
#55336=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#55337=DIRECTION('center_axis',(0.707106781186548,1.42590029411066E-16,
0.707106781186548));
#55338=DIRECTION('ref_axis',(-0.707106781186547,9.81307786677359E-17,0.707106781186547));
#55339=DIRECTION('',(9.06304509898087E-17,-1.,1.110223024625E-16));
#55340=DIRECTION('center_axis',(1.,0.,0.));
#55341=DIRECTION('ref_axis',(0.,-0.780624749799801,0.624999999999999));
#55342=DIRECTION('center_axis',(0.707106781186548,1.42590029411066E-16,
0.707106781186547));
#55343=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186548));
#55344=DIRECTION('',(-1.,0.,0.));
#55345=DIRECTION('center_axis',(1.,8.86405608483159E-17,0.));
#55346=DIRECTION('ref_axis',(0.,-0.780624749799801,0.624999999999999));
#55347=DIRECTION('center_axis',(1.,8.86405608483159E-17,0.));
#55348=DIRECTION('ref_axis',(0.,-0.780624749799801,0.624999999999999));
#55349=DIRECTION('center_axis',(1.,8.86405608483159E-17,0.));
#55350=DIRECTION('ref_axis',(0.,-0.780624749799801,0.624999999999999));
#55351=DIRECTION('',(1.,0.,0.));
#55352=DIRECTION('center_axis',(-0.707106781186548,-1.42590029411066E-16,
-0.707106781186547));
#55353=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186548));
#55354=DIRECTION('center_axis',(0.707106781186548,1.42590029411066E-16,
0.707106781186547));
#55355=DIRECTION('ref_axis',(-0.707106781186547,9.81307786677359E-17,0.707106781186548));
#55356=DIRECTION('',(9.06304509898087E-17,-1.,1.110223024625E-16));
#55357=DIRECTION('center_axis',(1.,0.,0.));
#55358=DIRECTION('ref_axis',(0.,-0.780624749799802,0.624999999999997));
#55359=DIRECTION('center_axis',(0.707106781186548,1.42590029411066E-16,
0.707106781186548));
#55360=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186548));
#55361=DIRECTION('',(-1.,0.,0.));
#55362=DIRECTION('center_axis',(1.,8.86405608483159E-17,0.));
#55363=DIRECTION('ref_axis',(0.,-0.780624749799802,0.624999999999997));
#55364=DIRECTION('center_axis',(1.,8.86405608483159E-17,0.));
#55365=DIRECTION('ref_axis',(0.,-0.780624749799802,0.624999999999997));
#55366=DIRECTION('center_axis',(1.,8.86405608483159E-17,0.));
#55367=DIRECTION('ref_axis',(0.,-0.780624749799802,0.624999999999997));
#55368=DIRECTION('',(1.,0.,0.));
#55369=DIRECTION('center_axis',(0.707106781186556,-3.98931655318625E-16,
-0.707106781186539));
#55370=DIRECTION('ref_axis',(-0.707106781186539,0.,-0.707106781186556));
#55371=DIRECTION('center_axis',(-0.707106781186556,3.98931655318625E-16,
0.707106781186539));
#55372=DIRECTION('ref_axis',(0.707106781186539,4.41588504004817E-16,0.707106781186556));
#55373=DIRECTION('',(-4.53152254949043E-16,-1.,1.110223024625E-16));
#55374=DIRECTION('',(-0.707106781186545,0.,-0.70710678118655));
#55375=DIRECTION('',(4.53152254949043E-16,1.,-1.110223024625E-16));
#55376=DIRECTION('center_axis',(0.,0.,1.));
#55377=DIRECTION('ref_axis',(-2.96059473233375E-15,-1.,5.04646829375E-17));
#55378=DIRECTION('',(0.,0.707106781186555,-0.70710678118654));
#55379=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#55380=DIRECTION('ref_axis',(-2.96059473233375E-15,-1.,1.110223024625E-16));
#55381=DIRECTION('center_axis',(0.,-1.110223024625E-16,-1.));
#55382=DIRECTION('ref_axis',(-2.96059473233375E-15,-1.,0.));
#55383=DIRECTION('center_axis',(0.,-0.70710678118655,-0.707106781186545));
#55384=DIRECTION('ref_axis',(-1.,0.,0.));
#55385=DIRECTION('',(0.,0.707106781186551,-0.707106781186544));
#55386=DIRECTION('',(1.,0.,0.));
#55387=DIRECTION('',(-1.,0.,0.));
#55388=DIRECTION('center_axis',(0.,0.,1.));
#55389=DIRECTION('ref_axis',(-1.,0.,0.));
#55390=DIRECTION('',(0.707106781186555,0.,-0.70710678118654));
#55391=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#55392=DIRECTION('ref_axis',(-1.,0.,0.));
#55393=DIRECTION('center_axis',(0.,-1.110223024625E-16,-1.));
#55394=DIRECTION('ref_axis',(-1.,0.,0.));
#55395=DIRECTION('center_axis',(-0.707106781186548,-1.42590029411066E-16,
-0.707106781186547));
#55396=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186548));
#55397=DIRECTION('center_axis',(0.707106781186548,1.42590029411066E-16,
0.707106781186547));
#55398=DIRECTION('ref_axis',(-0.707106781186547,4.9065389333868E-17,0.707106781186548));
#55399=DIRECTION('',(9.06304509898087E-17,-1.,1.110223024625E-16));
#55400=DIRECTION('center_axis',(0.,0.,1.));
#55401=DIRECTION('ref_axis',(-1.,0.,0.));
#55402=DIRECTION('',(0.,0.,1.));
#55403=DIRECTION('center_axis',(0.,0.,1.));
#55404=DIRECTION('ref_axis',(-1.,0.,0.));
#55405=DIRECTION('center_axis',(0.,-1.,0.));
#55406=DIRECTION('ref_axis',(1.,0.,-4.55943747279325E-17));
#55407=DIRECTION('',(0.,0.,1.));
#55408=DIRECTION('',(1.,0.,-4.55943747279325E-17));
#55409=DIRECTION('center_axis',(0.,0.,1.));
#55410=DIRECTION('ref_axis',(-2.96059473233375E-15,-1.,0.));
#55411=DIRECTION('',(0.,0.,1.));
#55412=DIRECTION('center_axis',(0.,0.,1.));
#55413=DIRECTION('ref_axis',(-2.96059473233375E-15,-1.,0.));
#55414=DIRECTION('center_axis',(1.,-4.53152254949043E-16,0.));
#55415=DIRECTION('ref_axis',(4.53152254949043E-16,1.,-2.26576127474522E-17));
#55416=DIRECTION('center_axis',(-1.,4.53152254949043E-16,0.));
#55417=DIRECTION('ref_axis',(0.,0.7806247497998,0.625));
#55418=DIRECTION('',(-4.53152254949043E-16,-1.,1.110223024625E-16));
#55419=DIRECTION('',(0.,0.,1.));
#55420=DIRECTION('',(4.53152254949043E-16,1.,-2.26576127474522E-17));
#55421=DIRECTION('center_axis',(-1.,0.,0.));
#55422=DIRECTION('ref_axis',(0.,0.7806247497998,0.625));
#55423=DIRECTION('',(1.,0.,0.));
#55424=DIRECTION('center_axis',(-1.,4.43202804241579E-16,0.));
#55425=DIRECTION('ref_axis',(0.,0.7806247497998,0.625));
#55426=DIRECTION('center_axis',(-1.,4.43202804241579E-16,0.));
#55427=DIRECTION('ref_axis',(0.,0.7806247497998,0.625));
#55428=DIRECTION('center_axis',(-1.,4.43202804241579E-16,0.));
#55429=DIRECTION('ref_axis',(0.,0.7806247497998,0.625));
#55430=DIRECTION('',(-1.,0.,0.));
#55431=DIRECTION('center_axis',(-0.707106781186548,3.98931655318622E-16,
0.707106781186547));
#55432=DIRECTION('ref_axis',(0.707106781186547,3.92523114670944E-16,0.707106781186548));
#55433=DIRECTION('center_axis',(-0.707106781186548,-1.42590029411066E-16,
-0.707106781186548));
#55434=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#55435=DIRECTION('',(0.707106781186551,0.,-0.707106781186544));
#55436=DIRECTION('',(9.06304509898087E-17,-1.,1.110223024625E-16));
#55437=DIRECTION('center_axis',(0.,0.,1.));
#55438=DIRECTION('ref_axis',(-1.48029736616687E-15,1.,-5.04646829375001E-17));
#55439=DIRECTION('',(9.81307786677358E-16,-0.707106781186532,-0.707106781186563));
#55440=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#55441=DIRECTION('ref_axis',(-1.48029736616687E-15,1.,-1.110223024625E-16));
#55442=DIRECTION('center_axis',(0.,-1.110223024625E-16,-1.));
#55443=DIRECTION('ref_axis',(-1.48029736616687E-15,1.,0.));
#55444=DIRECTION('center_axis',(0.,0.707106781186543,-0.707106781186552));
#55445=DIRECTION('ref_axis',(-1.,0.,0.));
#55446=DIRECTION('',(0.,-0.707106781186552,-0.707106781186543));
#55447=DIRECTION('',(-1.,0.,0.));
#55448=DIRECTION('',(1.,0.,0.));
#55449=DIRECTION('center_axis',(0.,0.,1.));
#55450=DIRECTION('ref_axis',(1.,0.,0.));
#55451=DIRECTION('',(-0.707106781186538,0.,-0.707106781186557));
#55452=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#55453=DIRECTION('ref_axis',(1.,0.,0.));
#55454=DIRECTION('center_axis',(0.,-1.110223024625E-16,-1.));
#55455=DIRECTION('ref_axis',(1.,0.,0.));
#55456=DIRECTION('center_axis',(0.707106781186548,-3.98931655318622E-16,
-0.707106781186547));
#55457=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548));
#55458=DIRECTION('',(4.53152254949043E-16,1.,-1.110223024625E-16));
#55459=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#55460=DIRECTION('ref_axis',(1.,0.,0.));
#55461=DIRECTION('',(4.43202804241579E-16,1.,-1.110223024625E-16));
#55462=DIRECTION('',(4.43202804241579E-16,1.,-1.110223024625E-16));
#55463=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#55464=DIRECTION('ref_axis',(0.707106781186554,0.707106781186541,0.));
#55465=DIRECTION('',(-1.,0.,0.));
#55466=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#55467=DIRECTION('ref_axis',(-0.707106781186555,0.70710678118654,0.));
#55468=DIRECTION('',(8.86405608483159E-17,-1.,1.110223024625E-16));
#55469=DIRECTION('',(8.86405608483159E-17,-1.,1.110223024625E-16));
#55470=DIRECTION('center_axis',(-4.55943747279325E-17,0.,1.));
#55471=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55472=DIRECTION('center_axis',(4.55943747279325E-17,0.,-1.));
#55473=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55474=DIRECTION('center_axis',(4.55943747279325E-17,0.,-1.));
#55475=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55476=DIRECTION('',(0.707106781186547,-8.65956056235493E-17,-0.707106781186548));
#55477=DIRECTION('center_axis',(4.55943747279325E-17,0.,-1.));
#55478=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55479=DIRECTION('center_axis',(4.55943747279325E-17,0.,-1.));
#55480=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55481=DIRECTION('center_axis',(4.55943747279325E-17,0.,-1.));
#55482=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55483=DIRECTION('',(4.55943747279325E-17,0.,-1.));
#55484=DIRECTION('center_axis',(0.,0.,1.));
#55485=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55486=DIRECTION('center_axis',(-4.55943747279325E-17,0.,1.));
#55487=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55488=DIRECTION('center_axis',(4.55943747279325E-17,0.,-1.));
#55489=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55490=DIRECTION('center_axis',(4.55943747279325E-17,0.,-1.));
#55491=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55492=DIRECTION('',(0.707106781186547,-8.65956056235493E-17,-0.707106781186548));
#55493=DIRECTION('center_axis',(4.55943747279325E-17,0.,-1.));
#55494=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55495=DIRECTION('center_axis',(4.55943747279325E-17,0.,-1.));
#55496=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55497=DIRECTION('center_axis',(4.55943747279325E-17,0.,-1.));
#55498=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55499=DIRECTION('',(4.55943747279325E-17,0.,-1.));
#55500=DIRECTION('center_axis',(0.,0.,1.));
#55501=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55502=DIRECTION('center_axis',(-4.55943747279325E-17,0.,1.));
#55503=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55504=DIRECTION('center_axis',(4.55943747279325E-17,0.,-1.));
#55505=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55506=DIRECTION('center_axis',(4.55943747279325E-17,0.,-1.));
#55507=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55508=DIRECTION('',(0.707106781186547,-8.65956056235493E-17,-0.707106781186548));
#55509=DIRECTION('center_axis',(4.55943747279325E-17,0.,-1.));
#55510=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55511=DIRECTION('center_axis',(4.55943747279325E-17,0.,-1.));
#55512=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55513=DIRECTION('center_axis',(4.55943747279325E-17,0.,-1.));
#55514=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55515=DIRECTION('',(4.55943747279325E-17,0.,-1.));
#55516=DIRECTION('center_axis',(0.,0.,1.));
#55517=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55518=DIRECTION('center_axis',(-4.55943747279325E-17,0.,1.));
#55519=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55520=DIRECTION('center_axis',(4.55943747279325E-17,0.,-1.));
#55521=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55522=DIRECTION('center_axis',(4.55943747279325E-17,0.,-1.));
#55523=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55524=DIRECTION('',(0.707106781186547,-8.65956056235493E-17,-0.707106781186548));
#55525=DIRECTION('center_axis',(4.55943747279325E-17,0.,-1.));
#55526=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55527=DIRECTION('center_axis',(4.55943747279325E-17,0.,-1.));
#55528=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55529=DIRECTION('center_axis',(4.55943747279325E-17,0.,-1.));
#55530=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55531=DIRECTION('',(4.55943747279325E-17,0.,-1.));
#55532=DIRECTION('center_axis',(0.,0.,1.));
#55533=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55534=DIRECTION('center_axis',(0.,0.,-1.));
#55535=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.));
#55536=DIRECTION('center_axis',(0.,0.,1.));
#55537=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.));
#55538=DIRECTION('',(0.,0.,1.));
#55539=DIRECTION('center_axis',(0.,0.,1.));
#55540=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.));
#55541=DIRECTION('',(0.,0.,-1.));
#55542=DIRECTION('center_axis',(0.,1.,0.));
#55543=DIRECTION('ref_axis',(1.,0.,0.));
#55544=DIRECTION('',(-1.,0.,0.));
#55545=DIRECTION('',(0.,0.,-1.));
#55546=DIRECTION('',(-1.,0.,0.));
#55547=DIRECTION('center_axis',(0.,0.,1.));
#55548=DIRECTION('ref_axis',(1.,0.,0.));
#55549=DIRECTION('',(3.31409858097062E-15,1.,0.));
#55550=DIRECTION('',(-1.,-1.86200926561871E-16,0.));
#55551=DIRECTION('',(-3.31409858097062E-16,-1.,0.));
#55552=DIRECTION('center_axis',(0.,0.,1.));
#55553=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.));
#55554=DIRECTION('',(-1.,0.,0.));
#55555=DIRECTION('',(-4.57823927680477E-16,-1.,0.));
#55556=DIRECTION('',(1.,0.,0.));
#55557=DIRECTION('',(4.43202804241579E-16,1.,0.));
#55558=DIRECTION('center_axis',(0.,0.,1.));
#55559=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.));
#55560=DIRECTION('',(1.,1.74494777937156E-16,0.));
#55561=DIRECTION('center_axis',(0.,0.,1.));
#55562=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.));
#55563=DIRECTION('',(8.86405608483159E-17,-1.,0.));
#55564=DIRECTION('',(1.,0.,0.));
#55565=DIRECTION('',(-9.15647855360954E-17,1.,0.));
#55566=DIRECTION('center_axis',(0.,0.,-1.));
#55567=DIRECTION('ref_axis',(1.,0.,0.));
#55568=DIRECTION('center_axis',(0.,0.,-1.));
#55569=DIRECTION('ref_axis',(1.,0.,0.));
#55570=DIRECTION('center_axis',(0.,0.,-1.));
#55571=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.));
#55572=DIRECTION('',(0.,0.,1.));
#55573=DIRECTION('center_axis',(0.,0.,1.));
#55574=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.));
#55575=DIRECTION('',(0.,0.,-1.));
#55576=DIRECTION('center_axis',(-1.,3.31409858097062E-16,0.));
#55577=DIRECTION('ref_axis',(3.31409858097062E-16,1.,0.));
#55578=DIRECTION('',(0.,0.,-1.));
#55579=DIRECTION('',(-3.31409858097062E-16,-1.,0.));
#55580=DIRECTION('center_axis',(0.,0.,-1.));
#55581=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.));
#55582=DIRECTION('center_axis',(0.,0.,1.));
#55583=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.));
#55584=DIRECTION('',(0.,0.,1.));
#55585=DIRECTION('center_axis',(0.,0.,1.));
#55586=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.));
#55587=DIRECTION('',(0.,0.,-1.));
#55588=DIRECTION('center_axis',(1.,6.62819716194124E-16,0.));
#55589=DIRECTION('ref_axis',(6.62819716194124E-16,-1.,0.));
#55590=DIRECTION('',(-6.62819716194124E-16,1.,0.));
#55591=DIRECTION('',(0.,0.,-1.));
#55592=DIRECTION('',(-6.62819716194124E-16,1.,0.));
#55593=DIRECTION('center_axis',(0.,0.,1.));
#55594=DIRECTION('ref_axis',(1.,0.,0.));
#55595=DIRECTION('',(1.,-1.32563943238825E-15,0.));
#55596=DIRECTION('',(-9.15647855360954E-17,1.,0.));
#55597=DIRECTION('',(-1.,0.,0.));
#55598=DIRECTION('',(8.86405608483159E-17,-1.,0.));
#55599=DIRECTION('center_axis',(0.,0.,1.));
#55600=DIRECTION('ref_axis',(-0.707106781186555,0.70710678118654,0.));
#55601=DIRECTION('',(-1.,0.,0.));
#55602=DIRECTION('center_axis',(0.,0.,1.));
#55603=DIRECTION('ref_axis',(0.707106781186554,0.707106781186541,0.));
#55604=DIRECTION('',(4.43202804241579E-16,1.,0.));
#55605=DIRECTION('',(-1.,0.,0.));
#55606=DIRECTION('',(-4.57823927680477E-16,-1.,0.));
#55607=DIRECTION('',(1.,0.,0.));
#55608=DIRECTION('center_axis',(0.,0.,1.));
#55609=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.));
#55610=DIRECTION('',(3.31409858097062E-16,-1.,0.));
#55611=DIRECTION('',(1.,1.86200926561871E-16,0.));
#55612=DIRECTION('center_axis',(0.,0.,-1.));
#55613=DIRECTION('ref_axis',(1.,0.,0.));
#55614=DIRECTION('center_axis',(0.,0.,-1.));
#55615=DIRECTION('ref_axis',(1.,0.,0.));
#55616=DIRECTION('center_axis',(0.,0.,-1.));
#55617=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.));
#55618=DIRECTION('',(0.,0.,1.));
#55619=DIRECTION('center_axis',(0.,0.,1.));
#55620=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.));
#55621=DIRECTION('',(0.,0.,-1.));
#55622=DIRECTION('center_axis',(0.,-1.,0.));
#55623=DIRECTION('ref_axis',(-1.,0.,0.));
#55624=DIRECTION('',(0.,0.,-1.));
#55625=DIRECTION('',(1.,0.,0.));
#55626=DIRECTION('center_axis',(0.,0.,-1.));
#55627=DIRECTION('ref_axis',(0.707106781186554,0.707106781186541,0.));
#55628=DIRECTION('',(0.,0.,1.));
#55629=DIRECTION('',(0.,0.,-1.));
#55630=DIRECTION('center_axis',(0.,1.,0.));
#55631=DIRECTION('ref_axis',(1.,0.,0.));
#55632=DIRECTION('',(0.,0.,-1.));
#55633=DIRECTION('center_axis',(0.,0.,-1.));
#55634=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.));
#55635=DIRECTION('',(0.,0.,1.));
#55636=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#55637=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.));
#55638=DIRECTION('',(0.,0.,-1.));
#55639=DIRECTION('center_axis',(1.74494777937156E-16,-1.,0.));
#55640=DIRECTION('ref_axis',(-1.,-1.74494777937156E-16,0.));
#55641=DIRECTION('',(1.,1.74494777937156E-16,-1.93728120142657E-32));
#55642=DIRECTION('',(0.,0.,1.));
#55643=DIRECTION('center_axis',(0.,0.,-1.));
#55644=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.));
#55645=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#55646=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.));
#55647=DIRECTION('',(0.,0.,-1.));
#55648=DIRECTION('center_axis',(0.,0.,-1.));
#55649=DIRECTION('ref_axis',(-0.707106781186555,0.70710678118654,0.));
#55650=DIRECTION('',(0.,0.,1.));
#55651=DIRECTION('center_axis',(-1.,-8.86405608483159E-17,0.));
#55652=DIRECTION('ref_axis',(-8.86405608483159E-17,1.,0.));
#55653=DIRECTION('',(0.,0.,1.));
#55654=DIRECTION('center_axis',(1.,-4.43202804241579E-16,0.));
#55655=DIRECTION('ref_axis',(0.,0.,-1.));
#55656=DIRECTION('',(4.43202804241579E-16,1.,7.93016446160826E-17));
#55657=DIRECTION('',(0.,0.,-1.));
#55658=DIRECTION('center_axis',(0.,-7.93016446160826E-17,1.));
#55659=DIRECTION('ref_axis',(-4.57823927680477E-16,-1.,-7.93016446160826E-17));
#55660=DIRECTION('',(4.43202804241579E-16,1.,7.93016446160826E-17));
#55661=DIRECTION('',(1.,0.,0.));
#55662=DIRECTION('',(-4.57823927680477E-16,-1.,-7.93016446160826E-17));
#55663=DIRECTION('',(1.,0.,0.));
#55664=DIRECTION('',(4.43202804241579E-16,1.,7.93016446160826E-17));
#55665=DIRECTION('center_axis',(0.,1.,0.));
#55666=DIRECTION('ref_axis',(0.,0.,1.));
#55667=DIRECTION('',(0.,0.,1.));
#55668=DIRECTION('',(-1.,0.,0.));
#55669=DIRECTION('',(0.,0.,-1.));
#55670=DIRECTION('center_axis',(0.,-1.,0.));
#55671=DIRECTION('ref_axis',(0.,0.,-1.));
#55672=DIRECTION('',(0.,0.,1.));
#55673=DIRECTION('',(0.,0.,-1.));
#55674=DIRECTION('',(0.,0.,1.));
#55675=DIRECTION('center_axis',(1.,-4.43202804241579E-16,0.));
#55676=DIRECTION('ref_axis',(0.,0.,-1.));
#55677=DIRECTION('',(4.43202804241579E-16,1.,-1.110223024625E-16));
#55678=DIRECTION('center_axis',(0.,-1.,0.));
#55679=DIRECTION('ref_axis',(0.,0.,-1.));
#55680=DIRECTION('',(0.,0.,1.));
#55681=DIRECTION('',(1.,0.,0.));
#55682=DIRECTION('',(0.,0.,-1.));
#55683=DIRECTION('',(1.,0.,0.));
#55684=DIRECTION('center_axis',(0.,0.,1.));
#55685=DIRECTION('ref_axis',(-4.57823927680477E-16,-1.,0.));
#55686=DIRECTION('',(4.43202804241579E-16,1.,0.));
#55687=DIRECTION('',(-4.57823927680477E-16,-1.,0.));
#55688=DIRECTION('',(1.,0.,0.));
#55689=DIRECTION('center_axis',(0.,1.,0.));
#55690=DIRECTION('ref_axis',(0.,0.,1.));
#55691=DIRECTION('',(0.,0.,-1.));
#55692=DIRECTION('',(0.,0.,1.));
#55693=DIRECTION('center_axis',(1.,-4.43202804241579E-16,0.));
#55694=DIRECTION('ref_axis',(-4.43202804241579E-16,-1.,0.));
#55695=DIRECTION('',(4.43202804241579E-16,1.,0.));
#55696=DIRECTION('center_axis',(1.,-4.43202804241579E-16,0.));
#55697=DIRECTION('ref_axis',(-4.43202804241579E-16,-1.,0.));
#55698=DIRECTION('',(4.43202804241579E-16,1.,-1.110223024625E-16));
#55699=DIRECTION('center_axis',(1.,-4.43202804241579E-16,0.));
#55700=DIRECTION('ref_axis',(-4.43202804241579E-16,-1.,0.));
#55701=DIRECTION('center_axis',(0.,0.,1.));
#55702=DIRECTION('ref_axis',(1.,0.,0.));
#55703=DIRECTION('',(-4.57823927680477E-16,-1.,0.));
#55704=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#55705=DIRECTION('ref_axis',(1.,0.,0.));
#55706=DIRECTION('',(1.,0.,0.));
#55707=DIRECTION('',(8.86405608483159E-17,-1.,1.110223024625E-16));
#55708=DIRECTION('',(8.86405608483159E-17,-1.,1.110223024625E-16));
#55709=DIRECTION('center_axis',(-1.,-8.86405608483159E-17,0.));
#55710=DIRECTION('ref_axis',(0.,0.,1.));
#55711=DIRECTION('',(8.86405608483159E-17,-1.,1.63106839420239E-15));
#55712=DIRECTION('',(8.86405608483159E-17,-1.,1.110223024625E-16));
#55713=DIRECTION('center_axis',(-1.,-8.86405608483159E-17,0.));
#55714=DIRECTION('ref_axis',(0.,0.,1.));
#55715=DIRECTION('',(8.86405608483159E-17,-1.,1.63106839420239E-15));
#55716=DIRECTION('',(8.86405608483159E-17,-1.,1.110223024625E-16));
#55717=DIRECTION('center_axis',(-1.,-8.86405608483159E-17,0.));
#55718=DIRECTION('ref_axis',(0.,0.,1.));
#55719=DIRECTION('',(8.86405608483159E-17,-1.,1.63106839420239E-15));
#55720=DIRECTION('center_axis',(1.,8.86405608483159E-17,0.));
#55721=DIRECTION('ref_axis',(0.,-0.7806247497998,0.625));
#55722=DIRECTION('',(8.86405608483159E-17,-1.,1.110223024625E-16));
#55723=DIRECTION('center_axis',(-1.,-8.86405608483159E-17,0.));
#55724=DIRECTION('ref_axis',(0.,0.,1.));
#55725=DIRECTION('center_axis',(1.,8.86405608483159E-17,0.));
#55726=DIRECTION('ref_axis',(0.,-0.7806247497998,0.625));
#55727=DIRECTION('',(8.86405608483159E-17,-1.,1.63106839420239E-15));
#55728=DIRECTION('',(0.,0.,-1.));
#55729=DIRECTION('center_axis',(-1.,-8.86405608483159E-17,0.));
#55730=DIRECTION('ref_axis',(0.,0.,1.));
#55731=DIRECTION('',(0.,0.,1.));
#55732=DIRECTION('',(8.86405608483159E-17,-1.,1.63106839420239E-15));
#55733=DIRECTION('center_axis',(-1.,-8.86405608483159E-17,0.));
#55734=DIRECTION('ref_axis',(0.,0.,1.));
#55735=DIRECTION('',(8.86405608483159E-17,-1.,1.110223024625E-16));
#55736=DIRECTION('',(8.86405608483159E-17,-1.,1.63106839420239E-15));
#55737=DIRECTION('center_axis',(-1.,-8.86405608483159E-17,0.));
#55738=DIRECTION('ref_axis',(0.,0.,1.));
#55739=DIRECTION('',(8.86405608483159E-17,-1.,1.63106839420239E-15));
#55740=DIRECTION('',(8.86405608483159E-17,-1.,1.110223024625E-16));
#55741=DIRECTION('center_axis',(0.,-1.,0.));
#55742=DIRECTION('ref_axis',(0.,0.,1.));
#55743=DIRECTION('',(-1.,0.,0.));
#55744=DIRECTION('',(0.,0.,1.));
#55745=DIRECTION('',(0.,0.,-1.));
#55746=DIRECTION('center_axis',(0.,1.,0.));
#55747=DIRECTION('ref_axis',(0.,0.,-1.));
#55748=DIRECTION('',(0.,0.,-1.));
#55749=DIRECTION('',(-1.,0.,0.));
#55750=DIRECTION('center_axis',(0.,1.63106839420239E-15,1.));
#55751=DIRECTION('ref_axis',(-9.15647855360954E-17,1.,-1.63106839420239E-15));
#55752=DIRECTION('',(-9.15647855360954E-17,1.,-1.63106839420239E-15));
#55753=DIRECTION('',(8.86405608483159E-17,-1.,1.63106839420239E-15));
#55754=DIRECTION('',(8.86405608483159E-17,-1.,1.63106839420239E-15));
#55755=DIRECTION('',(8.86405608483159E-17,-1.,1.63106839420239E-15));
#55756=DIRECTION('',(8.86405608483159E-17,-1.,1.63106839420239E-15));
#55757=DIRECTION('',(8.86405608483159E-17,-1.,1.63106839420239E-15));
#55758=DIRECTION('',(8.86405608483159E-17,-1.,1.63106839420239E-15));
#55759=DIRECTION('center_axis',(-1.,-8.86405608483159E-17,0.));
#55760=DIRECTION('ref_axis',(-8.86405608483159E-17,1.,0.));
#55761=DIRECTION('center_axis',(-1.,-8.86405608483159E-17,0.));
#55762=DIRECTION('ref_axis',(-8.86405608483159E-17,1.,0.));
#55763=DIRECTION('center_axis',(1.,8.86405608483159E-17,0.));
#55764=DIRECTION('ref_axis',(0.,-0.7806247497998,0.625));
#55765=DIRECTION('center_axis',(-1.,-8.86405608483159E-17,0.));
#55766=DIRECTION('ref_axis',(-8.86405608483159E-17,1.,0.));
#55767=DIRECTION('center_axis',(-1.,-8.86405608483159E-17,0.));
#55768=DIRECTION('ref_axis',(-8.86405608483159E-17,1.,0.));
#55769=DIRECTION('center_axis',(-1.,-8.86405608483159E-17,0.));
#55770=DIRECTION('ref_axis',(-8.86405608483159E-17,1.,0.));
#55771=DIRECTION('center_axis',(-1.,-8.86405608483159E-17,0.));
#55772=DIRECTION('ref_axis',(-8.86405608483159E-17,1.,0.));
#55773=DIRECTION('center_axis',(1.,0.,0.));
#55774=DIRECTION('ref_axis',(0.,-0.7806247497998,0.625));
#55775=DIRECTION('',(-1.,0.,0.));
#55776=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#55777=DIRECTION('ref_axis',(1.,0.,0.));
#55778=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#55779=DIRECTION('ref_axis',(1.,0.,0.));
#55780=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#55781=DIRECTION('ref_axis',(1.,0.,0.));
#55782=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#55783=DIRECTION('ref_axis',(1.,0.,0.));
#55784=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#55785=DIRECTION('ref_axis',(1.,0.,0.));
#55786=DIRECTION('center_axis',(-1.32563943238825E-15,-1.,0.));
#55787=DIRECTION('ref_axis',(-1.,1.32563943238825E-15,0.));
#55788=DIRECTION('',(1.,-1.32563943238825E-15,0.));
#55789=DIRECTION('',(0.,0.,-1.));
#55790=DIRECTION('center_axis',(1.,9.15647855360954E-17,0.));
#55791=DIRECTION('ref_axis',(9.15647855360954E-17,-1.,0.));
#55792=DIRECTION('',(-9.15647855360954E-17,1.,0.));
#55793=DIRECTION('center_axis',(1.,-3.31409858097062E-15,0.));
#55794=DIRECTION('ref_axis',(-3.31409858097062E-15,-1.,0.));
#55795=DIRECTION('',(3.31409858097062E-15,1.,0.));
#55796=DIRECTION('',(0.,0.,-1.));
#55797=DIRECTION('center_axis',(-1.86200926561871E-16,1.,0.));
#55798=DIRECTION('ref_axis',(1.,1.86200926561871E-16,0.));
#55799=DIRECTION('',(-1.,-1.86200926561871E-16,0.));
#55800=DIRECTION('center_axis',(0.,0.,-1.));
#55801=DIRECTION('ref_axis',(1.,0.,0.));
#55802=DIRECTION('',(0.,0.,1.));
#55803=DIRECTION('center_axis',(0.,0.,-1.));
#55804=DIRECTION('ref_axis',(1.,0.,0.));
#55805=DIRECTION('center_axis',(0.,0.,-1.));
#55806=DIRECTION('ref_axis',(1.,0.,0.));
#55807=DIRECTION('',(0.,0.,1.));
#55808=DIRECTION('center_axis',(0.,0.,-1.));
#55809=DIRECTION('ref_axis',(1.,0.,0.));
#55810=DIRECTION('center_axis',(0.,0.,-1.));
#55811=DIRECTION('ref_axis',(1.,0.,0.));
#55812=DIRECTION('',(0.,0.,1.));
#55813=DIRECTION('center_axis',(0.,0.,-1.));
#55814=DIRECTION('ref_axis',(1.,0.,0.));
#55815=DIRECTION('center_axis',(0.,0.,-1.));
#55816=DIRECTION('ref_axis',(1.,0.,0.));
#55817=DIRECTION('',(0.,0.,1.));
#55818=DIRECTION('center_axis',(0.,0.,-1.));
#55819=DIRECTION('ref_axis',(1.,0.,0.));
#55820=DIRECTION('center_axis',(1.86200926561871E-16,-1.,0.));
#55821=DIRECTION('ref_axis',(-1.,-1.86200926561871E-16,0.));
#55822=DIRECTION('',(1.,1.86200926561871E-16,0.));
#55823=DIRECTION('',(0.,0.,-1.));
#55824=DIRECTION('center_axis',(-1.,-3.31409858097062E-16,0.));
#55825=DIRECTION('ref_axis',(-3.31409858097062E-16,1.,0.));
#55826=DIRECTION('',(3.31409858097062E-16,-1.,0.));
#55827=DIRECTION('center_axis',(-1.,4.57823927680477E-16,0.));
#55828=DIRECTION('ref_axis',(4.57823927680477E-16,1.,0.));
#55829=DIRECTION('',(0.,0.,-1.));
#55830=DIRECTION('',(-4.57823927680477E-16,-1.,0.));
#55831=DIRECTION('center_axis',(0.,1.,0.));
#55832=DIRECTION('ref_axis',(1.,0.,0.));
#55833=DIRECTION('',(-1.,0.,0.));
#55834=DIRECTION('center_axis',(-1.,-8.86405608483159E-17,0.));
#55835=DIRECTION('ref_axis',(-8.86405608483159E-17,1.,0.));
#55836=DIRECTION('center_axis',(0.,0.,-1.));
#55837=DIRECTION('ref_axis',(-1.,0.,0.));
#55838=DIRECTION('center_axis',(0.,0.,-1.));
#55839=DIRECTION('ref_axis',(-1.,0.,0.));
#55840=DIRECTION('center_axis',(0.,0.,-1.));
#55841=DIRECTION('ref_axis',(-1.,0.,0.));
#55842=DIRECTION('center_axis',(0.,0.,-1.));
#55843=DIRECTION('ref_axis',(-1.,0.,0.));
#55844=DIRECTION('center_axis',(1.,0.,0.));
#55845=DIRECTION('ref_axis',(0.,-1.,0.));
#55846=DIRECTION('',(0.,1.,0.));
#55847=DIRECTION('',(0.,0.,-1.));
#55848=DIRECTION('',(0.,-1.,0.));
#55849=DIRECTION('',(0.,0.,-1.));
#55850=DIRECTION('center_axis',(0.,-1.,0.));
#55851=DIRECTION('ref_axis',(-1.,0.,0.));
#55852=DIRECTION('',(1.,0.,4.55943747279325E-17));
#55853=DIRECTION('',(-1.,0.,0.));
#55854=DIRECTION('',(0.,0.,-1.));
#55855=DIRECTION('center_axis',(-1.,0.,0.));
#55856=DIRECTION('ref_axis',(0.,1.,0.));
#55857=DIRECTION('',(0.,-1.,0.));
#55858=DIRECTION('',(0.,1.,0.));
#55859=DIRECTION('',(0.,0.,-1.));
#55860=DIRECTION('center_axis',(0.,1.,0.));
#55861=DIRECTION('ref_axis',(1.,0.,0.));
#55862=DIRECTION('',(-1.,0.,-4.55943747279325E-17));
#55863=DIRECTION('',(1.,0.,0.));
#55864=DIRECTION('center_axis',(0.,0.,-1.));
#55865=DIRECTION('ref_axis',(-1.,0.,0.));
#55866=DIRECTION('center_axis',(0.,0.,1.));
#55867=DIRECTION('ref_axis',(-1.48029736616687E-15,1.,0.));
#55868=DIRECTION('center_axis',(0.,0.,1.));
#55869=DIRECTION('ref_axis',(-1.48029736616687E-15,1.,0.));
#55870=DIRECTION('',(0.,0.,1.));
#55871=DIRECTION('center_axis',(0.,1.,0.));
#55872=DIRECTION('ref_axis',(-1.,0.,-4.55943747279325E-17));
#55873=DIRECTION('',(-1.,0.,-4.55943747279325E-17));
#55874=DIRECTION('',(0.,0.,1.));
#55875=DIRECTION('center_axis',(0.,0.,1.));
#55876=DIRECTION('ref_axis',(1.,0.,0.));
#55877=DIRECTION('center_axis',(-7.40148683083438E-16,0.,1.));
#55878=DIRECTION('ref_axis',(1.,0.,7.40148683083438E-16));
#55879=DIRECTION('center_axis',(-4.55943747279325E-17,0.,1.));
#55880=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55881=DIRECTION('center_axis',(-4.55943747279325E-17,0.,1.));
#55882=DIRECTION('ref_axis',(1.,0.,4.55943747279325E-17));
#55883=DIRECTION('axis',(0.,0.,1.));
#55884=DIRECTION('refdir',(1.,0.,0.));
#55885=DIRECTION('center_axis',(0.,0.,-1.));
#55886=DIRECTION('ref_axis',(-1.,0.,0.));
#55887=DIRECTION('',(1.,0.,0.));
#55888=DIRECTION('',(6.34413156928661E-16,1.,0.));
#55889=DIRECTION('',(-1.,0.,0.));
#55890=DIRECTION('',(-6.34413156928661E-16,-1.,0.));
#55891=DIRECTION('',(1.,0.,0.));
#55892=DIRECTION('',(6.34413156928661E-16,1.,0.));
#55893=DIRECTION('center_axis',(0.,0.,-1.));
#55894=DIRECTION('ref_axis',(-1.,0.,0.));
#55895=DIRECTION('',(-1.,0.,0.));
#55896=DIRECTION('',(6.34413156928661E-16,1.,0.));
#55897=DIRECTION('',(1.,0.,0.));
#55898=DIRECTION('',(-6.34413156928661E-16,-1.,0.));
#55899=DIRECTION('',(1.,0.,0.));
#55900=DIRECTION('',(6.34413156928661E-16,1.,0.));
#55901=DIRECTION('center_axis',(0.,1.,1.48029736616688E-15));
#55902=DIRECTION('ref_axis',(9.39120125263111E-31,1.48029736616688E-15,
-1.));
#55903=DIRECTION('',(-9.39120125263111E-31,-1.48029736616688E-15,1.));
#55904=DIRECTION('',(-1.,0.,0.));
#55905=DIRECTION('',(0.,0.,-1.));
#55906=DIRECTION('center_axis',(1.,-6.34413156928661E-16,1.85778205350804E-46));
#55907=DIRECTION('ref_axis',(1.85778205350804E-46,-1.17860137745145E-61,
-1.));
#55908=DIRECTION('center_axis',(1.,-6.34413156928661E-16,0.));
#55909=DIRECTION('ref_axis',(-7.04340093947332E-31,-1.11022302462516E-15,
1.));
#55910=DIRECTION('center_axis',(1.,-6.34413156928661E-16,1.423193744347E-46));
#55911=DIRECTION('ref_axis',(-6.34413156928661E-16,-1.,-1.44328992341003E-15));
#55912=DIRECTION('center_axis',(1.,-6.34413156928661E-16,0.));
#55913=DIRECTION('ref_axis',(6.25539808698859E-16,0.986013297276557,0.166666666114772));
#55914=DIRECTION('',(-4.69560062631554E-31,-7.40148683083436E-16,1.));
#55915=DIRECTION('center_axis',(1.,-6.34413156928661E-16,-7.72508097040101E-34));
#55916=DIRECTION('ref_axis',(-6.34413156928661E-16,-1.,-1.38777877561157E-15));
#55917=DIRECTION('center_axis',(0.,-1.,-7.40148683083436E-16));
#55918=DIRECTION('ref_axis',(-4.69560062631554E-31,-7.40148683083436E-16,
1.));
#55919=DIRECTION('',(4.69560062631554E-31,7.40148683083436E-16,-1.));
#55920=DIRECTION('',(-1.,0.,0.));
#55921=DIRECTION('center_axis',(-1.,6.34413156928661E-16,0.));
#55922=DIRECTION('ref_axis',(-6.34413156928661E-16,-1.,1.110223024625E-16));
#55923=DIRECTION('center_axis',(1.,-6.34413156928661E-16,0.));
#55924=DIRECTION('ref_axis',(0.,-1.,-1.38777877561157E-15));
#55925=DIRECTION('',(-4.69560062631554E-31,-7.40148683083436E-16,1.));
#55926=DIRECTION('center_axis',(-1.,6.34413156928661E-16,0.));
#55927=DIRECTION('ref_axis',(0.,-1.,-1.51394048128901E-15));
#55928=DIRECTION('',(-9.26043940157909E-63,-1.45968590033835E-47,-1.));
#55929=DIRECTION('center_axis',(-1.,0.,0.));
#55930=DIRECTION('ref_axis',(0.,-1.,-1.38777877561157E-15));
#55931=DIRECTION('center_axis',(0.,1.,7.40148683083436E-16));
#55932=DIRECTION('ref_axis',(4.69560062631554E-31,7.40148683083436E-16,
-1.));
#55933=DIRECTION('',(-4.69560062631554E-31,-7.40148683083436E-16,1.));
#55934=DIRECTION('',(-1.,0.,0.));
#55935=DIRECTION('center_axis',(-1.,0.,0.));
#55936=DIRECTION('ref_axis',(0.,-1.,-1.51394048128901E-15));
#55937=DIRECTION('center_axis',(-1.,6.34413156928661E-16,-1.80952796602521E-46));
#55938=DIRECTION('ref_axis',(-6.34413156928661E-16,-1.,-1.51394048128901E-15));
#55939=DIRECTION('',(1.,0.,0.));
#55940=DIRECTION('center_axis',(0.,-1.,1.45968590033835E-47));
#55941=DIRECTION('ref_axis',(-2.30084430689462E-32,1.45968590033835E-47,
1.));
#55942=DIRECTION('',(2.30084430689462E-32,-1.45968590033835E-47,-1.));
#55943=DIRECTION('center_axis',(1.,-6.34413156928661E-16,1.85778205350804E-46));
#55944=DIRECTION('ref_axis',(1.85778205350804E-46,-1.17860137745145E-61,
-1.));
#55945=DIRECTION('center_axis',(-1.,6.34413156928661E-16,0.));
#55946=DIRECTION('ref_axis',(6.25539808698859E-16,0.986013297276557,0.166666666114772));
#55947=DIRECTION('center_axis',(1.,-6.34413156928661E-16,1.423193744347E-46));
#55948=DIRECTION('ref_axis',(-6.34413156928661E-16,-1.,-1.44328992341003E-15));
#55949=DIRECTION('center_axis',(-1.,6.34413156928661E-16,0.));
#55950=DIRECTION('ref_axis',(-7.04340093947332E-31,-1.11022302462516E-15,
1.));
#55951=DIRECTION('center_axis',(1.,0.,0.));
#55952=DIRECTION('ref_axis',(0.,0.986013297276557,0.166666666114772));
#55953=DIRECTION('',(1.,0.,0.));
#55954=DIRECTION('center_axis',(1.,0.,0.));
#55955=DIRECTION('ref_axis',(0.,-1.,-1.44328992341003E-15));
#55956=DIRECTION('',(1.,0.,0.));
#55957=DIRECTION('center_axis',(1.,0.,0.));
#55958=DIRECTION('ref_axis',(0.,-1.11022302462516E-15,1.));
#55959=DIRECTION('center_axis',(0.,0.,-1.));
#55960=DIRECTION('ref_axis',(-1.,0.,0.));
#55961=DIRECTION('',(-1.,0.,0.));
#55962=DIRECTION('',(1.09039761347114E-16,-1.,0.));
#55963=DIRECTION('',(1.,0.,0.));
#55964=DIRECTION('',(-1.04791458956966E-16,1.,0.));
#55965=DIRECTION('',(-1.,0.,0.));
#55966=DIRECTION('',(1.09039761347114E-16,-1.,0.));
#55967=DIRECTION('',(1.,0.,0.));
#55968=DIRECTION('',(1.04791458956966E-16,-1.,0.));
#55969=DIRECTION('center_axis',(0.,0.,-1.));
#55970=DIRECTION('ref_axis',(-1.,0.,0.));
#55971=DIRECTION('',(-1.,0.,0.));
#55972=DIRECTION('',(1.09039761347114E-16,-1.,0.));
#55973=DIRECTION('',(1.,0.,0.));
#55974=DIRECTION('',(-1.04791458956966E-16,1.,0.));
#55975=DIRECTION('',(-1.,0.,0.));
#55976=DIRECTION('',(1.09039761347114E-16,-1.,0.));
#55977=DIRECTION('',(1.,0.,0.));
#55978=DIRECTION('',(1.04791458956966E-16,-1.,0.));
#55979=DIRECTION('center_axis',(0.,0.,-1.));
#55980=DIRECTION('ref_axis',(-1.,0.,0.));
#55981=DIRECTION('',(-1.,0.,0.));
#55982=DIRECTION('',(1.09039761347114E-16,-1.,0.));
#55983=DIRECTION('',(1.,0.,0.));
#55984=DIRECTION('',(-1.04791458956966E-16,1.,0.));
#55985=DIRECTION('',(-1.,0.,0.));
#55986=DIRECTION('',(1.09039761347114E-16,-1.,0.));
#55987=DIRECTION('',(1.,0.,0.));
#55988=DIRECTION('',(1.04791458956966E-16,-1.,0.));
#55989=DIRECTION('center_axis',(0.,0.,-1.));
#55990=DIRECTION('ref_axis',(-1.,0.,0.));
#55991=DIRECTION('',(1.,0.,0.));
#55992=DIRECTION('',(1.04791458956966E-16,-1.,0.));
#55993=DIRECTION('',(1.,0.,0.));
#55994=DIRECTION('',(-1.04791458956966E-16,1.,0.));
#55995=DIRECTION('',(-1.,0.,0.));
#55996=DIRECTION('',(1.09039761347114E-16,-1.,0.));
#55997=DIRECTION('center_axis',(0.,0.,-1.));
#55998=DIRECTION('ref_axis',(-1.,0.,0.));
#55999=DIRECTION('',(-1.,0.,0.));
#56000=DIRECTION('',(1.09039761347114E-16,-1.,0.));
#56001=DIRECTION('',(1.,0.,0.));
#56002=DIRECTION('',(-1.04791458956966E-16,1.,0.));
#56003=DIRECTION('',(-1.,0.,0.));
#56004=DIRECTION('',(1.09039761347114E-16,-1.,0.));
#56005=DIRECTION('',(1.,0.,0.));
#56006=DIRECTION('',(1.04791458956966E-16,-1.,0.));
#56007=DIRECTION('center_axis',(0.,0.,-1.));
#56008=DIRECTION('ref_axis',(-1.,0.,0.));
#56009=DIRECTION('',(-1.,0.,0.));
#56010=DIRECTION('',(1.09039761347114E-16,-1.,0.));
#56011=DIRECTION('',(1.,0.,0.));
#56012=DIRECTION('',(-1.04791458956966E-16,1.,0.));
#56013=DIRECTION('',(-1.,0.,0.));
#56014=DIRECTION('',(1.09039761347114E-16,-1.,0.));
#56015=DIRECTION('',(1.,0.,0.));
#56016=DIRECTION('',(1.04791458956966E-16,-1.,0.));
#56017=DIRECTION('center_axis',(0.,0.,-1.));
#56018=DIRECTION('ref_axis',(-1.,0.,0.));
#56019=DIRECTION('',(-1.,0.,0.));
#56020=DIRECTION('',(1.09039761347114E-16,-1.,0.));
#56021=DIRECTION('',(1.,0.,0.));
#56022=DIRECTION('',(-1.04791458956966E-16,1.,0.));
#56023=DIRECTION('',(-1.,0.,0.));
#56024=DIRECTION('',(1.04791458956966E-16,-1.,0.));
#56025=DIRECTION('center_axis',(0.,1.,2.96059473233375E-15));
#56026=DIRECTION('ref_axis',(3.10245041381563E-31,-2.96059473233375E-15,
1.));
#56027=DIRECTION('',(-3.22822543059194E-31,2.96059473233375E-15,-1.));
#56028=DIRECTION('',(-1.,0.,0.));
#56029=DIRECTION('',(-3.10245041381563E-31,2.96059473233375E-15,-1.));
#56030=DIRECTION('center_axis',(0.,-1.,-2.96059473233375E-15));
#56031=DIRECTION('ref_axis',(-3.10245041381563E-31,2.96059473233375E-15,
-1.));
#56032=DIRECTION('',(-3.10245041381563E-31,2.96059473233375E-15,-1.));
#56033=DIRECTION('',(1.,0.,0.));
#56034=DIRECTION('',(3.22822543059194E-31,-2.96059473233375E-15,1.));
#56035=DIRECTION('center_axis',(0.,1.,-2.96059473233379E-15));
#56036=DIRECTION('ref_axis',(-3.10245041381567E-31,2.96059473233379E-15,
1.));
#56037=DIRECTION('',(3.22822543059198E-31,-2.96059473233379E-15,-1.));
#56038=DIRECTION('',(-1.,0.,0.));
#56039=DIRECTION('',(3.10245041381567E-31,-2.96059473233379E-15,-1.));
#56040=DIRECTION('center_axis',(0.,-1.,2.96059473233379E-15));
#56041=DIRECTION('ref_axis',(3.10245041381568E-31,-2.96059473233379E-15,
-1.));
#56042=DIRECTION('',(0.,0.,1.));
#56043=DIRECTION('',(1.,0.,0.));
#56044=DIRECTION('',(0.,0.,-1.));
#56045=DIRECTION('center_axis',(0.,1.,-5.43147844097824E-65));
#56046=DIRECTION('ref_axis',(5.18313085344936E-49,5.43147844097824E-65,
1.));
#56047=DIRECTION('',(5.9224711296626E-81,-5.43147844097824E-65,-1.));
#56048=DIRECTION('',(-1.,0.,0.));
#56049=DIRECTION('',(-5.18313085344936E-49,-5.43147844097824E-65,-1.));
#56050=DIRECTION('center_axis',(0.,-1.,1.73156626920588E-65));
#56051=DIRECTION('ref_axis',(-1.6523925579822E-49,-1.73156626920588E-65,
-1.));
#56052=DIRECTION('',(0.,0.,1.));
#56053=DIRECTION('',(1.,0.,0.));
#56054=DIRECTION('',(-1.88809572750921E-81,1.73156626920588E-65,1.));
#56055=DIRECTION('center_axis',(1.,1.09039761347114E-16,0.));
#56056=DIRECTION('ref_axis',(-1.09039761347114E-16,1.,-1.05357899275637E-16));
#56057=DIRECTION('center_axis',(-1.,-1.09039761347114E-16,0.));
#56058=DIRECTION('ref_axis',(0.,1.,4.99600361081322E-15));
#56059=DIRECTION('',(1.88809572750924E-81,-1.73156626920591E-65,-1.));
#56060=DIRECTION('',(-1.88809572750924E-81,1.73156626920591E-65,1.));
#56061=DIRECTION('center_axis',(1.,1.09039761347114E-16,0.));
#56062=DIRECTION('ref_axis',(0.,1.,6.05576195250088E-15));
#56063=DIRECTION('center_axis',(0.,1.,5.92118946466755E-15));
#56064=DIRECTION('ref_axis',(-6.20490082763131E-31,5.92118946466755E-15,
-1.));
#56065=DIRECTION('',(6.20490082763131E-31,-5.92118946466755E-15,1.));
#56066=DIRECTION('',(-1.,0.,0.));
#56067=DIRECTION('',(-6.20490082763131E-31,5.92118946466755E-15,-1.));
#56068=DIRECTION('center_axis',(0.,1.,6.27646083254663E-13));
#56069=DIRECTION('ref_axis',(6.57583902260732E-29,-6.27646083254663E-13,
1.));
#56070=DIRECTION('',(-6.8438379128539E-29,6.27646083254663E-13,-1.));
#56071=DIRECTION('',(-1.,0.,0.));
#56072=DIRECTION('',(-6.57583902260732E-29,6.27646083254663E-13,-1.));
#56073=DIRECTION('center_axis',(1.,1.09039761347114E-16,0.));
#56074=DIRECTION('ref_axis',(-1.09039761347114E-16,1.,-1.05357899275637E-16));
#56075=DIRECTION('center_axis',(-1.,-1.09039761347114E-16,0.));
#56076=DIRECTION('ref_axis',(0.,1.,-1.08246744900953E-14));
#56077=DIRECTION('',(9.73482286646296E-81,-8.9277734527256E-65,-1.));
#56078=DIRECTION('',(-9.73482286646296E-81,8.9277734527256E-65,1.));
#56079=DIRECTION('center_axis',(1.,1.09039761347114E-16,0.));
#56080=DIRECTION('ref_axis',(0.,1.,-1.31208175637518E-14));
#56081=DIRECTION('center_axis',(0.,-1.,-6.27646083254754E-13));
#56082=DIRECTION('ref_axis',(-6.57555141706991E-29,6.27646083254754E-13,
-1.));
#56083=DIRECTION('',(0.,0.,1.));
#56084=DIRECTION('',(1.,0.,0.));
#56085=DIRECTION('',(0.,0.,-1.));
#56086=DIRECTION('center_axis',(0.,1.,-1.4208199013976E-48));
#56087=DIRECTION('ref_axis',(1.35585468084863E-32,1.4208199013976E-48,1.));
#56088=DIRECTION('',(1.54925862965624E-64,-1.4208199013976E-48,-1.));
#56089=DIRECTION('',(-1.,0.,0.));
#56090=DIRECTION('',(-1.35585468084863E-32,-1.4208199013976E-48,-1.));
#56091=DIRECTION('center_axis',(1.,1.09039761347114E-16,0.));
#56092=DIRECTION('ref_axis',(-1.09039761347114E-16,1.,-1.05357899275637E-16));
#56093=DIRECTION('center_axis',(-1.,-1.09039761347114E-16,0.));
#56094=DIRECTION('ref_axis',(0.,1.,-6.93889390390723E-16));
#56095=DIRECTION('',(1.19348398213098E-80,-1.09454016350208E-64,-1.));
#56096=DIRECTION('',(-1.19348398213098E-80,1.09454016350208E-64,1.));
#56097=DIRECTION('center_axis',(1.,1.09039761347114E-16,0.));
#56098=DIRECTION('ref_axis',(0.,1.,-8.41078048958452E-16));
#56099=DIRECTION('center_axis',(0.,-1.,1.722205941088E-48));
#56100=DIRECTION('ref_axis',(-1.64346021921047E-32,-1.722205941088E-48,
-1.));
#56101=DIRECTION('',(0.,0.,1.));
#56102=DIRECTION('',(1.,0.,0.));
#56103=DIRECTION('',(-1.87788924806817E-64,1.722205941088E-48,1.));
#56104=DIRECTION('center_axis',(0.,-1.,-1.72220594108798E-48));
#56105=DIRECTION('ref_axis',(-1.64346021921044E-32,-1.72220594108798E-48,
1.));
#56106=DIRECTION('',(-1.64346021921044E-32,-1.72220594108798E-48,1.));
#56107=DIRECTION('',(-1.,0.,0.));
#56108=DIRECTION('',(-1.64346021921044E-32,-1.72220594108798E-48,1.));
#56109=DIRECTION('center_axis',(-1.,-1.04791458956966E-16,1.32698718212577E-47));
#56110=DIRECTION('ref_axis',(1.32698718212577E-47,1.39056922832153E-63,
1.));
#56111=DIRECTION('center_axis',(1.,1.04791458956966E-16,-2.54254292620514E-63));
#56112=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,-8.41078048958452E-16));
#56113=DIRECTION('',(1.04449367763032E-48,1.09454016350208E-64,1.));
#56114=DIRECTION('center_axis',(1.,1.04791458956966E-16,1.2314843167455E-46));
#56115=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,-8.24256487979278E-15));
#56116=DIRECTION('',(-1.64346021921044E-32,-1.72220594108797E-48,1.));
#56117=DIRECTION('center_axis',(1.,1.04791458956966E-16,-2.37646135041091E-62));
#56118=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,-1.31208175637518E-14));
#56119=DIRECTION('',(8.51956212995554E-49,8.9277734527256E-65,1.));
#56120=DIRECTION('center_axis',(1.,1.04791458956966E-16,2.26190996953258E-47));
#56121=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,1.51394048812522E-15));
#56122=DIRECTION('',(1.64346021921044E-32,1.72220594108798E-48,1.));
#56123=DIRECTION('center_axis',(1.,1.04791458956966E-16,9.09681453020392E-64));
#56124=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,6.05576195250088E-15));
#56125=DIRECTION('',(1.65239255798222E-49,1.73156626920591E-65,1.));
#56126=DIRECTION('center_axis',(-1.,-1.04791458956966E-16,-6.19152087669746E-64));
#56127=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,4.99600359592397E-15));
#56128=DIRECTION('',(1.04791458956966E-16,-1.,9.06682145784286E-15));
#56129=DIRECTION('center_axis',(-1.,-1.04791458956966E-16,-1.50530108023778E-47));
#56130=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,1.22124532344808E-15));
#56131=DIRECTION('',(1.04791458956966E-16,-1.,-1.48029738087231E-14));
#56132=DIRECTION('center_axis',(-1.,-1.04791458956966E-16,2.25602537975213E-62));
#56133=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,-1.0880185608901E-14));
#56134=DIRECTION('',(1.04791458956966E-16,-1.,-1.73194792873846E-14));
#56135=DIRECTION('center_axis',(-1.,-1.04791458956966E-16,-8.34757871768219E-47));
#56136=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,-6.77236043003022E-15));
#56137=DIRECTION('',(1.04791458956966E-16,-1.,-1.22124533921966E-14));
#56138=DIRECTION('center_axis',(-1.,-1.04791458956966E-16,1.42476114925211E-63));
#56139=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,-6.66133812789861E-16));
#56140=DIRECTION('',(1.04791458956966E-16,-1.,0.));
#56141=DIRECTION('center_axis',(-1.,-1.04791458956966E-16,8.21073316493333E-48));
#56142=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,-6.66133812789861E-16));
#56143=DIRECTION('',(1.35585468084861E-32,1.42081990139758E-48,-1.));
#56144=DIRECTION('center_axis',(1.,1.04791458956966E-16,-1.25661664974031E-47));
#56145=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,-8.41078048958452E-16));
#56146=DIRECTION('',(1.64346021921044E-32,1.72220594108798E-48,1.));
#56147=DIRECTION('center_axis',(0.,1.,1.42081990139758E-48));
#56148=DIRECTION('ref_axis',(-1.35585468084861E-32,-1.42081990139758E-48,
1.));
#56149=DIRECTION('',(-1.54925862965622E-64,1.42081990139758E-48,-1.));
#56150=DIRECTION('',(-1.,0.,0.));
#56151=DIRECTION('center_axis',(1.,1.09039761347114E-16,0.));
#56152=DIRECTION('ref_axis',(-1.09039761347114E-16,1.,-1.05357899275637E-16));
#56153=DIRECTION('',(-1.87788924806814E-64,1.72220594108798E-48,1.));
#56154=DIRECTION('center_axis',(1.,1.09039761347114E-16,0.));
#56155=DIRECTION('ref_axis',(0.,1.,1.51394048812522E-15));
#56156=DIRECTION('center_axis',(-1.,-1.09039761347114E-16,0.));
#56157=DIRECTION('ref_axis',(0.,1.,1.2490009027033E-15));
#56158=DIRECTION('',(1.87788924806814E-64,-1.72220594108798E-48,-1.));
#56159=DIRECTION('center_axis',(1.,1.09039761347114E-16,0.));
#56160=DIRECTION('ref_axis',(-1.09039761347114E-16,1.,-1.05357899275637E-16));
#56161=DIRECTION('',(-1.87788924806814E-64,1.72220594108798E-48,1.));
#56162=DIRECTION('center_axis',(1.,1.09039761347114E-16,0.));
#56163=DIRECTION('ref_axis',(0.,1.,-8.41078048958452E-16));
#56164=DIRECTION('',(1.87788924806814E-64,-1.72220594108798E-48,1.));
#56165=DIRECTION('center_axis',(-1.,-1.09039761347114E-16,0.));
#56166=DIRECTION('ref_axis',(0.,1.,-6.93889390390723E-16));
#56167=DIRECTION('',(1.87788924806814E-64,-1.72220594108798E-48,-1.));
#56168=DIRECTION('center_axis',(1.,1.09039761347114E-16,0.));
#56169=DIRECTION('ref_axis',(-1.09039761347114E-16,1.,-1.05357899275637E-16));
#56170=DIRECTION('',(1.87788924806814E-64,-1.72220594108797E-48,1.));
#56171=DIRECTION('center_axis',(1.,1.09039761347114E-16,0.));
#56172=DIRECTION('ref_axis',(0.,1.,-8.24256487979278E-15));
#56173=DIRECTION('center_axis',(-1.,-1.09039761347114E-16,0.));
#56174=DIRECTION('ref_axis',(0.,1.,-6.80011602582905E-15));
#56175=DIRECTION('',(-1.87788924806814E-64,1.72220594108797E-48,-1.));
#56176=DIRECTION('center_axis',(-1.,-1.04791458956966E-16,1.32698718212577E-47));
#56177=DIRECTION('ref_axis',(1.32698718212577E-47,1.39056922832153E-63,
1.));
#56178=DIRECTION('',(1.64346021921044E-32,1.72220594108798E-48,1.));
#56179=DIRECTION('center_axis',(-1.,-1.04791458956966E-16,-1.45626589596373E-32));
#56180=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,-6.93889390390723E-16));
#56181=DIRECTION('',(0.,0.,1.));
#56182=DIRECTION('center_axis',(-1.,-1.04791458956966E-16,8.21073316493333E-48));
#56183=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,-6.66133812789861E-16));
#56184=DIRECTION('',(1.04791458956966E-16,-1.,0.));
#56185=DIRECTION('center_axis',(-1.,-1.04791458956966E-16,1.42476114925211E-63));
#56186=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,-6.66133812789861E-16));
#56187=DIRECTION('',(1.04791458956966E-16,-1.,-1.22124533921966E-14));
#56188=DIRECTION('center_axis',(-1.,-1.04791458956966E-16,-8.34757871768219E-47));
#56189=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,-6.77236043003022E-15));
#56190=DIRECTION('',(1.04791458956966E-16,-1.,-1.73194792873846E-14));
#56191=DIRECTION('center_axis',(-1.,-1.04791458956966E-16,2.25602537975213E-62));
#56192=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,-1.0880185608901E-14));
#56193=DIRECTION('',(1.04791458956966E-16,-1.,-1.48029738087231E-14));
#56194=DIRECTION('center_axis',(-1.,-1.04791458956966E-16,-1.50530108023778E-47));
#56195=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,1.22124532344808E-15));
#56196=DIRECTION('',(-1.04791458956966E-16,1.,-9.06682145784286E-15));
#56197=DIRECTION('center_axis',(-1.,-1.04791458956966E-16,-6.19152087669746E-64));
#56198=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,4.99600359592397E-15));
#56199=DIRECTION('',(-1.65239255798222E-49,-1.73156626920591E-65,-1.));
#56200=DIRECTION('center_axis',(-1.,-1.04791458956966E-16,2.02392137773847E-33));
#56201=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,4.99600361081322E-15));
#56202=DIRECTION('',(-1.64346021921044E-32,-1.72220594108798E-48,-1.));
#56203=DIRECTION('center_axis',(-1.,-1.04791458956966E-16,-1.2833252478963E-32));
#56204=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,1.2490009027033E-15));
#56205=DIRECTION('',(-8.51956212995554E-49,-8.9277734527256E-65,-1.));
#56206=DIRECTION('center_axis',(-1.,-1.04791458956966E-16,1.04351256246543E-32));
#56207=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,-1.08246744900953E-14));
#56208=DIRECTION('',(1.64346021921044E-32,1.72220594108797E-48,-1.));
#56209=DIRECTION('center_axis',(-1.,-1.04791458956966E-16,5.56134372457216E-33));
#56210=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,-6.80011602582905E-15));
#56211=DIRECTION('',(-1.04449367763032E-48,-1.09454016350208E-64,-1.));
#56212=DIRECTION('center_axis',(-1.,-1.04791458956966E-16,1.279340718921E-32));
#56213=DIRECTION('ref_axis',(-1.04791458956966E-16,1.,-6.93889390390723E-16));
#56214=DIRECTION('center_axis',(-1.,0.,0.));
#56215=DIRECTION('ref_axis',(0.,1.,-6.66133812789861E-16));
#56216=DIRECTION('',(-1.,0.,0.));
#56217=DIRECTION('center_axis',(0.,0.,-1.));
#56218=DIRECTION('ref_axis',(1.04791458956966E-16,-1.,0.));
#56219=DIRECTION('',(-1.,0.,0.));
#56220=DIRECTION('center_axis',(-1.,0.,0.));
#56221=DIRECTION('ref_axis',(0.,1.,-6.66133812789861E-16));
#56222=DIRECTION('',(-1.,0.,0.));
#56223=DIRECTION('center_axis',(0.,1.22124533921966E-14,-1.));
#56224=DIRECTION('ref_axis',(1.04791458956966E-16,-1.,-1.22124533921966E-14));
#56225=DIRECTION('',(-1.,0.,0.));
#56226=DIRECTION('center_axis',(-1.,0.,0.));
#56227=DIRECTION('ref_axis',(0.,1.,-6.77236043003022E-15));
#56228=DIRECTION('',(-1.,0.,0.));
#56229=DIRECTION('center_axis',(0.,1.73194792873846E-14,-1.));
#56230=DIRECTION('ref_axis',(1.04791458956966E-16,-1.,-1.73194792873846E-14));
#56231=DIRECTION('',(-1.,0.,0.));
#56232=DIRECTION('center_axis',(-1.,0.,0.));
#56233=DIRECTION('ref_axis',(0.,1.,-1.0880185608901E-14));
#56234=DIRECTION('',(-1.,0.,0.));
#56235=DIRECTION('center_axis',(0.,1.48029738087231E-14,-1.));
#56236=DIRECTION('ref_axis',(1.04791458956966E-16,-1.,-1.48029738087231E-14));
#56237=DIRECTION('',(-1.,0.,0.));
#56238=DIRECTION('center_axis',(-1.,0.,0.));
#56239=DIRECTION('ref_axis',(0.,1.,1.22124532344808E-15));
#56240=DIRECTION('',(-1.,0.,0.));
#56241=DIRECTION('center_axis',(0.,-9.06682145784286E-15,-1.));
#56242=DIRECTION('ref_axis',(1.04791458956966E-16,-1.,9.06682145784286E-15));
#56243=DIRECTION('',(-1.,0.,0.));
#56244=DIRECTION('center_axis',(-1.,0.,0.));
#56245=DIRECTION('ref_axis',(0.,1.,4.99600359592397E-15));
#56246=DIRECTION('center_axis',(0.,-1.,-1.72220594108798E-48));
#56247=DIRECTION('ref_axis',(1.64346021921044E-32,1.72220594108798E-48,
-1.));
#56248=DIRECTION('',(1.,0.,0.));
#56249=DIRECTION('center_axis',(0.,1.,-1.72220594108798E-48));
#56250=DIRECTION('ref_axis',(1.64346021921044E-32,1.72220594108798E-48,
1.));
#56251=DIRECTION('',(1.,0.,0.));
#56252=DIRECTION('center_axis',(1.,0.,0.));
#56253=DIRECTION('ref_axis',(0.,1.,-8.41078048958452E-16));
#56254=DIRECTION('',(1.,0.,0.));
#56255=DIRECTION('center_axis',(0.,-1.,1.72220594108798E-48));
#56256=DIRECTION('ref_axis',(-1.64346021921044E-32,-1.72220594108798E-48,
-1.));
#56257=DIRECTION('center_axis',(1.,0.,0.));
#56258=DIRECTION('ref_axis',(0.,1.,-6.93889390390723E-16));
#56259=DIRECTION('center_axis',(0.,1.,-1.73156626920591E-65));
#56260=DIRECTION('ref_axis',(1.65239255798222E-49,1.73156626920591E-65,
1.));
#56261=DIRECTION('',(1.,0.,0.));
#56262=DIRECTION('center_axis',(1.,0.,0.));
#56263=DIRECTION('ref_axis',(0.,1.,6.05576195250088E-15));
#56264=DIRECTION('',(-1.,0.,0.));
#56265=DIRECTION('center_axis',(0.,-1.,1.73156626920591E-65));
#56266=DIRECTION('ref_axis',(-1.65239255798222E-49,-1.73156626920591E-65,
-1.));
#56267=DIRECTION('center_axis',(1.,0.,0.));
#56268=DIRECTION('ref_axis',(0.,1.,4.99600361081322E-15));
#56269=DIRECTION('center_axis',(1.,0.,0.));
#56270=DIRECTION('ref_axis',(0.,1.,1.51394048812522E-15));
#56271=DIRECTION('',(-1.,0.,0.));
#56272=DIRECTION('center_axis',(0.,-1.,1.72220594108798E-48));
#56273=DIRECTION('ref_axis',(-1.64346021921044E-32,-1.72220594108798E-48,
-1.));
#56274=DIRECTION('center_axis',(0.,1.,-1.72220594108798E-48));
#56275=DIRECTION('ref_axis',(1.64346021921044E-32,1.72220594108798E-48,
1.));
#56276=DIRECTION('',(1.,0.,0.));
#56277=DIRECTION('center_axis',(1.,0.,0.));
#56278=DIRECTION('ref_axis',(0.,1.,1.2490009027033E-15));
#56279=DIRECTION('center_axis',(0.,1.,-8.9277734527256E-65));
#56280=DIRECTION('ref_axis',(8.51956212995554E-49,8.9277734527256E-65,1.));
#56281=DIRECTION('',(1.,0.,0.));
#56282=DIRECTION('center_axis',(1.,0.,0.));
#56283=DIRECTION('ref_axis',(0.,1.,-1.31208175637518E-14));
#56284=DIRECTION('',(-1.,0.,0.));
#56285=DIRECTION('center_axis',(0.,-1.,8.9277734527256E-65));
#56286=DIRECTION('ref_axis',(-8.51956212995554E-49,-8.9277734527256E-65,
-1.));
#56287=DIRECTION('center_axis',(1.,0.,0.));
#56288=DIRECTION('ref_axis',(0.,1.,-1.08246744900953E-14));
#56289=DIRECTION('center_axis',(0.,1.,-1.09454016350208E-64));
#56290=DIRECTION('ref_axis',(1.04449367763032E-48,1.09454016350208E-64,
1.));
#56291=DIRECTION('',(1.,0.,0.));
#56292=DIRECTION('center_axis',(1.,0.,0.));
#56293=DIRECTION('ref_axis',(0.,1.,-8.41078048958452E-16));
#56294=DIRECTION('',(1.,0.,0.));
#56295=DIRECTION('center_axis',(0.,-1.,1.09454016350208E-64));
#56296=DIRECTION('ref_axis',(-1.04449367763032E-48,-1.09454016350208E-64,
-1.));
#56297=DIRECTION('center_axis',(1.,0.,0.));
#56298=DIRECTION('ref_axis',(0.,1.,-6.93889390390723E-16));
#56299=DIRECTION('center_axis',(0.,1.,1.72220594108797E-48));
#56300=DIRECTION('ref_axis',(-1.64346021921044E-32,-1.72220594108797E-48,
1.));
#56301=DIRECTION('',(1.,0.,0.));
#56302=DIRECTION('center_axis',(0.,-1.,-1.72220594108797E-48));
#56303=DIRECTION('ref_axis',(1.64346021921044E-32,1.72220594108797E-48,
-1.));
#56304=DIRECTION('',(-1.,0.,0.));
#56305=DIRECTION('center_axis',(1.,0.,0.));
#56306=DIRECTION('ref_axis',(0.,1.,-6.80011602582905E-15));
#56307=DIRECTION('center_axis',(1.,0.,0.));
#56308=DIRECTION('ref_axis',(0.,1.,-8.24256487979278E-15));
#56309=DIRECTION('center_axis',(-0.707106781186546,3.32134943182998E-17,
0.707106781186549));
#56310=DIRECTION('ref_axis',(0.707106781186549,0.,0.707106781186546));
#56311=DIRECTION('',(-0.577350269189628,0.577350269189623,-0.577350269189626));
#56312=DIRECTION('',(6.4051328343759E-17,-1.,1.110223024625E-16));
#56313=DIRECTION('',(0.577350269189628,0.577350269189624,0.577350269189625));
#56314=DIRECTION('',(-6.4051328343759E-17,1.,-1.110223024625E-16));
#56315=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186547));
#56316=DIRECTION('ref_axis',(1.,0.,0.));
#56317=DIRECTION('',(1.,0.,0.));
#56318=DIRECTION('',(-5.24659608718588E-17,0.707106781186547,0.707106781186548));
#56319=DIRECTION('',(-1.,0.,0.));
#56320=DIRECTION('center_axis',(-1.,-6.4051328343759E-17,-2.31296463463574E-16));
#56321=DIRECTION('ref_axis',(-6.4051328343759E-17,1.,-4.08767056598012E-32));
#56322=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#56323=DIRECTION('center_axis',(1.,6.4051328343759E-17,2.31296463463574E-16));
#56324=DIRECTION('ref_axis',(-1.63318859284038E-30,1.,4.85722573273508E-15));
#56325=DIRECTION('',(-2.31296463463573E-16,-1.42108547152022E-14,1.));
#56326=DIRECTION('',(6.4051328343759E-17,-1.,1.110223024625E-16));
#56327=DIRECTION('',(0.,0.,1.));
#56328=DIRECTION('',(-6.4051328343759E-17,1.,-4.08767056598012E-32));
#56329=DIRECTION('',(0.,0.,1.));
#56330=DIRECTION('',(6.4051328343759E-17,-1.,1.110223024625E-16));
#56331=DIRECTION('',(2.31296463463574E-16,-8.59899094596722E-34,-1.));
#56332=DIRECTION('center_axis',(1.,6.4051328343759E-17,2.31296463463574E-16));
#56333=DIRECTION('ref_axis',(9.24446373305874E-32,1.,-2.77555756156289E-16));
#56334=DIRECTION('',(-2.31296463463574E-16,1.45080396194939E-33,1.));
#56335=DIRECTION('',(6.4051328343759E-17,-1.,1.110223024625E-16));
#56336=DIRECTION('',(2.31296463463574E-16,8.8817841970013E-15,-1.));
#56337=DIRECTION('center_axis',(1.,6.4051328343759E-17,2.31296463463574E-16));
#56338=DIRECTION('ref_axis',(2.77333911991762E-31,1.,-8.32667268468868E-16));
#56339=DIRECTION('',(-2.31296463463574E-16,2.42557245429879E-33,1.));
#56340=DIRECTION('',(6.4051328343759E-17,-1.,1.110223024625E-16));
#56341=DIRECTION('',(2.31296463463574E-16,-2.75045347179545E-33,-1.));
#56342=DIRECTION('center_axis',(1.,6.4051328343759E-17,2.31296463463574E-16));
#56343=DIRECTION('ref_axis',(2.33422709259732E-30,1.,-6.93889390390719E-15));
#56344=DIRECTION('',(-2.31296463463574E-16,3.33570301286901E-33,1.));
#56345=DIRECTION('',(6.4051328343759E-17,-1.,1.110223024625E-16));
#56346=DIRECTION('',(2.31296463463574E-16,-3.5527136788005E-15,-1.));
#56347=DIRECTION('center_axis',(1.,6.4051328343759E-17,2.31296463463574E-16));
#56348=DIRECTION('ref_axis',(3.73630409211123E-30,1.,-1.11022302462516E-14));
#56349=DIRECTION('',(-2.31296463463574E-16,1.15374390389036E-32,1.));
#56350=DIRECTION('',(6.4051328343759E-17,-1.,1.110223024625E-16));
#56351=DIRECTION('',(2.31296463463514E-16,9.45021838561367E-13,-1.));
#56352=DIRECTION('center_axis',(1.,6.4051328343759E-17,2.31296463463574E-16));
#56353=DIRECTION('ref_axis',(0.,1.,6.73402078457065E-33));
#56354=DIRECTION('',(-2.31296463463574E-16,0.,1.));
#56355=DIRECTION('center_axis',(-0.707106781186547,3.32134943182997E-17,
0.707106781186548));
#56356=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547));
#56357=DIRECTION('',(-0.577350269189446,0.577350269189986,-0.577350269189445));
#56358=DIRECTION('',(0.577350269189628,0.577350269189623,0.577350269189627));
#56359=DIRECTION('',(-6.4051328343759E-17,1.,-1.110223024625E-16));
#56360=DIRECTION('center_axis',(-2.74467364053125E-48,-0.70710678118655,
0.707106781186545));
#56361=DIRECTION('ref_axis',(1.,0.,3.88155468672724E-48));
#56362=DIRECTION('',(1.,-3.88155468672722E-48,4.30939138454563E-64));
#56363=DIRECTION('',(-5.24659608718586E-17,0.707106781186545,0.70710678118655));
#56364=DIRECTION('',(-1.,3.88155468672722E-48,-4.30939138454563E-64));
#56365=DIRECTION('center_axis',(-0.707106781186547,3.32134943182997E-17,
0.707106781186548));
#56366=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547));
#56367=DIRECTION('',(-0.577350269189628,0.577350269189624,-0.577350269189626));
#56368=DIRECTION('',(0.577350269189628,0.577350269189624,0.577350269189626));
#56369=DIRECTION('',(-6.4051328343759E-17,1.,-1.110223024625E-16));
#56370=DIRECTION('center_axis',(-7.93539718926419E-49,-0.707106781186549,
0.707106781186546));
#56371=DIRECTION('ref_axis',(1.,0.,1.12223463278748E-48));
#56372=DIRECTION('',(1.,-1.12223463278747E-48,1.24593072835223E-64));
#56373=DIRECTION('',(-5.24659608718587E-17,0.707106781186546,0.707106781186549));
#56374=DIRECTION('',(-1.,1.12223463278747E-48,-1.24593072835223E-64));
#56375=DIRECTION('center_axis',(-0.707106781186546,3.32134943182998E-17,
0.707106781186549));
#56376=DIRECTION('ref_axis',(0.707106781186549,0.,0.707106781186546));
#56377=DIRECTION('',(-0.577350269189627,0.577350269189627,-0.577350269189624));
#56378=DIRECTION('',(0.577350269189627,0.577350269189626,0.577350269189625));
#56379=DIRECTION('',(-6.4051328343759E-17,1.,-1.110223024625E-16));
#56380=DIRECTION('center_axis',(-5.77026214922062E-49,-0.707106781186547,
0.707106781186548));
#56381=DIRECTION('ref_axis',(1.,0.,8.16038298987592E-49));
#56382=DIRECTION('',(1.,-8.16038298987593E-49,9.05984508511846E-65));
#56383=DIRECTION('',(-5.24659608718589E-17,0.707106781186548,0.707106781186547));
#56384=DIRECTION('',(-1.,8.16038298987593E-49,-9.05984508511846E-65));
#56385=DIRECTION('center_axis',(-0.707106781186547,3.32134943182997E-17,
0.707106781186548));
#56386=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547));
#56387=DIRECTION('',(-0.577350269189626,0.577350269189627,-0.577350269189625));
#56388=DIRECTION('',(0.577350269189628,0.577350269189623,0.577350269189627));
#56389=DIRECTION('',(-6.4051328343759E-17,1.,-1.110223024625E-16));
#56390=DIRECTION('center_axis',(-3.45135812073526E-49,-0.70710678118655,
0.707106781186545));
#56391=DIRECTION('ref_axis',(1.,0.,4.88095746295034E-49));
#56392=DIRECTION('',(1.,-4.88095746295031E-49,5.41895135758266E-65));
#56393=DIRECTION('',(-5.24659608718586E-17,0.707106781186545,0.70710678118655));
#56394=DIRECTION('',(-1.,4.88095746295031E-49,-5.41895135758266E-65));
#56395=DIRECTION('center_axis',(0.707106781186548,-2.2343623450501E-16,
0.707106781186547));
#56396=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548));
#56397=DIRECTION('',(-0.577350269189625,-0.577350269189626,0.577350269189626));
#56398=DIRECTION('',(-4.2700885562506E-16,-1.,1.110223024625E-16));
#56399=DIRECTION('',(0.707106781186553,-1.76635401601924E-15,-0.707106781186542));
#56400=DIRECTION('',(4.2700885562506E-16,1.,-1.110223024625E-16));
#56401=DIRECTION('center_axis',(0.,0.,-1.));
#56402=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,3.21155275639859E-16));
#56403=DIRECTION('',(0.,-0.707106781186544,-0.707106781186551));
#56404=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#56405=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186549,5.23364152894593E-16));
#56406=DIRECTION('center_axis',(0.,-1.110223024625E-16,-1.));
#56407=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186549,7.85046229341778E-17));
#56408=DIRECTION('center_axis',(0.,-0.70710678118655,0.707106781186545));
#56409=DIRECTION('ref_axis',(1.,0.,0.));
#56410=DIRECTION('',(3.14018491736755E-15,-0.707106781186546,-0.707106781186549));
#56411=DIRECTION('',(1.,0.,0.));
#56412=DIRECTION('',(-1.,0.,0.));
#56413=DIRECTION('center_axis',(0.,0.,-1.));
#56414=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,-2.49787436608787E-16));
#56415=DIRECTION('',(-0.707106781186532,-7.85046229341875E-16,-0.707106781186563));
#56416=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#56417=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,-5.23364152894592E-16));
#56418=DIRECTION('center_axis',(0.,-1.110223024625E-16,-1.));
#56419=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,7.85046229341777E-17));
#56420=DIRECTION('center_axis',(-0.707106781186546,3.32134943182998E-17,
0.707106781186549));
#56421=DIRECTION('ref_axis',(0.707106781186549,0.,0.707106781186546));
#56422=DIRECTION('',(-0.577350269189628,0.577350269189623,-0.577350269189626));
#56423=DIRECTION('',(-6.4051328343759E-17,1.,-1.110223024625E-16));
#56424=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#56425=DIRECTION('ref_axis',(1.,0.,0.));
#56426=DIRECTION('',(1.,-2.98810948947352E-31,3.31746795531396E-47));
#56427=DIRECTION('',(4.3969228698026E-16,1.,-1.110223024625E-16));
#56428=DIRECTION('',(1.,0.,0.));
#56429=DIRECTION('',(7.41980734279189E-17,-1.,1.110223024625E-16));
#56430=DIRECTION('',(1.,-2.89296901113818E-49,3.21184080569223E-65));
#56431=DIRECTION('center_axis',(2.1129124829346E-31,0.707106781186548,0.707106781186548));
#56432=DIRECTION('ref_axis',(1.,0.,-2.98810948947352E-31));
#56433=DIRECTION('',(-1.,2.98810948947352E-31,-3.31746795531396E-47));
#56434=DIRECTION('',(-3.10909397759163E-16,-0.707106781186548,0.707106781186548));
#56435=DIRECTION('center_axis',(1.,-4.2700885562506E-16,2.31296463463574E-16));
#56436=DIRECTION('ref_axis',(-4.2700885562506E-16,-1.,-7.37774687518467E-32));
#56437=DIRECTION('',(-2.31296463463574E-16,0.,1.));
#56438=DIRECTION('',(-4.2700885562506E-16,-1.,-7.37774687518467E-32));
#56439=DIRECTION('',(0.,0.,1.));
#56440=DIRECTION('',(4.2700885562506E-16,1.,-1.110223024625E-16));
#56441=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#56442=DIRECTION('',(-4.2700885562506E-16,-1.,-9.87656381737044E-32));
#56443=DIRECTION('',(-2.31296463463574E-16,0.,1.));
#56444=DIRECTION('',(4.2700885562506E-16,1.,-1.110223024625E-16));
#56445=DIRECTION('',(2.31296463463574E-16,-1.77635683940023E-15,-1.));
#56446=DIRECTION('center_axis',(-1.,4.2700885562506E-16,-2.31296463463574E-16));
#56447=DIRECTION('ref_axis',(3.36431219583381E-16,-1.11999004180758E-63,
-1.));
#56448=DIRECTION('',(-2.31296463463574E-16,8.88178419700123E-16,1.));
#56449=DIRECTION('center_axis',(0.707106781186549,-2.23436234505011E-16,
0.707106781186546));
#56450=DIRECTION('ref_axis',(0.707106781186546,0.,-0.707106781186549));
#56451=DIRECTION('',(0.577350269189625,-0.577350269189624,-0.577350269189628));
#56452=DIRECTION('',(-0.577350269189626,-0.577350269189624,0.577350269189628));
#56453=DIRECTION('',(-4.2700885562506E-16,-1.,1.110223024625E-16));
#56454=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#56455=DIRECTION('ref_axis',(1.,0.,0.));
#56456=DIRECTION('',(1.,0.,0.));
#56457=DIRECTION('',(4.3969228698026E-16,1.,-1.110223024625E-16));
#56458=DIRECTION('',(-1.,5.97621897894698E-31,-6.63493591062784E-47));
#56459=DIRECTION('center_axis',(0.,0.70710678118655,0.707106781186545));
#56460=DIRECTION('ref_axis',(1.,0.,0.));
#56461=DIRECTION('',(-1.,0.,0.));
#56462=DIRECTION('',(-3.10909397759162E-16,-0.707106781186545,0.70710678118655));
#56463=DIRECTION('center_axis',(-0.707106781186547,3.32134943182997E-17,
0.707106781186548));
#56464=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547));
#56465=DIRECTION('',(0.577350269189624,0.57735026918963,0.577350269189623));
#56466=DIRECTION('',(-6.4051328343759E-17,1.,-1.110223024625E-16));
#56467=DIRECTION('',(-0.707106781186546,0.,-0.707106781186549));
#56468=DIRECTION('center_axis',(0.,0.,-1.));
#56469=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,-3.56839195155354E-17));
#56470=DIRECTION('',(0.,0.707106781186555,-0.70710678118654));
#56471=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#56472=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.));
#56473=DIRECTION('center_axis',(0.,-1.110223024625E-16,-1.));
#56474=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,-7.85046229341778E-17));
#56475=DIRECTION('center_axis',(0.,0.707106781186543,0.707106781186552));
#56476=DIRECTION('ref_axis',(1.,0.,0.));
#56477=DIRECTION('',(0.,0.707106781186552,-0.707106781186543));
#56478=DIRECTION('',(-1.,0.,0.));
#56479=DIRECTION('',(1.,0.,0.));
#56480=DIRECTION('center_axis',(0.,0.,-1.));
#56481=DIRECTION('ref_axis',(0.707106781186545,0.70710678118655,2.49787436608788E-16));
#56482=DIRECTION('',(0.707106781186559,0.,-0.707106781186536));
#56483=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#56484=DIRECTION('ref_axis',(0.707106781186545,0.70710678118655,5.23364152894592E-16));
#56485=DIRECTION('center_axis',(0.,-1.110223024625E-16,-1.));
#56486=DIRECTION('ref_axis',(0.707106781186545,0.70710678118655,-7.85046229341779E-17));
#56487=DIRECTION('center_axis',(0.707106781186548,-2.2343623450501E-16,
0.707106781186547));
#56488=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548));
#56489=DIRECTION('',(0.577350269189626,-0.577350269189625,-0.577350269189627));
#56490=DIRECTION('',(-4.2700885562506E-16,-1.,1.110223024625E-16));
#56491=DIRECTION('center_axis',(0.,-0.707106781186549,0.707106781186546));
#56492=DIRECTION('ref_axis',(1.,0.,0.));
#56493=DIRECTION('',(-1.,0.,0.));
#56494=DIRECTION('',(-3.10909397759163E-16,-0.707106781186546,-0.707106781186549));
#56495=DIRECTION('',(1.,0.,0.));
#56496=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#56497=DIRECTION('ref_axis',(1.,0.,0.));
#56498=DIRECTION('',(-1.,-4.78097518315768E-30,5.30794872850238E-46));
#56499=DIRECTION('',(7.41980734279189E-17,-1.,1.110223024625E-16));
#56500=DIRECTION('',(-1.,0.,0.));
#56501=DIRECTION('',(4.3969228698026E-16,1.,-1.110223024625E-16));
#56502=DIRECTION('center_axis',(0.,-1.,0.));
#56503=DIRECTION('ref_axis',(-2.31296463463574E-16,0.,1.));
#56504=DIRECTION('',(-1.,0.,-2.31296463463574E-16));
#56505=DIRECTION('',(0.,0.,-1.));
#56506=DIRECTION('center_axis',(3.38065997269537E-30,-0.707106781186543,
0.707106781186552));
#56507=DIRECTION('ref_axis',(1.,0.,-4.78097518315761E-30));
#56508=DIRECTION('',(1.,4.78097518315768E-30,-5.30794872850238E-46));
#56509=DIRECTION('',(-5.24659608718592E-17,0.707106781186552,0.707106781186543));
#56510=DIRECTION('center_axis',(-4.78097518315768E-30,1.,1.42108547152022E-14));
#56511=DIRECTION('ref_axis',(-2.1360511148344E-17,-1.42108547152022E-14,
1.));
#56512=DIRECTION('',(1.,0.,3.36431219583379E-16));
#56513=DIRECTION('',(-1.05441804163206E-30,1.42108547152022E-14,-1.));
#56514=DIRECTION('center_axis',(0.,0.707106781186549,0.707106781186546));
#56515=DIRECTION('ref_axis',(1.,0.,0.));
#56516=DIRECTION('',(1.,0.,0.));
#56517=DIRECTION('',(-5.24659608718587E-17,0.707106781186546,-0.707106781186549));
#56518=DIRECTION('',(-1.,0.,0.));
#56519=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#56520=DIRECTION('ref_axis',(1.,0.,0.));
#56521=DIRECTION('',(7.41980734279189E-17,-1.,1.110223024625E-16));
#56522=DIRECTION('center_axis',(0.,1.,0.));
#56523=DIRECTION('ref_axis',(-2.31296463463574E-16,0.,1.));
#56524=DIRECTION('',(1.,0.,3.36431219583379E-16));
#56525=DIRECTION('',(0.,0.,-1.));
#56526=DIRECTION('center_axis',(-2.24813888184239E-28,0.707106781186216,
0.707106781186879));
#56527=DIRECTION('ref_axis',(1.,0.,3.1793484967983E-28));
#56528=DIRECTION('',(1.,3.17934849680128E-28,-3.52978590445566E-44));
#56529=DIRECTION('',(-5.24659608718834E-17,0.707106781186879,-0.707106781186216));
#56530=DIRECTION('',(-1.,-3.17934849680128E-28,3.52978590445566E-44));
#56531=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#56532=DIRECTION('ref_axis',(1.,0.,0.));
#56533=DIRECTION('',(7.41980734279189E-17,-1.,1.110223024625E-16));
#56534=DIRECTION('center_axis',(3.88155468672722E-48,1.,-1.15374390389036E-32));
#56535=DIRECTION('ref_axis',(-5.11684421213324E-17,1.15374390389036E-32,
1.));
#56536=DIRECTION('',(1.,0.,3.36431219583379E-16));
#56537=DIRECTION('',(8.56055748978705E-49,-1.15374390389036E-32,-1.));
#56538=DIRECTION('center_axis',(8.4516499317384E-31,0.707106781186549,0.707106781186546));
#56539=DIRECTION('ref_axis',(1.,0.,-1.19524379578941E-30));
#56540=DIRECTION('',(1.,-1.19524379578941E-30,1.32698718212558E-46));
#56541=DIRECTION('',(-5.24659608718587E-17,0.707106781186546,-0.707106781186549));
#56542=DIRECTION('',(-1.,1.19524379578941E-30,-1.32698718212558E-46));
#56543=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#56544=DIRECTION('ref_axis',(1.,0.,0.));
#56545=DIRECTION('',(7.41980734279189E-17,-1.,1.110223024625E-16));
#56546=DIRECTION('center_axis',(1.12223463278747E-48,1.,-3.33570301286901E-33));
#56547=DIRECTION('ref_axis',(-1.79217871198219E-16,3.33570301286901E-33,
1.));
#56548=DIRECTION('',(1.,0.,3.36431219583379E-16));
#56549=DIRECTION('',(2.47502737082585E-49,-3.33570301286901E-33,-1.));
#56550=DIRECTION('center_axis',(6.5431306879191E-49,0.707106781186546,0.707106781186549));
#56551=DIRECTION('ref_axis',(1.,0.,-9.25338415923478E-49));
#56552=DIRECTION('',(1.,-9.25338415923482E-49,1.02733201492827E-64));
#56553=DIRECTION('',(-5.24659608718589E-17,0.707106781186549,-0.707106781186546));
#56554=DIRECTION('',(-1.,9.25338415923482E-49,-1.02733201492827E-64));
#56555=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#56556=DIRECTION('ref_axis',(1.,0.,0.));
#56557=DIRECTION('',(7.41980734279189E-17,-1.,1.110223024625E-16));
#56558=DIRECTION('center_axis',(8.16038298987593E-49,1.,-2.42557245429879E-33));
#56559=DIRECTION('ref_axis',(-1.93427265165595E-16,2.42557245429879E-33,
1.));
#56560=DIRECTION('',(1.,0.,3.36431219583379E-16));
#56561=DIRECTION('',(1.79972803068799E-49,-2.42557245429879E-33,-1.));
#56562=DIRECTION('center_axis',(-2.1129124829346E-30,0.707106781186546,
0.707106781186549));
#56563=DIRECTION('ref_axis',(1.,0.,2.98810948947352E-30));
#56564=DIRECTION('',(1.,2.98810948947353E-30,-3.31746795531397E-46));
#56565=DIRECTION('',(-5.24659608718589E-17,0.707106781186549,-0.707106781186546));
#56566=DIRECTION('',(-1.,-2.98810948947353E-30,3.31746795531397E-46));
#56567=DIRECTION('center_axis',(0.,1.110223024625E-16,1.));
#56568=DIRECTION('ref_axis',(1.,0.,0.));
#56569=DIRECTION('',(7.41980734279189E-17,-1.,1.110223024625E-16));
#56570=DIRECTION('center_axis',(4.88095746295031E-49,1.,-1.45080396194939E-33));
#56571=DIRECTION('ref_axis',(-2.08645817497842E-16,1.45080396194939E-33,
1.));
#56572=DIRECTION('',(1.,0.,3.36431219583379E-16));
#56573=DIRECTION('',(1.07646858898236E-49,-1.45080396194939E-33,-1.));
#56574=DIRECTION('center_axis',(2.04563800553836E-49,0.707106781186549,
0.707106781186546));
#56575=DIRECTION('ref_axis',(1.,0.,-2.8929690111382E-49));
#56576=DIRECTION('',(-5.24659608718587E-17,0.707106781186546,-0.707106781186549));
#56577=DIRECTION('',(-1.,2.89296901113818E-49,-3.21184080569223E-65));
#56578=DIRECTION('center_axis',(-2.89296901113818E-49,-1.,8.59899094596722E-34));
#56579=DIRECTION('ref_axis',(2.17871307157967E-16,-8.59899094596722E-34,
-1.));
#56580=DIRECTION('',(-6.38028561614885E-50,8.59899094596722E-34,1.));
#56581=DIRECTION('',(1.,0.,3.36431219583379E-16));
#56582=DIRECTION('center_axis',(-2.98810948947352E-31,-1.,8.88178419700123E-16));
#56583=DIRECTION('ref_axis',(-2.31296463463573E-16,8.88178419700123E-16,
1.));
#56584=DIRECTION('',(-1.,0.,-3.36431219583381E-16));
#56585=DIRECTION('',(-3.9052520060446E-31,-8.88178419700123E-16,-1.));
#56586=DIRECTION('center_axis',(-4.22582496586917E-31,-0.70710678118655,
0.707106781186545));
#56587=DIRECTION('ref_axis',(1.,0.,5.97621897894702E-31));
#56588=DIRECTION('',(-3.10909397759162E-16,-0.707106781186545,-0.70710678118655));
#56589=DIRECTION('',(1.,-5.97621897894698E-31,6.63493591062784E-47));
#56590=DIRECTION('center_axis',(5.97621897894698E-31,1.,-1.77635683940023E-15));
#56591=DIRECTION('ref_axis',(2.31296463463573E-16,-1.77635683940023E-15,
-1.));
#56592=DIRECTION('',(7.81050401208912E-31,1.77635683940023E-15,1.));
#56593=DIRECTION('',(-1.,0.,-3.36431219583381E-16));
#56594=DIRECTION('center_axis',(1.,0.,3.36431219583379E-16));
#56595=DIRECTION('ref_axis',(2.33422709259732E-30,1.,-6.93889390390719E-15));
#56596=DIRECTION('center_axis',(-1.,-7.41980734279189E-17,0.));
#56597=DIRECTION('ref_axis',(2.33422709259732E-30,1.,-6.93889390390719E-15));
#56598=DIRECTION('',(1.,0.,3.36431219583379E-16));
#56599=DIRECTION('center_axis',(-9.25338415923482E-49,-1.,2.75045347179545E-33));
#56600=DIRECTION('ref_axis',(1.88355067197224E-16,-2.75045347179545E-33,
-1.));
#56601=DIRECTION('',(-2.04078348660353E-49,2.75045347179545E-33,1.));
#56602=DIRECTION('center_axis',(1.,0.,3.36431219583379E-16));
#56603=DIRECTION('ref_axis',(-1.63318859284038E-30,1.,4.85722573273508E-15));
#56604=DIRECTION('center_axis',(-1.,-7.41980734279189E-17,0.));
#56605=DIRECTION('ref_axis',(-1.63318859284038E-30,1.,4.85722573273508E-15));
#56606=DIRECTION('',(1.,0.,3.36431219583379E-16));
#56607=DIRECTION('center_axis',(0.,-1.,0.));
#56608=DIRECTION('ref_axis',(2.31296463463574E-16,0.,-1.));
#56609=DIRECTION('',(0.,0.,1.));
#56610=DIRECTION('center_axis',(1.,0.,3.36431219583379E-16));
#56611=DIRECTION('ref_axis',(2.77333911991762E-31,1.,-8.32667268468868E-16));
#56612=DIRECTION('center_axis',(-1.,-7.41980734279189E-17,0.));
#56613=DIRECTION('ref_axis',(2.77333911991762E-31,1.,-8.32667268468868E-16));
#56614=DIRECTION('',(1.,0.,3.36431219583379E-16));
#56615=DIRECTION('center_axis',(2.98810948947353E-30,-1.,-8.8817841970013E-15));
#56616=DIRECTION('ref_axis',(2.03649735329288E-16,8.8817841970013E-15,-1.));
#56617=DIRECTION('',(6.59011276020032E-31,-8.8817841970013E-15,1.));
#56618=DIRECTION('center_axis',(1.,0.,3.36431219583379E-16));
#56619=DIRECTION('ref_axis',(3.73630409211123E-30,1.,-1.11022302462516E-14));
#56620=DIRECTION('center_axis',(-1.,-7.41980734279189E-17,0.));
#56621=DIRECTION('ref_axis',(3.73630409211123E-30,1.,-1.11022302462516E-14));
#56622=DIRECTION('',(1.,0.,3.36431219583379E-16));
#56623=DIRECTION('center_axis',(-1.19524379578941E-30,-1.,3.5527136788005E-15));
#56624=DIRECTION('ref_axis',(6.02314872203106E-17,-3.5527136788005E-15,
-1.));
#56625=DIRECTION('',(-2.63604510408012E-31,3.5527136788005E-15,1.));
#56626=DIRECTION('center_axis',(1.,0.,3.36431219583379E-16));
#56627=DIRECTION('ref_axis',(0.,1.,6.73402078457065E-33));
#56628=DIRECTION('center_axis',(-1.,-7.41980734279189E-17,0.));
#56629=DIRECTION('ref_axis',(0.,1.,6.73402078457065E-33));
#56630=DIRECTION('',(1.,0.,3.36431219583379E-16));
#56631=DIRECTION('center_axis',(3.17934849680128E-28,-1.,-9.45021838561367E-13));
#56632=DIRECTION('ref_axis',(4.57867302168639E-17,9.45021838561367E-13,
-1.));
#56633=DIRECTION('',(7.01187997685632E-29,-9.45021838561367E-13,1.));
#56634=DIRECTION('center_axis',(1.,0.,3.36431219583379E-16));
#56635=DIRECTION('ref_axis',(9.24446373305874E-32,1.,-2.77555756156289E-16));
#56636=DIRECTION('center_axis',(-1.,-7.41980734279189E-17,0.));
#56637=DIRECTION('ref_axis',(9.24446373305874E-32,1.,-2.77555756156289E-16));
#56638=DIRECTION('center_axis',(1.,7.41980734279189E-17,0.));
#56639=DIRECTION('ref_axis',(-7.41980734279189E-17,1.,-4.32457975116132E-32));
#56640=DIRECTION('',(0.,0.,1.));
#56641=DIRECTION('',(-7.41980734279189E-17,1.,0.));
#56642=DIRECTION('',(6.5926841305566E-48,-8.88524974568401E-32,-1.));
#56643=DIRECTION('',(7.41980734279189E-17,-1.,4.32457975116132E-32));
#56644=DIRECTION('',(0.,0.,1.));
#56645=DIRECTION('',(-7.41980734279189E-17,1.,0.));
#56646=DIRECTION('',(0.,0.,1.));
#56647=DIRECTION('center_axis',(-1.,0.,-3.36431219583381E-16));
#56648=DIRECTION('ref_axis',(3.36431219583381E-16,-1.11999004180758E-63,
-1.));
#56649=DIRECTION('center_axis',(1.,-4.3969228698026E-16,0.));
#56650=DIRECTION('ref_axis',(3.36431219583381E-16,-1.11999004180758E-63,
-1.));
#56651=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#56652=DIRECTION('ref_axis',(-4.2700885562506E-16,-1.,-9.87656381737044E-32));
#56653=DIRECTION('',(4.3969228698026E-16,1.,1.01699270990745E-31));
#56654=DIRECTION('',(-1.,0.,-2.31296463463574E-16));
#56655=DIRECTION('center_axis',(0.,1.,0.));
#56656=DIRECTION('ref_axis',(2.31296463463574E-16,0.,-1.));
#56657=DIRECTION('',(0.,0.,1.));
#56658=DIRECTION('center_axis',(-1.,4.3969228698026E-16,0.));
#56659=DIRECTION('ref_axis',(-4.3969228698026E-16,-1.,-7.67388335666115E-32));
#56660=DIRECTION('',(0.,0.,1.));
#56661=DIRECTION('',(-4.3969228698026E-16,-1.,0.));
#56662=DIRECTION('',(0.,0.,-1.));
#56663=DIRECTION('',(4.3969228698026E-16,1.,7.67388335666115E-32));
#56664=DIRECTION('',(0.,0.,-1.));
#56665=DIRECTION('',(-4.3969228698026E-16,-1.,0.));
#56666=DIRECTION('',(0.,0.,1.));
#56667=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#56668=DIRECTION('ref_axis',(0.707106781186545,0.70710678118655,5.23364152894592E-16));
#56669=DIRECTION('center_axis',(-0.707106781186548,3.01940857439188E-16,
0.707106781186548));
#56670=DIRECTION('ref_axis',(0.707106781186548,-1.96261557335472E-16,0.707106781186548));
#56671=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56672=DIRECTION('ref_axis',(0.707106781186545,0.70710678118655,5.23364152894592E-16));
#56673=DIRECTION('center_axis',(-1.65097368641417E-16,-0.707106781186541,
0.707106781186554));
#56674=DIRECTION('ref_axis',(-6.54205191118245E-17,0.707106781186554,0.707106781186541));
#56675=DIRECTION('',(0.,0.,1.));
#56676=DIRECTION('center_axis',(0.707106781186548,-3.01940857439188E-16,
-0.707106781186548));
#56677=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548));
#56678=DIRECTION('center_axis',(-0.707106781186548,3.01940857439188E-16,
0.707106781186548));
#56679=DIRECTION('ref_axis',(0.707106781186547,-3.2710259555912E-16,0.707106781186548));
#56680=DIRECTION('',(4.2700885562506E-16,1.,7.37774687518467E-32));
#56681=DIRECTION('center_axis',(-2.31296463463574E-16,0.,1.));
#56682=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.));
#56683=DIRECTION('',(0.,0.,1.));
#56684=DIRECTION('center_axis',(-1.65097368641417E-16,-0.707106781186541,
0.707106781186554));
#56685=DIRECTION('ref_axis',(-2.61682076447298E-16,0.707106781186554,0.707106781186541));
#56686=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56687=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.));
#56688=DIRECTION('center_axis',(0.707106781186549,4.52911286158783E-17,
0.707106781186546));
#56689=DIRECTION('ref_axis',(-0.707106781186546,6.54205191118238E-17,0.707106781186549));
#56690=DIRECTION('center_axis',(-0.707106781186549,-4.52911286158783E-17,
-0.707106781186546));
#56691=DIRECTION('ref_axis',(-0.707106781186546,0.,0.707106781186549));
#56692=DIRECTION('',(6.4051328343759E-17,-1.,4.08767056598012E-32));
#56693=DIRECTION('center_axis',(0.707106781186549,4.52911286158783E-17,
0.707106781186546));
#56694=DIRECTION('ref_axis',(-0.707106781186546,0.,0.707106781186549));
#56695=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#56696=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#56697=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56698=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,5.23364152894593E-16));
#56699=DIRECTION('',(1.,0.,2.334829378448E-16));
#56700=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56701=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,-5.23364152894592E-16));
#56702=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#56703=DIRECTION('',(-0.999783056728112,-0.0208288136818301,-2.33432285292334E-16));
#56704=DIRECTION('',(-5.68215622462197E-15,-1.,-1.30076471527262E-30));
#56705=DIRECTION('',(1.,-5.85260333904186E-15,2.334829378448E-16));
#56706=DIRECTION('',(6.05119549771221E-15,1.,1.38692908893384E-30));
#56707=DIRECTION('',(1.,-5.51208910165411E-15,2.334829378448E-16));
#56708=DIRECTION('',(1.,-6.56200910147892E-15,2.334829378448E-16));
#56709=DIRECTION('',(-1.,8.61263125658766E-15,-2.334829378448E-16));
#56710=DIRECTION('',(-5.62806132648928E-15,-1.,-1.28813447953418E-30));
#56711=DIRECTION('',(1.,-7.50407716301899E-15,2.334829378448E-16));
#56712=DIRECTION('',(-1.,4.72219531184268E-15,-2.334829378448E-16));
#56713=DIRECTION('',(5.68434197643099E-15,1.,1.30127505102638E-30));
#56714=DIRECTION('',(-1.,1.1842371400158E-14,-2.334829378448E-16));
#56715=DIRECTION('',(-7.50408094199982E-15,-1.,-1.72615305081805E-30));
#56716=DIRECTION('',(1.,-5.76358948255805E-15,2.334829378448E-16));
#56717=DIRECTION('',(-1.,1.35341448893035E-14,-2.334829378448E-16));
#56718=DIRECTION('',(-1.,6.45031703385055E-15,-2.334829378448E-16));
#56719=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#56720=DIRECTION('',(1.,-1.13971697402318E-14,2.334829378448E-16));
#56721=DIRECTION('',(7.50408094199982E-15,1.,1.72615305081805E-30));
#56722=DIRECTION('',(-1.,1.09842290511307E-14,-2.334829378448E-16));
#56723=DIRECTION('',(-3.18450484997506E-15,-1.,-7.17605734607953E-31));
#56724=DIRECTION('',(1.,-3.74508823930296E-15,2.334829378448E-16));
#56725=DIRECTION('',(3.75204047099991E-15,1.,8.50115618736407E-31));
#56726=DIRECTION('',(-1.,3.49268285907201E-15,-2.334829378448E-16));
#56727=DIRECTION('',(6.05230113411082E-48,1.,-2.59218133452385E-32));
#56728=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#56729=DIRECTION('',(-1.71074195570186E-15,-1.,-3.73507244366389E-31));
#56730=DIRECTION('',(1.,0.,2.334829378448E-16));
#56731=DIRECTION('',(1.70508927843715E-15,1.,3.72187440671937E-31));
#56732=DIRECTION('',(-0.999999414354691,-0.00108226164829452,-2.33482801106613E-16));
#56733=DIRECTION('',(1.,0.,2.334829378448E-16));
#56734=DIRECTION('',(2.09368020857439E-15,1.,4.62916792660223E-31));
#56735=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#56736=DIRECTION('',(-0.949666043753975,-0.313264114351888,-2.21730817867127E-16));
#56737=DIRECTION('',(-7.08329139647172E-15,-1.,-1.62790587151377E-30));
#56738=DIRECTION('',(1.,-7.16363131549773E-15,2.334829378448E-16));
#56739=DIRECTION('',(-6.59054131719513E-15,-1.,-1.51285713538102E-30));
#56740=DIRECTION('',(1.,-5.49211857402086E-15,2.334829378448E-16));
#56741=DIRECTION('',(6.59054131719513E-15,1.,1.51285713538102E-30));
#56742=DIRECTION('',(1.,-5.31868246650835E-15,2.334829378448E-16));
#56743=DIRECTION('',(7.50408094199982E-15,1.,1.72615305081805E-30));
#56744=DIRECTION('',(-1.,5.31868246650835E-15,-2.334829378448E-16));
#56745=DIRECTION('',(6.31593516754876E-15,1.,1.44874128481133E-30));
#56746=DIRECTION('',(-1.,5.49211857402086E-15,-2.334829378448E-16));
#56747=DIRECTION('',(6.56607165090241E-15,1.,1.50714388580691E-30));
#56748=DIRECTION('',(1.,-7.34410864739732E-15,2.334829378448E-16));
#56749=DIRECTION('',(1.,-5.87528691791786E-15,2.334829378448E-16));
#56750=DIRECTION('',(-1.,6.76707244465177E-15,-2.334829378448E-16));
#56751=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56752=DIRECTION('ref_axis',(1.55624405187348E-15,-1.,3.89278246580154E-31));
#56753=DIRECTION('',(1.,-3.97940802270458E-80,2.334829378448E-16));
#56754=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56755=DIRECTION('ref_axis',(1.,1.17260871070718E-63,2.334829378448E-16));
#56756=DIRECTION('',(7.72333949632573E-48,1.,-3.3078817525671E-32));
#56757=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56758=DIRECTION('ref_axis',(2.26362771181597E-15,1.,5.02596634996457E-31));
#56759=DIRECTION('',(-1.,4.74390907573353E-80,-2.334829378448E-16));
#56760=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56761=DIRECTION('ref_axis',(-1.,-1.17260871070719E-63,-2.334829378448E-16));
#56762=DIRECTION('',(2.79794109028517E-17,-1.,3.64306418355768E-32));
#56763=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56764=DIRECTION('ref_axis',(-1.33855839264048E-14,-1.,-3.09938364655988E-30));
#56765=DIRECTION('',(1.,-3.97940802270457E-80,2.334829378448E-16));
#56766=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56767=DIRECTION('ref_axis',(1.,1.18025966211346E-63,2.334829378448E-16));
#56768=DIRECTION('',(-1.79068229778251E-16,1.,-7.48881938889649E-32));
#56769=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56770=DIRECTION('ref_axis',(2.2783972640689E-15,1.,5.06045073447122E-31));
#56771=DIRECTION('',(-1.,4.74390907573352E-80,-2.334829378448E-16));
#56772=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56773=DIRECTION('ref_axis',(-1.,-9.11358905627546E-15,-2.334829378448E-16));
#56774=DIRECTION('',(1.11917643611407E-16,-1.,5.60287870058708E-32));
#56775=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56776=DIRECTION('ref_axis',(-6.05230113411083E-48,-1.,2.59218133452385E-32));
#56777=DIRECTION('',(1.,1.53134210293125E-15,2.334829378448E-16));
#56778=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56779=DIRECTION('ref_axis',(1.,1.18025966211347E-63,2.334829378448E-16));
#56780=DIRECTION('',(1.79068229778251E-16,1.,8.73055883762266E-33));
#56781=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56782=DIRECTION('ref_axis',(1.13919863203445E-15,1.,2.40061630050942E-31));
#56783=DIRECTION('',(-1.,-1.53134210293125E-15,-2.334829378448E-16));
#56784=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56785=DIRECTION('ref_axis',(-1.,-1.18025966211345E-63,-2.334829378448E-16));
#56786=DIRECTION('',(-1.65078524326825E-16,-1.,-8.6450920560993E-33));
#56787=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56788=DIRECTION('ref_axis',(-3.98719521212057E-15,-1.,-9.05020238541392E-31));
#56789=DIRECTION('',(1.,-3.97940802270449E-80,2.334829378448E-16));
#56790=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56791=DIRECTION('ref_axis',(1.,1.18025966211346E-63,2.334829378448E-16));
#56792=DIRECTION('',(-3.58136459556502E-16,1.,-1.16697570252258E-31));
#56793=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56794=DIRECTION('ref_axis',(2.2783972640689E-15,1.,5.06045073447122E-31));
#56795=DIRECTION('',(-1.,4.74390907573358E-80,-2.334829378448E-16));
#56796=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56797=DIRECTION('ref_axis',(-1.,-1.18025966211345E-63,-2.334829378448E-16));
#56798=DIRECTION('',(-1.59482642146255E-16,-1.,-7.33854904474632E-33));
#56799=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56800=DIRECTION('ref_axis',(5.65906927953993E-16,-1.,1.58051425430662E-31));
#56801=DIRECTION('',(1.,-5.08028953906628E-80,2.334829378448E-16));
#56802=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56803=DIRECTION('ref_axis',(1.,1.17260871070718E-63,2.334829378448E-16));
#56804=DIRECTION('',(7.72333949632573E-48,1.,-3.3078817525671E-32));
#56805=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56806=DIRECTION('ref_axis',(2.26362771181597E-15,1.,5.02596634996457E-31));
#56807=DIRECTION('',(-1.,5.84479059209524E-80,-2.334829378448E-16));
#56808=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56809=DIRECTION('ref_axis',(-1.,-1.17260871070718E-63,-2.334829378448E-16));
#56810=DIRECTION('',(-5.59588218057034E-18,-1.,2.85913837674592E-32));
#56811=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56812=DIRECTION('ref_axis',(-1.41476731988498E-15,-1.,-3.04402216868321E-31));
#56813=DIRECTION('',(1.,7.65671051465625E-16,2.334829378448E-16));
#56814=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56815=DIRECTION('ref_axis',(1.,1.17260871070718E-63,2.334829378448E-16));
#56816=DIRECTION('',(7.72333949632574E-48,1.,-3.30788175256711E-32));
#56817=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56818=DIRECTION('ref_axis',(2.26362771181597E-15,1.,5.02596634996457E-31));
#56819=DIRECTION('',(-1.,4.74390907573353E-80,-2.334829378448E-16));
#56820=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#56821=DIRECTION('ref_axis',(-1.,-1.17260871070718E-63,-2.334829378448E-16));
#56822=DIRECTION('',(-1.39897054514259E-17,-1.,2.66315692504298E-32));
#56823=DIRECTION('center_axis',(1.65097368641417E-16,0.707106781186541,
-0.707106781186554));
#56824=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#56825=DIRECTION('',(1.,0.,2.334829378448E-16));
#56826=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#56827=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,5.23364152894593E-16));
#56828=DIRECTION('',(0.,0.,1.));
#56829=DIRECTION('center_axis',(-1.65097368641415E-16,0.707106781186547,
0.707106781186548));
#56830=DIRECTION('ref_axis',(-1.96261557335472E-16,-0.707106781186548,0.707106781186547));
#56831=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#56832=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,-5.23364152894592E-16));
#56833=DIRECTION('center_axis',(-1.65097368641415E-16,0.707106781186547,
0.707106781186548));
#56834=DIRECTION('ref_axis',(-2.61682076447296E-16,-0.707106781186548,0.707106781186547));
#56835=DIRECTION('',(0.,0.,1.));
#56836=DIRECTION('center_axis',(1.65097368641415E-16,-0.707106781186547,
-0.707106781186548));
#56837=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#56838=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#56839=DIRECTION('center_axis',(0.,1.,0.));
#56840=DIRECTION('ref_axis',(1.,0.,2.334829378448E-16));
#56841=DIRECTION('center_axis',(-0.0208288136818301,0.999783056728112,0.));
#56842=DIRECTION('ref_axis',(-0.999783056728112,-0.0208288136818301,-2.33432285292334E-16));
#56843=DIRECTION('',(0.,0.,1.));
#56844=DIRECTION('',(-0.999783056728112,-0.0208288136818301,-2.33432285292334E-16));
#56845=DIRECTION('',(0.,0.,1.));
#56846=DIRECTION('center_axis',(1.,-5.68434197643098E-15,0.));
#56847=DIRECTION('ref_axis',(5.68434197643099E-15,1.,1.30127505102638E-30));
#56848=DIRECTION('',(0.,0.,1.));
#56849=DIRECTION('',(5.68434197643099E-15,1.,1.30127505102638E-30));
#56850=DIRECTION('',(0.,0.,1.));
#56851=DIRECTION('',(0.,0.,1.));
#56852=DIRECTION('',(0.,0.,1.));
#56853=DIRECTION('',(0.,0.,1.));
#56854=DIRECTION('',(0.,0.,1.));
#56855=DIRECTION('center_axis',(4.72219531184268E-15,1.,0.));
#56856=DIRECTION('ref_axis',(-1.,4.72219531184268E-15,-2.334829378448E-16));
#56857=DIRECTION('',(0.,0.,1.));
#56858=DIRECTION('',(-1.,4.72219531184268E-15,-2.334829378448E-16));
#56859=DIRECTION('',(0.,0.,1.));
#56860=DIRECTION('',(0.,0.,1.));
#56861=DIRECTION('',(0.,0.,1.));
#56862=DIRECTION('',(0.,0.,1.));
#56863=DIRECTION('',(0.,0.,1.));
#56864=DIRECTION('center_axis',(-7.50407716301899E-15,-1.,0.));
#56865=DIRECTION('ref_axis',(1.,-7.50407716301899E-15,2.334829378448E-16));
#56866=DIRECTION('',(0.,0.,1.));
#56867=DIRECTION('',(1.,-7.50407716301899E-15,2.334829378448E-16));
#56868=DIRECTION('center_axis',(-1.,5.62806132648928E-15,0.));
#56869=DIRECTION('ref_axis',(-5.62806132648928E-15,-1.,-1.28813447953418E-30));
#56870=DIRECTION('',(0.,0.,1.));
#56871=DIRECTION('',(-5.62806132648928E-15,-1.,-1.28813447953418E-30));
#56872=DIRECTION('center_axis',(8.61263125658766E-15,1.,0.));
#56873=DIRECTION('ref_axis',(-1.,8.61263125658766E-15,-2.334829378448E-16));
#56874=DIRECTION('',(0.,0.,1.));
#56875=DIRECTION('',(-1.,8.61263125658766E-15,-2.334829378448E-16));
#56876=DIRECTION('',(0.,0.,1.));
#56877=DIRECTION('',(0.,0.,1.));
#56878=DIRECTION('',(0.,0.,1.));
#56879=DIRECTION('',(0.,0.,1.));
#56880=DIRECTION('',(0.,0.,1.));
#56881=DIRECTION('',(0.,0.,1.));
#56882=DIRECTION('',(0.,0.,1.));
#56883=DIRECTION('center_axis',(-6.56200910147892E-15,-1.,0.));
#56884=DIRECTION('ref_axis',(1.,-6.56200910147892E-15,2.334829378448E-16));
#56885=DIRECTION('',(0.,0.,1.));
#56886=DIRECTION('',(1.,-6.56200910147892E-15,2.334829378448E-16));
#56887=DIRECTION('',(0.,0.,1.));
#56888=DIRECTION('',(0.,0.,1.));
#56889=DIRECTION('',(0.,0.,1.));
#56890=DIRECTION('center_axis',(-5.51208910165411E-15,-1.,0.));
#56891=DIRECTION('ref_axis',(1.,-5.51208910165411E-15,2.334829378448E-16));
#56892=DIRECTION('',(0.,0.,1.));
#56893=DIRECTION('',(1.,-5.51208910165411E-15,2.334829378448E-16));
#56894=DIRECTION('center_axis',(1.,-6.05119549771221E-15,0.));
#56895=DIRECTION('ref_axis',(6.05119549771221E-15,1.,1.38692908893384E-30));
#56896=DIRECTION('',(0.,0.,1.));
#56897=DIRECTION('',(6.05119549771221E-15,1.,1.38692908893384E-30));
#56898=DIRECTION('center_axis',(-5.85260333904186E-15,-1.,0.));
#56899=DIRECTION('ref_axis',(1.,-5.85260333904186E-15,2.334829378448E-16));
#56900=DIRECTION('',(0.,0.,1.));
#56901=DIRECTION('',(1.,-5.85260333904186E-15,2.334829378448E-16));
#56902=DIRECTION('center_axis',(-1.,5.68215622462197E-15,0.));
#56903=DIRECTION('ref_axis',(-5.68215622462197E-15,-1.,-1.30076471527262E-30));
#56904=DIRECTION('',(-5.68215622462197E-15,-1.,-1.30076471527262E-30));
#56905=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#56906=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#56907=DIRECTION('',(0.,0.,1.));
#56908=DIRECTION('',(0.,0.,1.));
#56909=DIRECTION('',(0.,0.,1.));
#56910=DIRECTION('',(0.,0.,1.));
#56911=DIRECTION('',(0.,0.,1.));
#56912=DIRECTION('',(0.,0.,1.));
#56913=DIRECTION('center_axis',(1.09842290511307E-14,1.,0.));
#56914=DIRECTION('ref_axis',(-1.,1.09842290511307E-14,-2.334829378448E-16));
#56915=DIRECTION('',(0.,0.,1.));
#56916=DIRECTION('',(-1.,1.09842290511307E-14,-2.334829378448E-16));
#56917=DIRECTION('center_axis',(1.,-7.50408094199982E-15,0.));
#56918=DIRECTION('ref_axis',(7.50408094199982E-15,1.,1.72615305081805E-30));
#56919=DIRECTION('',(0.,0.,1.));
#56920=DIRECTION('',(7.50408094199982E-15,1.,1.72615305081805E-30));
#56921=DIRECTION('center_axis',(-1.13971697402318E-14,-1.,0.));
#56922=DIRECTION('ref_axis',(1.,-1.13971697402318E-14,2.334829378448E-16));
#56923=DIRECTION('',(0.,0.,1.));
#56924=DIRECTION('',(1.,-1.13971697402318E-14,2.334829378448E-16));
#56925=DIRECTION('',(0.,0.,1.));
#56926=DIRECTION('',(0.,0.,1.));
#56927=DIRECTION('',(0.,0.,1.));
#56928=DIRECTION('',(0.,0.,1.));
#56929=DIRECTION('center_axis',(0.,1.,0.));
#56930=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#56931=DIRECTION('',(0.,0.,1.));
#56932=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#56933=DIRECTION('',(0.,0.,1.));
#56934=DIRECTION('',(0.,0.,1.));
#56935=DIRECTION('',(0.,0.,1.));
#56936=DIRECTION('center_axis',(6.45031703385055E-15,1.,0.));
#56937=DIRECTION('ref_axis',(-1.,6.45031703385055E-15,-2.334829378448E-16));
#56938=DIRECTION('',(0.,0.,1.));
#56939=DIRECTION('',(-1.,6.45031703385055E-15,-2.334829378448E-16));
#56940=DIRECTION('',(0.,0.,1.));
#56941=DIRECTION('',(0.,0.,1.));
#56942=DIRECTION('',(0.,0.,1.));
#56943=DIRECTION('center_axis',(1.35341448893036E-14,1.,0.));
#56944=DIRECTION('ref_axis',(-1.,1.35341448893035E-14,-2.334829378448E-16));
#56945=DIRECTION('',(0.,0.,1.));
#56946=DIRECTION('',(-1.,1.35341448893035E-14,-2.334829378448E-16));
#56947=DIRECTION('',(0.,0.,1.));
#56948=DIRECTION('',(0.,0.,1.));
#56949=DIRECTION('',(0.,0.,1.));
#56950=DIRECTION('',(0.,0.,1.));
#56951=DIRECTION('center_axis',(-5.76358948255805E-15,-1.,0.));
#56952=DIRECTION('ref_axis',(1.,-5.76358948255805E-15,2.334829378448E-16));
#56953=DIRECTION('',(0.,0.,1.));
#56954=DIRECTION('',(1.,-5.76358948255805E-15,2.334829378448E-16));
#56955=DIRECTION('center_axis',(-1.,7.50408094199982E-15,0.));
#56956=DIRECTION('ref_axis',(-7.50408094199982E-15,-1.,-1.72615305081805E-30));
#56957=DIRECTION('',(0.,0.,1.));
#56958=DIRECTION('',(-7.50408094199982E-15,-1.,-1.72615305081805E-30));
#56959=DIRECTION('center_axis',(1.1842371400158E-14,1.,0.));
#56960=DIRECTION('ref_axis',(-1.,1.1842371400158E-14,-2.334829378448E-16));
#56961=DIRECTION('',(0.,0.,1.));
#56962=DIRECTION('',(-1.,1.1842371400158E-14,-2.334829378448E-16));
#56963=DIRECTION('',(0.,0.,1.));
#56964=DIRECTION('',(0.,0.,1.));
#56965=DIRECTION('',(0.,0.,1.));
#56966=DIRECTION('',(0.,0.,1.));
#56967=DIRECTION('',(0.,0.,1.));
#56968=DIRECTION('',(0.,0.,1.));
#56969=DIRECTION('',(0.,0.,1.));
#56970=DIRECTION('',(0.,0.,1.));
#56971=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#56972=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#56973=DIRECTION('',(0.,0.,1.));
#56974=DIRECTION('',(0.,0.,1.));
#56975=DIRECTION('center_axis',(0.,1.,0.));
#56976=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#56977=DIRECTION('',(0.,0.,1.));
#56978=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#56979=DIRECTION('center_axis',(1.,-6.05230113411082E-48,0.));
#56980=DIRECTION('ref_axis',(6.05230113411082E-48,1.,-2.59218133452385E-32));
#56981=DIRECTION('',(0.,0.,1.));
#56982=DIRECTION('',(6.05230113411082E-48,1.,-2.59218133452385E-32));
#56983=DIRECTION('',(0.,0.,1.));
#56984=DIRECTION('',(0.,0.,1.));
#56985=DIRECTION('',(0.,0.,1.));
#56986=DIRECTION('center_axis',(3.49268285907201E-15,1.,0.));
#56987=DIRECTION('ref_axis',(-1.,3.49268285907201E-15,-2.334829378448E-16));
#56988=DIRECTION('',(0.,0.,1.));
#56989=DIRECTION('',(-1.,3.49268285907201E-15,-2.334829378448E-16));
#56990=DIRECTION('center_axis',(1.,-3.75204047099991E-15,0.));
#56991=DIRECTION('ref_axis',(3.75204047099991E-15,1.,8.50115618736407E-31));
#56992=DIRECTION('',(0.,0.,1.));
#56993=DIRECTION('',(3.75204047099991E-15,1.,8.50115618736407E-31));
#56994=DIRECTION('center_axis',(-3.74508823930296E-15,-1.,0.));
#56995=DIRECTION('ref_axis',(1.,-3.74508823930296E-15,2.334829378448E-16));
#56996=DIRECTION('',(0.,0.,1.));
#56997=DIRECTION('',(1.,-3.74508823930296E-15,2.334829378448E-16));
#56998=DIRECTION('center_axis',(-1.,3.18450484997506E-15,0.));
#56999=DIRECTION('ref_axis',(-3.18450484997506E-15,-1.,-7.17605734607953E-31));
#57000=DIRECTION('',(-3.18450484997506E-15,-1.,-7.17605734607953E-31));
#57001=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57002=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57003=DIRECTION('',(0.,0.,1.));
#57004=DIRECTION('',(0.,0.,1.));
#57005=DIRECTION('',(0.,0.,1.));
#57006=DIRECTION('',(0.,0.,1.));
#57007=DIRECTION('',(0.,0.,1.));
#57008=DIRECTION('',(0.,0.,1.));
#57009=DIRECTION('',(0.,0.,1.));
#57010=DIRECTION('',(0.,0.,1.));
#57011=DIRECTION('',(0.,0.,1.));
#57012=DIRECTION('center_axis',(0.,1.,0.));
#57013=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57014=DIRECTION('',(0.,0.,1.));
#57015=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#57016=DIRECTION('center_axis',(1.,-2.09368020857439E-15,0.));
#57017=DIRECTION('ref_axis',(2.09368020857439E-15,1.,4.62916792660223E-31));
#57018=DIRECTION('',(0.,0.,1.));
#57019=DIRECTION('',(2.09368020857439E-15,1.,4.62916792660223E-31));
#57020=DIRECTION('center_axis',(0.,-1.,0.));
#57021=DIRECTION('ref_axis',(1.,0.,2.334829378448E-16));
#57022=DIRECTION('',(0.,0.,1.));
#57023=DIRECTION('',(1.,0.,2.334829378448E-16));
#57024=DIRECTION('',(0.,0.,1.));
#57025=DIRECTION('',(0.,0.,1.));
#57026=DIRECTION('',(0.,0.,1.));
#57027=DIRECTION('',(0.,0.,1.));
#57028=DIRECTION('',(0.,0.,1.));
#57029=DIRECTION('',(0.,0.,1.));
#57030=DIRECTION('center_axis',(-0.00108226164829452,0.999999414354691,
0.));
#57031=DIRECTION('ref_axis',(-0.999999414354691,-0.00108226164829452,-2.33482801106613E-16));
#57032=DIRECTION('',(0.,0.,1.));
#57033=DIRECTION('',(-0.999999414354691,-0.00108226164829452,-2.33482801106613E-16));
#57034=DIRECTION('center_axis',(1.,-1.70508927843715E-15,0.));
#57035=DIRECTION('ref_axis',(1.70508927843715E-15,1.,3.72187440671937E-31));
#57036=DIRECTION('',(0.,0.,1.));
#57037=DIRECTION('',(1.70508927843715E-15,1.,3.72187440671937E-31));
#57038=DIRECTION('center_axis',(0.,-1.,0.));
#57039=DIRECTION('ref_axis',(1.,0.,2.334829378448E-16));
#57040=DIRECTION('',(0.,0.,1.));
#57041=DIRECTION('',(1.,0.,2.334829378448E-16));
#57042=DIRECTION('center_axis',(-1.,1.71074195570186E-15,0.));
#57043=DIRECTION('ref_axis',(-1.71074195570186E-15,-1.,-3.73507244366389E-31));
#57044=DIRECTION('',(-1.71074195570186E-15,-1.,-3.73507244366389E-31));
#57045=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57046=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57047=DIRECTION('center_axis',(0.250791496339852,-0.96804112792981,0.));
#57048=DIRECTION('ref_axis',(0.96804112792981,0.250791496339852,2.26021086503646E-16));
#57049=DIRECTION('',(0.96804112792981,0.250791496339852,2.26021086503646E-16));
#57050=DIRECTION('',(0.,0.,1.));
#57051=DIRECTION('',(0.96804112792981,0.250791496339852,2.26021086503646E-16));
#57052=DIRECTION('',(0.,0.,1.));
#57053=DIRECTION('center_axis',(6.60010629942762E-15,1.,0.));
#57054=DIRECTION('ref_axis',(-1.,6.60010629942762E-15,-2.334829378448E-16));
#57055=DIRECTION('',(-1.,6.60010629942762E-15,-2.334829378448E-16));
#57056=DIRECTION('',(0.,0.,1.));
#57057=DIRECTION('',(-1.,6.60010629942762E-15,-2.334829378448E-16));
#57058=DIRECTION('center_axis',(-1.,8.49201353820533E-15,0.));
#57059=DIRECTION('ref_axis',(-8.49201353820533E-15,-1.,-1.95681845577276E-30));
#57060=DIRECTION('',(-8.49201353820533E-15,-1.,-1.95681845577276E-30));
#57061=DIRECTION('',(-8.49201353820533E-15,-1.,-1.95681845577276E-30));
#57062=DIRECTION('center_axis',(-0.313264114351888,0.949666043753975,0.));
#57063=DIRECTION('ref_axis',(-0.949666043753975,-0.313264114351888,-2.21730817867127E-16));
#57064=DIRECTION('',(0.,0.,1.));
#57065=DIRECTION('',(-0.949666043753975,-0.313264114351888,-2.21730817867127E-16));
#57066=DIRECTION('',(0.,0.,1.));
#57067=DIRECTION('center_axis',(5.49211857402086E-15,1.,0.));
#57068=DIRECTION('ref_axis',(-1.,5.49211857402086E-15,-2.334829378448E-16));
#57069=DIRECTION('',(0.,0.,1.));
#57070=DIRECTION('',(-1.,5.49211857402086E-15,-2.334829378448E-16));
#57071=DIRECTION('center_axis',(1.,-6.31593516754876E-15,0.));
#57072=DIRECTION('ref_axis',(6.31593516754876E-15,1.,1.44874128481133E-30));
#57073=DIRECTION('',(0.,0.,1.));
#57074=DIRECTION('',(6.31593516754876E-15,1.,1.44874128481133E-30));
#57075=DIRECTION('center_axis',(5.31868246650835E-15,1.,0.));
#57076=DIRECTION('ref_axis',(-1.,5.31868246650835E-15,-2.334829378448E-16));
#57077=DIRECTION('',(0.,0.,1.));
#57078=DIRECTION('',(-1.,5.31868246650835E-15,-2.334829378448E-16));
#57079=DIRECTION('center_axis',(1.,-7.50408094199982E-15,0.));
#57080=DIRECTION('ref_axis',(7.50408094199982E-15,1.,1.72615305081805E-30));
#57081=DIRECTION('',(0.,0.,1.));
#57082=DIRECTION('',(7.50408094199982E-15,1.,1.72615305081805E-30));
#57083=DIRECTION('center_axis',(-5.31868246650835E-15,-1.,0.));
#57084=DIRECTION('ref_axis',(1.,-5.31868246650835E-15,2.334829378448E-16));
#57085=DIRECTION('',(0.,0.,1.));
#57086=DIRECTION('',(1.,-5.31868246650835E-15,2.334829378448E-16));
#57087=DIRECTION('center_axis',(1.,-6.59054131719513E-15,0.));
#57088=DIRECTION('ref_axis',(6.59054131719513E-15,1.,1.51285713538102E-30));
#57089=DIRECTION('',(0.,0.,1.));
#57090=DIRECTION('',(6.59054131719513E-15,1.,1.51285713538102E-30));
#57091=DIRECTION('center_axis',(-5.49211857402086E-15,-1.,0.));
#57092=DIRECTION('ref_axis',(1.,-5.49211857402086E-15,2.334829378448E-16));
#57093=DIRECTION('',(0.,0.,1.));
#57094=DIRECTION('',(1.,-5.49211857402086E-15,2.334829378448E-16));
#57095=DIRECTION('center_axis',(-1.,6.59054131719513E-15,0.));
#57096=DIRECTION('ref_axis',(-6.59054131719513E-15,-1.,-1.51285713538102E-30));
#57097=DIRECTION('',(0.,0.,1.));
#57098=DIRECTION('',(-6.59054131719513E-15,-1.,-1.51285713538102E-30));
#57099=DIRECTION('center_axis',(-7.16363131549773E-15,-1.,0.));
#57100=DIRECTION('ref_axis',(1.,-7.16363131549773E-15,2.334829378448E-16));
#57101=DIRECTION('',(0.,0.,1.));
#57102=DIRECTION('',(1.,-7.16363131549773E-15,2.334829378448E-16));
#57103=DIRECTION('center_axis',(-1.,7.08329139647172E-15,0.));
#57104=DIRECTION('ref_axis',(-7.08329139647172E-15,-1.,-1.62790587151377E-30));
#57105=DIRECTION('',(-7.08329139647172E-15,-1.,-1.62790587151377E-30));
#57106=DIRECTION('center_axis',(0.,0.,1.));
#57107=DIRECTION('ref_axis',(1.,0.,0.));
#57108=DIRECTION('',(0.,0.,1.));
#57109=DIRECTION('',(0.,0.,1.));
#57110=DIRECTION('',(0.,0.,1.));
#57111=DIRECTION('',(0.,0.,1.));
#57112=DIRECTION('',(0.,0.,1.));
#57113=DIRECTION('center_axis',(-6.46682628812945E-15,-1.,0.));
#57114=DIRECTION('ref_axis',(1.,-6.46682628812945E-15,2.334829378448E-16));
#57115=DIRECTION('',(1.,-6.46682628812945E-15,2.334829378448E-16));
#57116=DIRECTION('',(0.,0.,1.));
#57117=DIRECTION('',(1.,-6.46682628812945E-15,2.334829378448E-16));
#57118=DIRECTION('',(0.,0.,1.));
#57119=DIRECTION('',(0.,0.,1.));
#57120=DIRECTION('',(0.,0.,1.));
#57121=DIRECTION('',(0.,0.,1.));
#57122=DIRECTION('center_axis',(6.2533997352826E-15,1.,0.));
#57123=DIRECTION('ref_axis',(-1.,6.2533997352826E-15,-2.334829378448E-16));
#57124=DIRECTION('',(-1.,6.2533997352826E-15,-2.334829378448E-16));
#57125=DIRECTION('',(-1.,6.2533997352826E-15,-2.334829378448E-16));
#57126=DIRECTION('',(0.,0.,1.));
#57127=DIRECTION('',(0.,0.,1.));
#57128=DIRECTION('',(0.,0.,1.));
#57129=DIRECTION('',(0.,0.,1.));
#57130=DIRECTION('',(0.,0.,1.));
#57131=DIRECTION('',(0.,0.,1.));
#57132=DIRECTION('',(0.,0.,1.));
#57133=DIRECTION('center_axis',(6.76707244465178E-15,1.,0.));
#57134=DIRECTION('ref_axis',(-1.,6.76707244465177E-15,-2.334829378448E-16));
#57135=DIRECTION('',(0.,0.,1.));
#57136=DIRECTION('',(-1.,6.76707244465177E-15,-2.334829378448E-16));
#57137=DIRECTION('',(0.,0.,1.));
#57138=DIRECTION('',(0.,0.,1.));
#57139=DIRECTION('',(0.,0.,1.));
#57140=DIRECTION('',(0.,0.,1.));
#57141=DIRECTION('',(0.,0.,1.));
#57142=DIRECTION('',(0.,0.,1.));
#57143=DIRECTION('',(0.,0.,1.));
#57144=DIRECTION('',(0.,0.,1.));
#57145=DIRECTION('center_axis',(-5.87528691791786E-15,-1.,0.));
#57146=DIRECTION('ref_axis',(1.,-5.87528691791786E-15,2.334829378448E-16));
#57147=DIRECTION('',(0.,0.,1.));
#57148=DIRECTION('',(1.,-5.87528691791786E-15,2.334829378448E-16));
#57149=DIRECTION('',(0.,0.,1.));
#57150=DIRECTION('',(0.,0.,1.));
#57151=DIRECTION('',(0.,0.,1.));
#57152=DIRECTION('',(0.,0.,1.));
#57153=DIRECTION('',(0.,0.,1.));
#57154=DIRECTION('center_axis',(-7.34410864739732E-15,-1.,0.));
#57155=DIRECTION('ref_axis',(1.,-7.34410864739732E-15,2.334829378448E-16));
#57156=DIRECTION('',(0.,0.,1.));
#57157=DIRECTION('',(1.,-7.34410864739732E-15,2.334829378448E-16));
#57158=DIRECTION('',(0.,0.,1.));
#57159=DIRECTION('',(0.,0.,1.));
#57160=DIRECTION('',(0.,0.,1.));
#57161=DIRECTION('',(0.,0.,1.));
#57162=DIRECTION('',(0.,0.,1.));
#57163=DIRECTION('',(0.,0.,1.));
#57164=DIRECTION('center_axis',(1.,-6.56607165090241E-15,0.));
#57165=DIRECTION('ref_axis',(6.56607165090241E-15,1.,1.50714388580691E-30));
#57166=DIRECTION('',(0.,0.,1.));
#57167=DIRECTION('',(6.56607165090241E-15,1.,1.50714388580691E-30));
#57168=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57169=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57170=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57171=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57172=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57173=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57174=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57175=DIRECTION('ref_axis',(-1.,0.,0.));
#57176=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57177=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57178=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57179=DIRECTION('ref_axis',(-1.,0.,0.));
#57180=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57181=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57182=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57183=DIRECTION('ref_axis',(-1.,0.,0.));
#57184=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57185=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57186=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57187=DIRECTION('ref_axis',(-1.,0.,0.));
#57188=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57189=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57190=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57191=DIRECTION('ref_axis',(-1.,0.,0.));
#57192=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57193=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57194=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57195=DIRECTION('ref_axis',(-1.,0.,0.));
#57196=DIRECTION('center_axis',(1.,-7.40148683083436E-16,2.334829378448E-16));
#57197=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547));
#57198=DIRECTION('',(-1.,7.40148683083436E-16,-2.334829378448E-16));
#57199=DIRECTION('center_axis',(-1.,-5.45929647225694E-48,-4.44089209850063E-16));
#57200=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547));
#57201=DIRECTION('',(1.,-7.40148683083436E-16,2.334829378448E-16));
#57202=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.));
#57203=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,-3.30194737282831E-16));
#57204=DIRECTION('center_axis',(1.35791862095435E-16,-1.,5.76268962426965E-32));
#57205=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548));
#57206=DIRECTION('',(1.35791862095435E-16,-1.,5.76268962426965E-32));
#57207=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#57208=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,-8.14967382238885E-32));
#57209=DIRECTION('',(-1.35791862095435E-16,1.,-5.76268962426965E-32));
#57210=DIRECTION('center_axis',(-1.,0.,-2.334829378448E-16));
#57211=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548));
#57212=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#57213=DIRECTION('center_axis',(-1.,-5.45929647225694E-48,-4.44089209850063E-16));
#57214=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548));
#57215=DIRECTION('',(1.,0.,2.334829378448E-16));
#57216=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57217=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57218=DIRECTION('',(1.,3.89551938464967E-16,2.334829378448E-16));
#57219=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57220=DIRECTION('ref_axis',(1.39821623906525E-49,1.,0.));
#57221=DIRECTION('',(-2.01858731750028E-16,-1.,-2.12087563733836E-32));
#57222=DIRECTION('',(1.,0.,2.334829378448E-16));
#57223=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57224=DIRECTION('ref_axis',(0.998489379481367,0.0549450549450548,0.));
#57225=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57226=DIRECTION('ref_axis',(-0.998489379481367,-0.0549450549450545,0.));
#57227=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57228=DIRECTION('ref_axis',(1.,-4.44089209850063E-16,0.));
#57229=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57230=DIRECTION('ref_axis',(-0.109890109890114,0.99394374274822,0.));
#57231=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57232=DIRECTION('ref_axis',(0.109890109890116,-0.993943742748219,0.));
#57233=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57234=DIRECTION('ref_axis',(6.05230113411082E-48,1.,0.));
#57235=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#57236=DIRECTION('',(2.01858731750029E-16,-1.,7.30523830638606E-32));
#57237=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57238=DIRECTION('ref_axis',(1.,0.,2.28088962429411E-16));
#57239=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#57240=DIRECTION('',(6.05230113411082E-48,1.,-2.59218133452385E-32));
#57241=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#57242=DIRECTION('',(-1.35791862095435E-16,1.,-5.76268962426965E-32));
#57243=DIRECTION('',(1.,7.40148683083437E-16,2.334829378448E-16));
#57244=DIRECTION('',(6.05230113411082E-48,1.,-2.59218133452385E-32));
#57245=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#57246=DIRECTION('',(-1.35791862095435E-16,1.,-5.76268962426965E-32));
#57247=DIRECTION('',(1.,0.,2.334829378448E-16));
#57248=DIRECTION('',(6.05230113411082E-48,1.,-2.59218133452385E-32));
#57249=DIRECTION('',(-1.,1.48029736616687E-15,-2.334829378448E-16));
#57250=DIRECTION('',(-1.35791862095435E-16,1.,-5.76268962426965E-32));
#57251=DIRECTION('',(1.,-1.48029736616687E-15,2.334829378448E-16));
#57252=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57253=DIRECTION('ref_axis',(1.55624405187348E-15,-1.,3.89278246580154E-31));
#57254=DIRECTION('',(2.79794109028517E-17,-1.,3.64306418355768E-32));
#57255=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57256=DIRECTION('ref_axis',(-1.,-1.17260871070719E-63,-2.334829378448E-16));
#57257=DIRECTION('',(-1.,4.74390907573353E-80,-2.334829378448E-16));
#57258=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57259=DIRECTION('ref_axis',(2.26362771181597E-15,1.,5.02596634996457E-31));
#57260=DIRECTION('',(7.72333949632573E-48,1.,-3.3078817525671E-32));
#57261=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57262=DIRECTION('ref_axis',(1.,1.17260871070718E-63,2.334829378448E-16));
#57263=DIRECTION('',(1.,-3.97940802270458E-80,2.334829378448E-16));
#57264=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57265=DIRECTION('ref_axis',(-1.33855839264048E-14,-1.,-3.09938364655988E-30));
#57266=DIRECTION('',(1.11917643611407E-16,-1.,5.60287870058708E-32));
#57267=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57268=DIRECTION('ref_axis',(-1.,-9.11358905627546E-15,-2.334829378448E-16));
#57269=DIRECTION('',(-1.,4.74390907573352E-80,-2.334829378448E-16));
#57270=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57271=DIRECTION('ref_axis',(2.2783972640689E-15,1.,5.06045073447122E-31));
#57272=DIRECTION('',(-1.79068229778251E-16,1.,-7.48881938889649E-32));
#57273=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57274=DIRECTION('ref_axis',(1.,1.18025966211346E-63,2.334829378448E-16));
#57275=DIRECTION('',(1.,-3.97940802270457E-80,2.334829378448E-16));
#57276=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57277=DIRECTION('ref_axis',(-6.05230113411083E-48,-1.,2.59218133452385E-32));
#57278=DIRECTION('',(-1.65078524326825E-16,-1.,-8.6450920560993E-33));
#57279=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57280=DIRECTION('ref_axis',(-1.,-1.18025966211345E-63,-2.334829378448E-16));
#57281=DIRECTION('',(-1.,-1.53134210293125E-15,-2.334829378448E-16));
#57282=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57283=DIRECTION('ref_axis',(1.13919863203445E-15,1.,2.40061630050942E-31));
#57284=DIRECTION('',(1.79068229778251E-16,1.,8.73055883762266E-33));
#57285=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57286=DIRECTION('ref_axis',(1.,1.18025966211347E-63,2.334829378448E-16));
#57287=DIRECTION('',(1.,1.53134210293125E-15,2.334829378448E-16));
#57288=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57289=DIRECTION('ref_axis',(-3.98719521212057E-15,-1.,-9.05020238541392E-31));
#57290=DIRECTION('',(-1.59482642146255E-16,-1.,-7.33854904474632E-33));
#57291=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57292=DIRECTION('ref_axis',(-1.,-1.18025966211345E-63,-2.334829378448E-16));
#57293=DIRECTION('',(-1.,4.74390907573358E-80,-2.334829378448E-16));
#57294=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57295=DIRECTION('ref_axis',(2.2783972640689E-15,1.,5.06045073447122E-31));
#57296=DIRECTION('',(-3.58136459556502E-16,1.,-1.16697570252258E-31));
#57297=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57298=DIRECTION('ref_axis',(1.,1.18025966211346E-63,2.334829378448E-16));
#57299=DIRECTION('',(1.,-3.97940802270449E-80,2.334829378448E-16));
#57300=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57301=DIRECTION('ref_axis',(5.65906927953993E-16,-1.,1.58051425430662E-31));
#57302=DIRECTION('',(-5.59588218057034E-18,-1.,2.85913837674592E-32));
#57303=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57304=DIRECTION('ref_axis',(-1.,-1.17260871070718E-63,-2.334829378448E-16));
#57305=DIRECTION('',(-1.,5.84479059209524E-80,-2.334829378448E-16));
#57306=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57307=DIRECTION('ref_axis',(2.26362771181597E-15,1.,5.02596634996457E-31));
#57308=DIRECTION('',(7.72333949632573E-48,1.,-3.3078817525671E-32));
#57309=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57310=DIRECTION('ref_axis',(1.,1.17260871070718E-63,2.334829378448E-16));
#57311=DIRECTION('',(1.,-5.08028953906628E-80,2.334829378448E-16));
#57312=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57313=DIRECTION('ref_axis',(-1.41476731988498E-15,-1.,-3.04402216868321E-31));
#57314=DIRECTION('',(-1.39897054514259E-17,-1.,2.66315692504298E-32));
#57315=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57316=DIRECTION('ref_axis',(-1.,-1.17260871070718E-63,-2.334829378448E-16));
#57317=DIRECTION('',(-1.,4.74390907573353E-80,-2.334829378448E-16));
#57318=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57319=DIRECTION('ref_axis',(2.26362771181597E-15,1.,5.02596634996457E-31));
#57320=DIRECTION('',(7.72333949632574E-48,1.,-3.30788175256711E-32));
#57321=DIRECTION('center_axis',(-2.334829378448E-16,2.59218133452385E-32,
1.));
#57322=DIRECTION('ref_axis',(1.,1.17260871070718E-63,2.334829378448E-16));
#57323=DIRECTION('',(1.,7.65671051465625E-16,2.334829378448E-16));
#57324=DIRECTION('',(6.05230113411082E-48,1.,-2.59218133452385E-32));
#57325=DIRECTION('',(6.05230113411082E-48,1.,-2.59218133452385E-32));
#57326=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#57327=DIRECTION('',(-1.35791862095435E-16,1.,-5.76268962426965E-32));
#57328=DIRECTION('',(1.,7.40148683083437E-16,2.334829378448E-16));
#57329=DIRECTION('',(6.05230113411082E-48,1.,-2.59218133452385E-32));
#57330=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#57331=DIRECTION('',(-1.35791862095435E-16,1.,-5.76268962426965E-32));
#57332=DIRECTION('',(1.,0.,2.334829378448E-16));
#57333=DIRECTION('center_axis',(1.,0.,2.334829378448E-16));
#57334=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547));
#57335=DIRECTION('center_axis',(-1.,-5.45929647225694E-48,-4.44089209850063E-16));
#57336=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547));
#57337=DIRECTION('',(1.,0.,2.334829378448E-16));
#57338=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,5.23364152894592E-16));
#57339=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,1.93169415611763E-16));
#57340=DIRECTION('center_axis',(-1.,0.,-2.334829378448E-16));
#57341=DIRECTION('ref_axis',(0.,0.707106781186546,-0.707106781186549));
#57342=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#57343=DIRECTION('center_axis',(-1.,-5.45929647225694E-48,-4.44089209850063E-16));
#57344=DIRECTION('ref_axis',(0.,0.707106781186546,-0.707106781186549));
#57345=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.));
#57346=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,3.30194737282831E-16));
#57347=DIRECTION('center_axis',(1.35791862095435E-16,-1.,5.76268962426965E-32));
#57348=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548));
#57349=DIRECTION('',(1.35791862095435E-16,-1.,5.76268962426965E-32));
#57350=DIRECTION('center_axis',(1.,0.,2.334829378448E-16));
#57351=DIRECTION('ref_axis',(0.,-0.707106781186546,-0.707106781186549));
#57352=DIRECTION('center_axis',(-1.,-5.45929647225694E-48,-4.44089209850063E-16));
#57353=DIRECTION('ref_axis',(0.,-0.707106781186546,-0.707106781186549));
#57354=DIRECTION('',(1.,0.,2.334829378448E-16));
#57355=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.));
#57356=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-3.3019473728283E-16));
#57357=DIRECTION('center_axis',(-1.,0.,-2.334829378448E-16));
#57358=DIRECTION('ref_axis',(0.,0.707106781186546,-0.707106781186549));
#57359=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#57360=DIRECTION('center_axis',(-1.,-5.45929647225694E-48,-4.44089209850063E-16));
#57361=DIRECTION('ref_axis',(0.,0.707106781186546,-0.707106781186549));
#57362=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.));
#57363=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,3.3019473728283E-16));
#57364=DIRECTION('center_axis',(1.35791862095435E-16,-1.,5.76268962426965E-32));
#57365=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547));
#57366=DIRECTION('',(1.35791862095435E-16,-1.,5.76268962426965E-32));
#57367=DIRECTION('center_axis',(1.,-1.48029736616687E-15,2.334829378448E-16));
#57368=DIRECTION('ref_axis',(-2.09345661157837E-15,-0.707106781186546,-0.707106781186549));
#57369=DIRECTION('center_axis',(-1.,-5.45929647225694E-48,-4.44089209850063E-16));
#57370=DIRECTION('ref_axis',(-2.09345661157837E-15,-0.707106781186546,-0.707106781186549));
#57371=DIRECTION('',(1.,-1.48029736616687E-15,2.334829378448E-16));
#57372=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.));
#57373=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,-3.3019473728283E-16));
#57374=DIRECTION('center_axis',(-1.,1.48029736616687E-15,-2.334829378448E-16));
#57375=DIRECTION('ref_axis',(1.04672830578919E-15,0.707106781186546,-0.707106781186549));
#57376=DIRECTION('',(-1.,1.48029736616687E-15,-2.334829378448E-16));
#57377=DIRECTION('center_axis',(-1.,-5.45929647225694E-48,-4.44089209850063E-16));
#57378=DIRECTION('ref_axis',(1.04672830578919E-15,0.707106781186546,-0.707106781186549));
#57379=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.));
#57380=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,3.3019473728283E-16));
#57381=DIRECTION('center_axis',(1.35791862095435E-16,-1.,5.76268962426965E-32));
#57382=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548));
#57383=DIRECTION('',(1.35791862095435E-16,-1.,5.76268962426965E-32));
#57384=DIRECTION('center_axis',(1.,0.,2.334829378448E-16));
#57385=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547));
#57386=DIRECTION('center_axis',(-1.,-5.45929647225694E-48,-4.44089209850063E-16));
#57387=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547));
#57388=DIRECTION('',(1.,0.,2.334829378448E-16));
#57389=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.));
#57390=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-3.3019473728283E-16));
#57391=DIRECTION('center_axis',(-1.,-7.40148683083437E-16,-2.334829378448E-16));
#57392=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548));
#57393=DIRECTION('',(-1.,-7.40148683083437E-16,-2.334829378448E-16));
#57394=DIRECTION('center_axis',(-1.,-5.45929647225694E-48,-4.44089209850063E-16));
#57395=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548));
#57396=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.));
#57397=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,3.30194737282831E-16));
#57398=DIRECTION('center_axis',(1.35791862095435E-16,-1.,5.76268962426965E-32));
#57399=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548));
#57400=DIRECTION('',(1.35791862095435E-16,-1.,5.76268962426965E-32));
#57401=DIRECTION('center_axis',(1.,0.,2.334829378448E-16));
#57402=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547));
#57403=DIRECTION('center_axis',(-1.,-5.45929647225694E-48,-4.44089209850063E-16));
#57404=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547));
#57405=DIRECTION('',(1.,0.,2.334829378448E-16));
#57406=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.));
#57407=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,-3.30194737282831E-16));
#57408=DIRECTION('center_axis',(-1.,-7.40148683083437E-16,-2.334829378448E-16));
#57409=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548));
#57410=DIRECTION('',(-1.,-7.40148683083437E-16,-2.334829378448E-16));
#57411=DIRECTION('center_axis',(-1.,-5.45929647225694E-48,-4.44089209850063E-16));
#57412=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548));
#57413=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.));
#57414=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,3.30194737282831E-16));
#57415=DIRECTION('center_axis',(1.35791862095435E-16,-1.,5.76268962426965E-32));
#57416=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548));
#57417=DIRECTION('',(1.35791862095435E-16,-1.,5.76268962426965E-32));
#57418=DIRECTION('center_axis',(-4.44089209850063E-16,0.,1.));
#57419=DIRECTION('ref_axis',(-1.,0.,-4.44089209850063E-16));
#57420=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57421=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57422=DIRECTION('',(4.44089209850063E-16,0.,-1.));
#57423=DIRECTION('center_axis',(0.,-1.,0.));
#57424=DIRECTION('ref_axis',(1.,0.,2.334829378448E-16));
#57425=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57426=DIRECTION('',(1.,0.,2.334829378448E-16));
#57427=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57428=DIRECTION('center_axis',(-1.,-5.45929647225694E-48,-4.44089209850063E-16));
#57429=DIRECTION('ref_axis',(-6.05230113411082E-48,-1.,2.59218133452385E-32));
#57430=DIRECTION('',(-6.05230113411082E-48,-1.,2.59218133452385E-32));
#57431=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57432=DIRECTION('center_axis',(0.,1.,0.));
#57433=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57434=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#57435=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57436=DIRECTION('center_axis',(1.,1.35791862095435E-16,4.44089209850063E-16));
#57437=DIRECTION('ref_axis',(-1.35791862095435E-16,1.,-5.76268962426965E-32));
#57438=DIRECTION('',(-1.35791862095435E-16,1.,-5.76268962426965E-32));
#57439=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57440=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57441=DIRECTION('center_axis',(-4.44089209850063E-16,0.,1.));
#57442=DIRECTION('ref_axis',(-1.,0.,-4.44089209850063E-16));
#57443=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57444=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57445=DIRECTION('',(4.44089209850063E-16,0.,-1.));
#57446=DIRECTION('center_axis',(7.40148683083437E-16,-1.,3.28692043842088E-31));
#57447=DIRECTION('ref_axis',(1.,7.40148683083437E-16,2.334829378448E-16));
#57448=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57449=DIRECTION('',(1.,7.40148683083437E-16,2.334829378448E-16));
#57450=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57451=DIRECTION('center_axis',(-1.,-5.45929647225694E-48,-4.44089209850063E-16));
#57452=DIRECTION('ref_axis',(-6.05230113411082E-48,-1.,2.59218133452385E-32));
#57453=DIRECTION('',(-6.05230113411082E-48,-1.,2.59218133452385E-32));
#57454=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57455=DIRECTION('center_axis',(0.,1.,0.));
#57456=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57457=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#57458=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57459=DIRECTION('center_axis',(1.,1.35791862095435E-16,4.44089209850063E-16));
#57460=DIRECTION('ref_axis',(-1.35791862095435E-16,1.,-5.76268962426965E-32));
#57461=DIRECTION('',(-1.35791862095435E-16,1.,-5.76268962426965E-32));
#57462=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57463=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57464=DIRECTION('center_axis',(-4.44089209850063E-16,0.,1.));
#57465=DIRECTION('ref_axis',(-1.,0.,-4.44089209850063E-16));
#57466=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57467=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57468=DIRECTION('',(4.44089209850063E-16,0.,-1.));
#57469=DIRECTION('center_axis',(7.40148683083437E-16,-1.,3.28692043842088E-31));
#57470=DIRECTION('ref_axis',(1.,7.40148683083437E-16,2.334829378448E-16));
#57471=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57472=DIRECTION('',(1.,7.40148683083437E-16,2.334829378448E-16));
#57473=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57474=DIRECTION('center_axis',(-1.,-5.45929647225694E-48,-4.44089209850063E-16));
#57475=DIRECTION('ref_axis',(-6.05230113411082E-48,-1.,2.59218133452385E-32));
#57476=DIRECTION('',(-6.05230113411082E-48,-1.,2.59218133452385E-32));
#57477=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57478=DIRECTION('center_axis',(0.,1.,0.));
#57479=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57480=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#57481=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57482=DIRECTION('center_axis',(1.,1.35791862095435E-16,4.44089209850063E-16));
#57483=DIRECTION('ref_axis',(-1.35791862095435E-16,1.,-5.76268962426965E-32));
#57484=DIRECTION('',(-1.35791862095435E-16,1.,-5.76268962426965E-32));
#57485=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57486=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57487=DIRECTION('center_axis',(-4.44089209850063E-16,0.,1.));
#57488=DIRECTION('ref_axis',(-1.,0.,-4.44089209850063E-16));
#57489=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57490=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57491=DIRECTION('',(4.44089209850063E-16,0.,-1.));
#57492=DIRECTION('center_axis',(0.,-1.,0.));
#57493=DIRECTION('ref_axis',(1.,0.,2.334829378448E-16));
#57494=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57495=DIRECTION('',(1.,0.,2.334829378448E-16));
#57496=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57497=DIRECTION('center_axis',(-1.,-5.45929647225694E-48,-4.44089209850063E-16));
#57498=DIRECTION('ref_axis',(-6.05230113411082E-48,-1.,2.59218133452385E-32));
#57499=DIRECTION('',(-6.05230113411082E-48,-1.,2.59218133452385E-32));
#57500=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57501=DIRECTION('center_axis',(0.,1.,0.));
#57502=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57503=DIRECTION('',(-1.,0.,-2.334829378448E-16));
#57504=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57505=DIRECTION('center_axis',(1.,1.35791862095435E-16,4.44089209850063E-16));
#57506=DIRECTION('ref_axis',(-1.35791862095435E-16,1.,-5.76268962426965E-32));
#57507=DIRECTION('',(-1.35791862095435E-16,1.,-5.76268962426965E-32));
#57508=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57509=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57510=DIRECTION('center_axis',(-4.44089209850063E-16,0.,1.));
#57511=DIRECTION('ref_axis',(-1.,0.,-4.44089209850063E-16));
#57512=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57513=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57514=DIRECTION('',(4.44089209850063E-16,0.,-1.));
#57515=DIRECTION('center_axis',(7.40148683083436E-16,1.,3.28692043842088E-31));
#57516=DIRECTION('ref_axis',(-1.,7.40148683083436E-16,-2.334829378448E-16));
#57517=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57518=DIRECTION('',(-1.,7.40148683083436E-16,-2.334829378448E-16));
#57519=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57520=DIRECTION('center_axis',(1.,1.35791862095435E-16,4.44089209850063E-16));
#57521=DIRECTION('ref_axis',(-1.35791862095435E-16,1.,-5.76268962426965E-32));
#57522=DIRECTION('',(-1.35791862095435E-16,1.,-5.76268962426965E-32));
#57523=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57524=DIRECTION('center_axis',(0.,-1.,0.));
#57525=DIRECTION('ref_axis',(1.,0.,2.334829378448E-16));
#57526=DIRECTION('',(1.,0.,2.334829378448E-16));
#57527=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57528=DIRECTION('center_axis',(-1.,-5.45929647225694E-48,-4.44089209850063E-16));
#57529=DIRECTION('ref_axis',(-6.05230113411082E-48,-1.,2.59218133452385E-32));
#57530=DIRECTION('',(-6.05230113411082E-48,-1.,2.59218133452385E-32));
#57531=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57532=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57533=DIRECTION('center_axis',(-4.44089209850063E-16,0.,1.));
#57534=DIRECTION('ref_axis',(-1.,0.,-4.44089209850063E-16));
#57535=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57536=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57537=DIRECTION('',(4.44089209850063E-16,0.,-1.));
#57538=DIRECTION('center_axis',(-1.48029736616687E-15,-1.,-6.57384087684176E-31));
#57539=DIRECTION('ref_axis',(1.,-1.48029736616687E-15,2.334829378448E-16));
#57540=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57541=DIRECTION('',(1.,-1.48029736616687E-15,2.334829378448E-16));
#57542=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57543=DIRECTION('center_axis',(-1.,-5.45929647225694E-48,-4.44089209850063E-16));
#57544=DIRECTION('ref_axis',(-6.05230113411082E-48,-1.,2.59218133452385E-32));
#57545=DIRECTION('',(-6.05230113411082E-48,-1.,2.59218133452385E-32));
#57546=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57547=DIRECTION('center_axis',(1.48029736616687E-15,1.,6.57384087684176E-31));
#57548=DIRECTION('ref_axis',(-1.,1.48029736616687E-15,-2.334829378448E-16));
#57549=DIRECTION('',(-1.,1.48029736616687E-15,-2.334829378448E-16));
#57550=DIRECTION('',(-4.44089209850063E-16,0.,1.));
#57551=DIRECTION('center_axis',(1.,1.35791862095435E-16,4.44089209850063E-16));
#57552=DIRECTION('ref_axis',(-1.35791862095435E-16,1.,-5.76268962426965E-32));
#57553=DIRECTION('',(-1.35791862095435E-16,1.,-5.76268962426965E-32));
#57554=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57555=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57556=DIRECTION('center_axis',(-3.89551938464967E-16,1.,-8.88524974568401E-32));
#57557=DIRECTION('ref_axis',(-1.,-3.89551938464967E-16,-2.334829378448E-16));
#57558=DIRECTION('',(-1.,-3.89551938464967E-16,0.));
#57559=DIRECTION('',(-2.28088962429411E-16,0.,1.));
#57560=DIRECTION('center_axis',(-2.28088962429411E-16,0.,1.));
#57561=DIRECTION('ref_axis',(1.39821623906525E-49,1.,0.));
#57562=DIRECTION('center_axis',(0.,0.,1.));
#57563=DIRECTION('ref_axis',(1.39821623906525E-49,1.,0.));
#57564=DIRECTION('',(-2.28088962429411E-16,0.,1.));
#57565=DIRECTION('center_axis',(-2.28088962429411E-16,0.,1.));
#57566=DIRECTION('ref_axis',(-1.,0.,-2.28088962429411E-16));
#57567=DIRECTION('center_axis',(0.,0.,-1.));
#57568=DIRECTION('ref_axis',(-1.,0.,-2.28088962429411E-16));
#57569=DIRECTION('',(2.28088962429411E-16,0.,-1.));
#57570=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57571=DIRECTION('ref_axis',(-1.,0.,-2.28088962429411E-16));
#57572=DIRECTION('center_axis',(1.,-2.01858731750028E-16,2.28088962429411E-16));
#57573=DIRECTION('ref_axis',(2.01858731750028E-16,1.,2.12087563733836E-32));
#57574=DIRECTION('',(2.01858731750028E-16,1.,0.));
#57575=DIRECTION('',(-2.28088962429411E-16,0.,1.));
#57576=DIRECTION('center_axis',(0.,0.,-1.));
#57577=DIRECTION('ref_axis',(-1.,0.,0.));
#57578=DIRECTION('',(-1.,0.,0.));
#57579=DIRECTION('center_axis',(0.,0.,1.));
#57580=DIRECTION('ref_axis',(0.998489379481367,0.0549450549450548,0.));
#57581=DIRECTION('center_axis',(0.,0.,-1.));
#57582=DIRECTION('ref_axis',(0.998489379481367,0.0549450549450548,0.));
#57583=DIRECTION('',(0.,0.,1.));
#57584=DIRECTION('',(0.,0.,-1.));
#57585=DIRECTION('center_axis',(0.,0.,1.));
#57586=DIRECTION('ref_axis',(-0.998489379481367,-0.0549450549450545,0.));
#57587=DIRECTION('center_axis',(0.,0.,1.));
#57588=DIRECTION('ref_axis',(-0.998489379481367,-0.0549450549450545,0.));
#57589=DIRECTION('',(0.,0.,1.));
#57590=DIRECTION('center_axis',(0.,0.,1.));
#57591=DIRECTION('ref_axis',(-1.,0.,0.));
#57592=DIRECTION('center_axis',(0.,0.,-1.));
#57593=DIRECTION('ref_axis',(-1.,0.,0.));
#57594=DIRECTION('',(0.,0.,-1.));
#57595=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57596=DIRECTION('ref_axis',(-1.,0.,0.));
#57597=DIRECTION('center_axis',(0.,0.,1.));
#57598=DIRECTION('ref_axis',(1.,-4.44089209850063E-16,0.));
#57599=DIRECTION('center_axis',(0.,0.,-1.));
#57600=DIRECTION('ref_axis',(1.,-4.44089209850063E-16,0.));
#57601=DIRECTION('center_axis',(0.,0.,-1.));
#57602=DIRECTION('ref_axis',(-1.,0.,0.));
#57603=DIRECTION('',(-1.,0.,0.));
#57604=DIRECTION('center_axis',(0.,1.,0.));
#57605=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57606=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57607=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57608=DIRECTION('center_axis',(1.,2.01858731750029E-16,2.28088962429411E-16));
#57609=DIRECTION('ref_axis',(-2.01858731750029E-16,1.,-7.30523830638606E-32));
#57610=DIRECTION('',(-2.01858731750029E-16,1.,0.));
#57611=DIRECTION('',(-2.28088962429411E-16,0.,1.));
#57612=DIRECTION('',(2.28088962429411E-16,0.,-1.));
#57613=DIRECTION('center_axis',(-2.28088962429411E-16,0.,1.));
#57614=DIRECTION('ref_axis',(1.,0.,2.28088962429411E-16));
#57615=DIRECTION('center_axis',(0.,0.,1.));
#57616=DIRECTION('ref_axis',(1.,0.,2.28088962429411E-16));
#57617=DIRECTION('',(-2.28088962429411E-16,0.,1.));
#57618=DIRECTION('center_axis',(-2.28088962429411E-16,0.,1.));
#57619=DIRECTION('ref_axis',(-1.,0.,-2.28088962429411E-16));
#57620=DIRECTION('center_axis',(0.,0.,-1.));
#57621=DIRECTION('ref_axis',(-1.,0.,-2.28088962429411E-16));
#57622=DIRECTION('',(2.28088962429411E-16,0.,-1.));
#57623=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57624=DIRECTION('ref_axis',(-1.,0.,-2.28088962429411E-16));
#57625=DIRECTION('center_axis',(0.,-1.,0.));
#57626=DIRECTION('ref_axis',(1.,0.,2.334829378448E-16));
#57627=DIRECTION('',(1.,0.,0.));
#57628=DIRECTION('center_axis',(0.,0.,-1.));
#57629=DIRECTION('ref_axis',(-1.,0.,0.));
#57630=DIRECTION('',(1.,0.,0.));
#57631=DIRECTION('center_axis',(0.,-1.,0.));
#57632=DIRECTION('ref_axis',(1.,0.,2.334829378448E-16));
#57633=DIRECTION('',(0.,0.,-1.));
#57634=DIRECTION('',(1.,0.,0.));
#57635=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57636=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57637=DIRECTION('center_axis',(0.,0.,1.));
#57638=DIRECTION('ref_axis',(-0.109890109890114,0.99394374274822,0.));
#57639=DIRECTION('center_axis',(0.,0.,-1.));
#57640=DIRECTION('ref_axis',(-0.109890109890114,0.99394374274822,0.));
#57641=DIRECTION('',(0.,0.,1.));
#57642=DIRECTION('center_axis',(0.,0.,1.));
#57643=DIRECTION('ref_axis',(0.109890109890116,-0.993943742748219,0.));
#57644=DIRECTION('center_axis',(0.,0.,1.));
#57645=DIRECTION('ref_axis',(0.109890109890116,-0.993943742748219,0.));
#57646=DIRECTION('',(0.,0.,1.));
#57647=DIRECTION('center_axis',(0.,0.,1.));
#57648=DIRECTION('ref_axis',(-1.,0.,0.));
#57649=DIRECTION('center_axis',(0.,0.,-1.));
#57650=DIRECTION('ref_axis',(-1.,0.,0.));
#57651=DIRECTION('',(0.,0.,-1.));
#57652=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57653=DIRECTION('ref_axis',(-1.,0.,0.));
#57654=DIRECTION('center_axis',(0.,0.,1.));
#57655=DIRECTION('ref_axis',(6.05230113411082E-48,1.,0.));
#57656=DIRECTION('center_axis',(0.,0.,-1.));
#57657=DIRECTION('ref_axis',(6.05230113411082E-48,1.,0.));
#57658=DIRECTION('center_axis',(0.,0.,-1.));
#57659=DIRECTION('ref_axis',(-1.,0.,0.));
#57660=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57661=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57662=DIRECTION('center_axis',(1.,-1.39897054514259E-17,2.31296463463574E-16));
#57663=DIRECTION('ref_axis',(-1.39897054514259E-17,-1.,2.66315692504298E-32));
#57664=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57665=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57666=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57667=DIRECTION('ref_axis',(-1.,-1.17260871070718E-63,-2.31296463463574E-16));
#57668=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57669=DIRECTION('center_axis',(-4.74390907573353E-80,-1.,-1.09724939220992E-95));
#57670=DIRECTION('ref_axis',(-1.,4.74390907573353E-80,-2.334829378448E-16));
#57671=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57672=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57673=DIRECTION('ref_axis',(2.26362771181597E-15,1.,5.23569084341177E-31));
#57674=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57675=DIRECTION('center_axis',(-1.,7.23259870811214E-50,-2.31296463463574E-16));
#57676=DIRECTION('ref_axis',(7.72333949632574E-48,1.,-3.30788175256711E-32));
#57677=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57678=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57679=DIRECTION('ref_axis',(1.,1.17260871070718E-63,2.31296463463574E-16));
#57680=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57681=DIRECTION('center_axis',(-7.65671051465625E-16,1.,-1.77097006380435E-31));
#57682=DIRECTION('ref_axis',(1.,7.65671051465625E-16,2.334829378448E-16));
#57683=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57684=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57685=DIRECTION('ref_axis',(-1.41476731988498E-15,-1.,-3.27230677713235E-31));
#57686=DIRECTION('center_axis',(1.,-5.59588218057034E-18,2.31296463463574E-16));
#57687=DIRECTION('ref_axis',(-5.59588218057034E-18,-1.,2.85913837674592E-32));
#57688=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57689=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57690=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57691=DIRECTION('ref_axis',(-1.,-1.17260871070718E-63,-2.31296463463574E-16));
#57692=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57693=DIRECTION('center_axis',(-5.84479059209524E-80,-1.,-1.3518793936368E-95));
#57694=DIRECTION('ref_axis',(-1.,5.84479059209524E-80,-2.334829378448E-16));
#57695=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57696=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57697=DIRECTION('ref_axis',(2.26362771181597E-15,1.,5.23569084341177E-31));
#57698=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57699=DIRECTION('center_axis',(-1.,7.23259870811214E-50,-2.31296463463574E-16));
#57700=DIRECTION('ref_axis',(7.72333949632573E-48,1.,-3.3078817525671E-32));
#57701=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57702=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57703=DIRECTION('ref_axis',(1.,1.17260871070718E-63,2.31296463463574E-16));
#57704=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57705=DIRECTION('center_axis',(5.08028953906628E-80,1.,1.17505300375702E-95));
#57706=DIRECTION('ref_axis',(1.,-5.08028953906628E-80,2.334829378448E-16));
#57707=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57708=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57709=DIRECTION('ref_axis',(5.65906927953993E-16,-1.,1.30892271085294E-31));
#57710=DIRECTION('center_axis',(1.,-1.59482642146255E-16,2.31296463463574E-16));
#57711=DIRECTION('ref_axis',(-1.59482642146255E-16,-1.,-7.33854904474632E-33));
#57712=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57713=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57714=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57715=DIRECTION('ref_axis',(-1.,-1.18025966211345E-63,-2.31296463463574E-16));
#57716=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57717=DIRECTION('center_axis',(-4.74390907573358E-80,-1.,-1.09724939220993E-95));
#57718=DIRECTION('ref_axis',(-1.,4.74390907573358E-80,-2.334829378448E-16));
#57719=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57720=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57721=DIRECTION('ref_axis',(2.2783972640689E-15,1.,5.26985229544219E-31));
#57722=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57723=DIRECTION('center_axis',(-1.,-3.58136459556502E-16,-2.31296463463574E-16));
#57724=DIRECTION('ref_axis',(-3.58136459556502E-16,1.,-1.16697570252258E-31));
#57725=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57726=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57727=DIRECTION('ref_axis',(1.,1.18025966211346E-63,2.31296463463574E-16));
#57728=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57729=DIRECTION('center_axis',(3.97940802270449E-80,1.,9.20423002330123E-96));
#57730=DIRECTION('ref_axis',(1.,-3.97940802270449E-80,2.334829378448E-16));
#57731=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57732=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57733=DIRECTION('ref_axis',(-3.98719521212057E-15,-1.,-9.22224151702383E-31));
#57734=DIRECTION('center_axis',(1.,-1.65078524326825E-16,2.31296463463574E-16));
#57735=DIRECTION('ref_axis',(-1.65078524326825E-16,-1.,-8.6450920560993E-33));
#57736=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57737=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57738=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57739=DIRECTION('ref_axis',(-1.,-1.18025966211345E-63,-2.31296463463574E-16));
#57740=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57741=DIRECTION('center_axis',(1.53134210293125E-15,-1.,3.5419401276087E-31));
#57742=DIRECTION('ref_axis',(-1.,-1.53134210293125E-15,-2.334829378448E-16));
#57743=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57744=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57745=DIRECTION('ref_axis',(1.13919863203445E-15,1.,2.63492614772109E-31));
#57746=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57747=DIRECTION('center_axis',(-1.,1.79068229778251E-16,-2.31296463463574E-16));
#57748=DIRECTION('ref_axis',(1.79068229778251E-16,1.,8.73055883762266E-33));
#57749=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57750=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57751=DIRECTION('ref_axis',(1.,1.18025966211347E-63,2.31296463463574E-16));
#57752=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57753=DIRECTION('center_axis',(-1.53134210293125E-15,1.,-3.54194012760871E-31));
#57754=DIRECTION('ref_axis',(1.,1.53134210293125E-15,2.334829378448E-16));
#57755=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57756=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57757=DIRECTION('ref_axis',(-5.66773807942805E-50,-1.,0.));
#57758=DIRECTION('center_axis',(1.,1.11917643611407E-16,2.31296463463574E-16));
#57759=DIRECTION('ref_axis',(1.11917643611407E-16,-1.,5.60287870058708E-32));
#57760=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57761=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57762=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57763=DIRECTION('ref_axis',(-1.,-9.11358905627546E-15,-2.31296463463574E-16));
#57764=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57765=DIRECTION('center_axis',(-4.74390907573352E-80,-1.,-1.09724939220992E-95));
#57766=DIRECTION('ref_axis',(-1.,4.74390907573352E-80,-2.334829378448E-16));
#57767=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57768=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57769=DIRECTION('ref_axis',(2.2783972640689E-15,1.,5.26985229544219E-31));
#57770=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57771=DIRECTION('center_axis',(-1.,-1.79068229778251E-16,-2.31296463463574E-16));
#57772=DIRECTION('ref_axis',(-1.79068229778251E-16,1.,-7.48881938889649E-32));
#57773=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57774=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57775=DIRECTION('ref_axis',(1.,1.18025966211346E-63,2.31296463463574E-16));
#57776=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57777=DIRECTION('center_axis',(3.97940802270457E-80,1.,9.20423002330141E-96));
#57778=DIRECTION('ref_axis',(1.,-3.97940802270457E-80,2.334829378448E-16));
#57779=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57780=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57781=DIRECTION('ref_axis',(-1.33855839264048E-14,-1.,-3.09603822357229E-30));
#57782=DIRECTION('center_axis',(1.,2.79794109028517E-17,2.31296463463574E-16));
#57783=DIRECTION('ref_axis',(2.79794109028517E-17,-1.,3.64306418355768E-32));
#57784=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57785=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57786=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57787=DIRECTION('ref_axis',(-1.,-1.17260871070719E-63,-2.31296463463574E-16));
#57788=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57789=DIRECTION('center_axis',(-4.74390907573353E-80,-1.,-1.09724939220992E-95));
#57790=DIRECTION('ref_axis',(-1.,4.74390907573353E-80,-2.334829378448E-16));
#57791=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57792=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57793=DIRECTION('ref_axis',(2.26362771181597E-15,1.,5.23569084341177E-31));
#57794=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57795=DIRECTION('center_axis',(-1.,7.23259870811214E-50,-2.31296463463574E-16));
#57796=DIRECTION('ref_axis',(7.72333949632573E-48,1.,-3.3078817525671E-32));
#57797=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57798=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57799=DIRECTION('ref_axis',(1.,1.17260871070718E-63,2.31296463463574E-16));
#57800=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57801=DIRECTION('center_axis',(3.97940802270458E-80,1.,9.20423002330144E-96));
#57802=DIRECTION('ref_axis',(1.,-3.97940802270458E-80,2.334829378448E-16));
#57803=DIRECTION('',(2.31296463463574E-16,0.,-1.));
#57804=DIRECTION('center_axis',(2.31296463463574E-16,0.,-1.));
#57805=DIRECTION('ref_axis',(1.55624405187348E-15,-1.,3.59953745484559E-31));
#57806=DIRECTION('center_axis',(0.,-1.,0.));
#57807=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57808=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57809=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57810=DIRECTION('center_axis',(2.334829378448E-16,-2.59218133452385E-32,
-1.));
#57811=DIRECTION('ref_axis',(-1.,0.,-2.334829378448E-16));
#57812=DIRECTION('',(0.,0.,1.));
#57813=DIRECTION('',(1.,0.,0.));
#57814=DIRECTION('',(4.97899625051323E-17,1.,-1.42967066243577E-32));
#57815=DIRECTION('',(1.,-4.97899625051323E-17,2.334829378448E-16));
#57816=DIRECTION('',(4.97899625051323E-17,1.,-1.42967066243577E-32));
#57817=DIRECTION('',(1.,-4.97899625051323E-17,2.334829378448E-16));
#57818=DIRECTION('',(4.97899625051323E-17,1.,-1.42967066243577E-32));
#57819=DIRECTION('',(1.,-4.97899625051323E-17,2.334829378448E-16));
#57820=DIRECTION('',(4.97899625051323E-17,1.,-1.42967066243577E-32));
#57821=DIRECTION('',(1.,-4.97899625051323E-17,2.334829378448E-16));
#57822=DIRECTION('',(4.97899625051323E-17,1.,-1.42967066243577E-32));
#57823=DIRECTION('',(1.,-4.97899625051323E-17,2.334829378448E-16));
#57824=DIRECTION('',(4.97899625051323E-17,1.,-1.42967066243577E-32));
#57825=DIRECTION('',(1.,-4.97899625051323E-17,2.334829378448E-16));
#57826=DIRECTION('',(0.,0.,1.));
#57827=DIRECTION('',(1.,0.,0.));
#57828=DIRECTION('',(0.,0.,1.));
#57829=DIRECTION('',(1.,0.,0.));
#57830=DIRECTION('center_axis',(0.,0.,1.));
#57831=DIRECTION('ref_axis',(1.,0.,0.));
#57832=CARTESIAN_POINT('',(0.,0.,0.));
#57833=CARTESIAN_POINT('',(0.,0.,0.));
#57834=CARTESIAN_POINT('',(0.,0.,0.));
#57835=CARTESIAN_POINT('',(0.,0.,0.));
#57836=CARTESIAN_POINT('Origin',(2.9,0.,-2.9));
#57837=CARTESIAN_POINT('',(2.9,0.,-2.9));
#57838=CARTESIAN_POINT('',(2.9,0.,2.9));
#57839=CARTESIAN_POINT('',(2.9,0.,-2.9));
#57840=CARTESIAN_POINT('',(2.9,-5.2,2.9));
#57841=CARTESIAN_POINT('',(2.9,0.,2.9));
#57842=CARTESIAN_POINT('',(2.9,-5.2,-2.9));
#57843=CARTESIAN_POINT('',(2.9,-5.2,-2.9));
#57844=CARTESIAN_POINT('',(2.9,0.,-2.9));
#57845=CARTESIAN_POINT('Origin',(-2.9,0.,-2.9));
#57846=CARTESIAN_POINT('',(-2.9,0.,-2.9));
#57847=CARTESIAN_POINT('',(-2.9,0.,-2.9));
#57848=CARTESIAN_POINT('',(-2.9,-5.2,-2.9));
#57849=CARTESIAN_POINT('',(-2.9,-5.2,-2.9));
#57850=CARTESIAN_POINT('',(-2.9,0.,-2.9));
#57851=CARTESIAN_POINT('Origin',(-2.9,0.,2.9));
#57852=CARTESIAN_POINT('',(-2.9,0.,2.9));
#57853=CARTESIAN_POINT('',(-2.9,0.,2.9));
#57854=CARTESIAN_POINT('',(-2.9,-5.2,2.9));
#57855=CARTESIAN_POINT('',(-2.9,-5.2,2.9));
#57856=CARTESIAN_POINT('',(-2.9,0.,2.9));
#57857=CARTESIAN_POINT('Origin',(2.9,0.,2.9));
#57858=CARTESIAN_POINT('',(2.9,0.,2.9));
#57859=CARTESIAN_POINT('',(2.9,-5.2,2.9));
#57860=CARTESIAN_POINT('Origin',(0.,0.,0.));
#57861=CARTESIAN_POINT('Origin',(0.,-5.2,0.));
#57862=CARTESIAN_POINT('Origin',(-0.975,0.,-1.225));
#57863=CARTESIAN_POINT('',(-0.975,2.3,1.225));
#57864=CARTESIAN_POINT('',(-0.975,2.3,-1.225));
#57865=CARTESIAN_POINT('',(-0.975,2.3,1.225));
#57866=CARTESIAN_POINT('',(-0.975,4.8,1.225));
#57867=CARTESIAN_POINT('',(-0.975,0.,1.225));
#57868=CARTESIAN_POINT('',(-0.975,4.8,-1.225));
#57869=CARTESIAN_POINT('',(-0.975,4.8,1.225));
#57870=CARTESIAN_POINT('',(-0.975,0.,-1.225));
#57871=CARTESIAN_POINT('Origin',(-0.975,0.,1.225));
#57872=CARTESIAN_POINT('',(0.975,2.3,1.225));
#57873=CARTESIAN_POINT('',(0.975,2.3,1.225));
#57874=CARTESIAN_POINT('',(0.975,4.8,1.225));
#57875=CARTESIAN_POINT('',(0.975,0.,1.225));
#57876=CARTESIAN_POINT('',(0.975,4.8,1.225));
#57877=CARTESIAN_POINT('Origin',(0.975,0.,-1.225));
#57878=CARTESIAN_POINT('',(0.975,2.3,-1.225));
#57879=CARTESIAN_POINT('',(-0.975,2.3,-1.225));
#57880=CARTESIAN_POINT('',(0.975,4.8,-1.225));
#57881=CARTESIAN_POINT('',(-0.975,4.8,-1.225));
#57882=CARTESIAN_POINT('',(0.975,0.,-1.225));
#57883=CARTESIAN_POINT('Origin',(0.975,0.,1.225));
#57884=CARTESIAN_POINT('',(0.975,2.3,-1.225));
#57885=CARTESIAN_POINT('',(0.975,4.8,-1.225));
#57886=CARTESIAN_POINT('Origin',(0.,4.8,0.));
#57887=CARTESIAN_POINT('Origin',(-1.45,0.,-1.75));
#57888=CARTESIAN_POINT('',(-1.45,0.,1.75));
#57889=CARTESIAN_POINT('',(-1.45,0.,-1.75));
#57890=CARTESIAN_POINT('',(-1.45,0.,1.75));
#57891=CARTESIAN_POINT('',(-1.45,2.3,1.75));
#57892=CARTESIAN_POINT('',(-1.45,0.,1.75));
#57893=CARTESIAN_POINT('',(-1.45,2.3,-1.75));
#57894=CARTESIAN_POINT('',(-1.45,2.3,1.75));
#57895=CARTESIAN_POINT('',(-1.45,0.,-1.75));
#57896=CARTESIAN_POINT('Origin',(1.45,0.,-1.75));
#57897=CARTESIAN_POINT('',(1.45,0.,-1.75));
#57898=CARTESIAN_POINT('',(-1.45,0.,-1.75));
#57899=CARTESIAN_POINT('',(1.45,2.3,-1.75));
#57900=CARTESIAN_POINT('',(-1.45,2.3,-1.75));
#57901=CARTESIAN_POINT('',(1.45,0.,-1.75));
#57902=CARTESIAN_POINT('Origin',(1.45,0.,1.75));
#57903=CARTESIAN_POINT('',(1.45,0.,1.75));
#57904=CARTESIAN_POINT('',(1.45,0.,-1.75));
#57905=CARTESIAN_POINT('',(1.45,2.3,1.75));
#57906=CARTESIAN_POINT('',(1.45,2.3,-1.75));
#57907=CARTESIAN_POINT('',(1.45,0.,1.75));
#57908=CARTESIAN_POINT('Origin',(-1.45,0.,1.75));
#57909=CARTESIAN_POINT('',(1.45,0.,1.75));
#57910=CARTESIAN_POINT('',(1.45,2.3,1.75));
#57911=CARTESIAN_POINT('Origin',(0.,2.3,0.));
#57912=CARTESIAN_POINT('Origin',(0.,0.,0.));
#57913=CARTESIAN_POINT('Origin',(2.1,-5.2,1.7));
#57914=CARTESIAN_POINT('',(4.15,-5.2,1.7));
#57915=CARTESIAN_POINT('',(2.1,-5.2,1.7));
#57916=CARTESIAN_POINT('',(4.15,-5.2,1.7));
#57917=CARTESIAN_POINT('',(4.15,-5.5,1.7));
#57918=CARTESIAN_POINT('',(4.15,-5.2,1.7));
#57919=CARTESIAN_POINT('',(2.1,-5.5,1.7));
#57920=CARTESIAN_POINT('',(4.15,-5.5,1.7));
#57921=CARTESIAN_POINT('',(2.1,-5.2,1.7));
#57922=CARTESIAN_POINT('Origin',(2.1,-5.2,2.3));
#57923=CARTESIAN_POINT('',(2.1,-5.2,2.3));
#57924=CARTESIAN_POINT('',(2.1,-5.2,1.7));
#57925=CARTESIAN_POINT('',(2.1,-5.5,2.3));
#57926=CARTESIAN_POINT('',(2.1,-5.5,1.7));
#57927=CARTESIAN_POINT('',(2.1,-5.2,2.3));
#57928=CARTESIAN_POINT('Origin',(4.15,-5.2,2.3));
#57929=CARTESIAN_POINT('',(4.15,-5.2,2.3));
#57930=CARTESIAN_POINT('',(4.15,-5.2,2.3));
#57931=CARTESIAN_POINT('',(4.15,-5.5,2.3));
#57932=CARTESIAN_POINT('',(4.15,-5.5,2.3));
#57933=CARTESIAN_POINT('',(4.15,-5.2,2.3));
#57934=CARTESIAN_POINT('Origin',(4.15,-5.2,1.7));
#57935=CARTESIAN_POINT('',(4.15,-5.2,2.3));
#57936=CARTESIAN_POINT('',(4.15,-5.5,2.3));
#57937=CARTESIAN_POINT('Origin',(3.125,-5.5,2.));
#57938=CARTESIAN_POINT('Origin',(3.125,-5.2,2.));
#57939=CARTESIAN_POINT('Origin',(-2.1,-5.2,2.3));
#57940=CARTESIAN_POINT('',(-4.15,-5.2,2.3));
#57941=CARTESIAN_POINT('',(-2.1,-5.2,2.3));
#57942=CARTESIAN_POINT('',(-4.15,-5.2,2.3));
#57943=CARTESIAN_POINT('',(-4.15,-5.5,2.3));
#57944=CARTESIAN_POINT('',(-4.15,-5.2,2.3));
#57945=CARTESIAN_POINT('',(-2.1,-5.5,2.3));
#57946=CARTESIAN_POINT('',(-4.15,-5.5,2.3));
#57947=CARTESIAN_POINT('',(-2.1,-5.2,2.3));
#57948=CARTESIAN_POINT('Origin',(-2.1,-5.2,1.7));
#57949=CARTESIAN_POINT('',(-2.1,-5.2,1.7));
#57950=CARTESIAN_POINT('',(-2.1,-5.2,1.7));
#57951=CARTESIAN_POINT('',(-2.1,-5.5,1.7));
#57952=CARTESIAN_POINT('',(-2.1,-5.5,1.7));
#57953=CARTESIAN_POINT('',(-2.1,-5.2,1.7));
#57954=CARTESIAN_POINT('Origin',(-4.15,-5.2,1.7));
#57955=CARTESIAN_POINT('',(-4.15,-5.2,1.7));
#57956=CARTESIAN_POINT('',(-4.15,-5.2,1.7));
#57957=CARTESIAN_POINT('',(-4.15,-5.5,1.7));
#57958=CARTESIAN_POINT('',(-4.15,-5.5,1.7));
#57959=CARTESIAN_POINT('',(-4.15,-5.2,1.7));
#57960=CARTESIAN_POINT('Origin',(-4.15,-5.2,2.3));
#57961=CARTESIAN_POINT('',(-4.15,-5.2,2.3));
#57962=CARTESIAN_POINT('',(-4.15,-5.5,2.3));
#57963=CARTESIAN_POINT('Origin',(-3.125,-5.5,2.));
#57964=CARTESIAN_POINT('Origin',(-3.125,-5.2,2.));
#57965=CARTESIAN_POINT('Origin',(2.1,-5.2,-0.3));
#57966=CARTESIAN_POINT('',(4.15,-5.2,-0.3));
#57967=CARTESIAN_POINT('',(2.1,-5.2,-0.3));
#57968=CARTESIAN_POINT('',(4.15,-5.2,-0.3));
#57969=CARTESIAN_POINT('',(4.15,-5.5,-0.3));
#57970=CARTESIAN_POINT('',(4.15,-5.2,-0.3));
#57971=CARTESIAN_POINT('',(2.1,-5.5,-0.3));
#57972=CARTESIAN_POINT('',(4.15,-5.5,-0.3));
#57973=CARTESIAN_POINT('',(2.1,-5.2,-0.3));
#57974=CARTESIAN_POINT('Origin',(2.1,-5.2,0.3));
#57975=CARTESIAN_POINT('',(2.1,-5.2,0.3));
#57976=CARTESIAN_POINT('',(2.1,-5.2,-0.3));
#57977=CARTESIAN_POINT('',(2.1,-5.5,0.3));
#57978=CARTESIAN_POINT('',(2.1,-5.5,-0.3));
#57979=CARTESIAN_POINT('',(2.1,-5.2,0.3));
#57980=CARTESIAN_POINT('Origin',(4.15,-5.2,0.3));
#57981=CARTESIAN_POINT('',(4.15,-5.2,0.3));
#57982=CARTESIAN_POINT('',(4.15,-5.2,0.3));
#57983=CARTESIAN_POINT('',(4.15,-5.5,0.3));
#57984=CARTESIAN_POINT('',(4.15,-5.5,0.3));
#57985=CARTESIAN_POINT('',(4.15,-5.2,0.3));
#57986=CARTESIAN_POINT('Origin',(4.15,-5.2,-0.3));
#57987=CARTESIAN_POINT('',(4.15,-5.2,0.3));
#57988=CARTESIAN_POINT('',(4.15,-5.5,0.3));
#57989=CARTESIAN_POINT('Origin',(3.125,-5.5,2.775557561563E-16));
#57990=CARTESIAN_POINT('Origin',(3.125,-5.2,2.775557561563E-16));
#57991=CARTESIAN_POINT('Origin',(-4.15,-5.2,-1.7));
#57992=CARTESIAN_POINT('',(-4.15,-5.2,-1.7));
#57993=CARTESIAN_POINT('',(-4.15,-5.2,-2.3));
#57994=CARTESIAN_POINT('',(-4.15,-5.2,-1.7));
#57995=CARTESIAN_POINT('',(-4.15,-5.5,-2.3));
#57996=CARTESIAN_POINT('',(-4.15,-5.2,-2.3));
#57997=CARTESIAN_POINT('',(-4.15,-5.5,-1.7));
#57998=CARTESIAN_POINT('',(-4.15,-5.5,-1.7));
#57999=CARTESIAN_POINT('',(-4.15,-5.2,-1.7));
#58000=CARTESIAN_POINT('Origin',(-2.1,-5.2,-1.7));
#58001=CARTESIAN_POINT('',(-2.1,-5.2,-1.7));
#58002=CARTESIAN_POINT('',(-4.15,-5.2,-1.7));
#58003=CARTESIAN_POINT('',(-2.1,-5.5,-1.7));
#58004=CARTESIAN_POINT('',(-4.15,-5.5,-1.7));
#58005=CARTESIAN_POINT('',(-2.1,-5.2,-1.7));
#58006=CARTESIAN_POINT('Origin',(-2.1,-5.2,-2.3));
#58007=CARTESIAN_POINT('',(-2.1,-5.2,-2.3));
#58008=CARTESIAN_POINT('',(-2.1,-5.2,-2.3));
#58009=CARTESIAN_POINT('',(-2.1,-5.5,-2.3));
#58010=CARTESIAN_POINT('',(-2.1,-5.5,-2.3));
#58011=CARTESIAN_POINT('',(-2.1,-5.2,-2.3));
#58012=CARTESIAN_POINT('Origin',(-4.15,-5.2,-2.3));
#58013=CARTESIAN_POINT('',(-4.15,-5.2,-2.3));
#58014=CARTESIAN_POINT('',(-4.15,-5.5,-2.3));
#58015=CARTESIAN_POINT('Origin',(-3.125,-5.5,-2.));
#58016=CARTESIAN_POINT('Origin',(-3.125,-5.2,-2.));
#58017=CARTESIAN_POINT('Origin',(-4.15,-5.2,0.3));
#58018=CARTESIAN_POINT('',(-4.15,-5.2,0.3));
#58019=CARTESIAN_POINT('',(-4.15,-5.2,-0.3));
#58020=CARTESIAN_POINT('',(-4.15,-5.2,0.3));
#58021=CARTESIAN_POINT('',(-4.15,-5.5,-0.3));
#58022=CARTESIAN_POINT('',(-4.15,-5.2,-0.3));
#58023=CARTESIAN_POINT('',(-4.15,-5.5,0.3));
#58024=CARTESIAN_POINT('',(-4.15,-5.5,0.3));
#58025=CARTESIAN_POINT('',(-4.15,-5.2,0.3));
#58026=CARTESIAN_POINT('Origin',(-2.1,-5.2,0.3));
#58027=CARTESIAN_POINT('',(-2.1,-5.2,0.3));
#58028=CARTESIAN_POINT('',(-4.15,-5.2,0.3));
#58029=CARTESIAN_POINT('',(-2.1,-5.5,0.3));
#58030=CARTESIAN_POINT('',(-4.15,-5.5,0.3));
#58031=CARTESIAN_POINT('',(-2.1,-5.2,0.3));
#58032=CARTESIAN_POINT('Origin',(-2.1,-5.2,-0.3));
#58033=CARTESIAN_POINT('',(-2.1,-5.2,-0.3));
#58034=CARTESIAN_POINT('',(-2.1,-5.2,-0.3));
#58035=CARTESIAN_POINT('',(-2.1,-5.5,-0.3));
#58036=CARTESIAN_POINT('',(-2.1,-5.5,-0.3));
#58037=CARTESIAN_POINT('',(-2.1,-5.2,-0.3));
#58038=CARTESIAN_POINT('Origin',(-4.15,-5.2,-0.3));
#58039=CARTESIAN_POINT('',(-4.15,-5.2,-0.3));
#58040=CARTESIAN_POINT('',(-4.15,-5.5,-0.3));
#58041=CARTESIAN_POINT('Origin',(-3.125,-5.5,3.469446951954E-17));
#58042=CARTESIAN_POINT('Origin',(-3.125,-5.2,3.469446951954E-17));
#58043=CARTESIAN_POINT('Origin',(2.1,-5.2,-2.3));
#58044=CARTESIAN_POINT('',(4.15,-5.2,-2.3));
#58045=CARTESIAN_POINT('',(2.1,-5.2,-2.3));
#58046=CARTESIAN_POINT('',(4.15,-5.2,-2.3));
#58047=CARTESIAN_POINT('',(4.15,-5.5,-2.3));
#58048=CARTESIAN_POINT('',(4.15,-5.2,-2.3));
#58049=CARTESIAN_POINT('',(2.1,-5.5,-2.3));
#58050=CARTESIAN_POINT('',(4.15,-5.5,-2.3));
#58051=CARTESIAN_POINT('',(2.1,-5.2,-2.3));
#58052=CARTESIAN_POINT('Origin',(2.1,-5.2,-1.7));
#58053=CARTESIAN_POINT('',(2.1,-5.2,-1.7));
#58054=CARTESIAN_POINT('',(2.1,-5.2,-2.3));
#58055=CARTESIAN_POINT('',(2.1,-5.5,-1.7));
#58056=CARTESIAN_POINT('',(2.1,-5.5,-2.3));
#58057=CARTESIAN_POINT('',(2.1,-5.2,-1.7));
#58058=CARTESIAN_POINT('Origin',(4.15,-5.2,-1.7));
#58059=CARTESIAN_POINT('',(4.15,-5.2,-1.7));
#58060=CARTESIAN_POINT('',(4.15,-5.2,-1.7));
#58061=CARTESIAN_POINT('',(4.15,-5.5,-1.7));
#58062=CARTESIAN_POINT('',(4.15,-5.5,-1.7));
#58063=CARTESIAN_POINT('',(4.15,-5.2,-1.7));
#58064=CARTESIAN_POINT('Origin',(4.15,-5.2,-2.3));
#58065=CARTESIAN_POINT('',(4.15,-5.2,-1.7));
#58066=CARTESIAN_POINT('',(4.15,-5.5,-1.7));
#58067=CARTESIAN_POINT('Origin',(3.125,-5.5,-2.));
#58068=CARTESIAN_POINT('Origin',(3.125,-5.2,-2.));
#58069=CARTESIAN_POINT('',(0.,0.,0.));
#58070=CARTESIAN_POINT('',(0.,0.,0.));
#58071=CARTESIAN_POINT('',(0.,0.,0.));
#58072=CARTESIAN_POINT('Origin',(2.500000037253,0.,0.));
#58073=CARTESIAN_POINT('',(2.000000037253,-1.,-6.123233995737E-17));
#58074=CARTESIAN_POINT('Origin',(2.500000037253,-1.,0.));
#58075=CARTESIAN_POINT('',(2.000000037253,0.,-6.123233995737E-17));
#58076=CARTESIAN_POINT('',(2.000000037253,0.,-6.12323399573677E-17));
#58077=CARTESIAN_POINT('Origin',(2.500000037253,0.,0.));
#58078=CARTESIAN_POINT('Origin',(2.500000037253,-1.,0.));
#58079=CARTESIAN_POINT('Origin',(7.5,0.,5.));
#58080=CARTESIAN_POINT('',(7.,-1.,5.));
#58081=CARTESIAN_POINT('Origin',(7.5,-1.,5.));
#58082=CARTESIAN_POINT('',(7.,0.,5.));
#58083=CARTESIAN_POINT('',(7.,0.,5.));
#58084=CARTESIAN_POINT('Origin',(7.5,0.,5.));
#58085=CARTESIAN_POINT('Origin',(7.5,-1.,5.));
#58086=CARTESIAN_POINT('Origin',(7.5,0.,-5.));
#58087=CARTESIAN_POINT('',(7.,-1.,-5.));
#58088=CARTESIAN_POINT('Origin',(7.5,-1.,-5.));
#58089=CARTESIAN_POINT('',(7.,0.,-5.));
#58090=CARTESIAN_POINT('',(7.,0.,-5.));
#58091=CARTESIAN_POINT('Origin',(7.5,0.,-5.));
#58092=CARTESIAN_POINT('Origin',(7.5,-1.,-5.));
#58093=CARTESIAN_POINT('Origin',(10.,0.,5.));
#58094=CARTESIAN_POINT('',(9.5,-1.,5.));
#58095=CARTESIAN_POINT('Origin',(10.,-1.,5.));
#58096=CARTESIAN_POINT('',(9.5,0.,5.));
#58097=CARTESIAN_POINT('',(9.5,0.,5.));
#58098=CARTESIAN_POINT('Origin',(10.,0.,5.));
#58099=CARTESIAN_POINT('Origin',(10.,-1.,5.));
#58100=CARTESIAN_POINT('Origin',(10.,0.,-5.));
#58101=CARTESIAN_POINT('',(9.5,-1.,-5.));
#58102=CARTESIAN_POINT('Origin',(10.,-1.,-5.));
#58103=CARTESIAN_POINT('',(9.5,0.,-5.));
#58104=CARTESIAN_POINT('',(9.5,0.,-5.));
#58105=CARTESIAN_POINT('Origin',(10.,0.,-5.));
#58106=CARTESIAN_POINT('Origin',(10.,-1.,-5.));
#58107=CARTESIAN_POINT('Origin',(14.,2.5,-1.008452580701E-14));
#58108=CARTESIAN_POINT('',(14.,2.5,1.75));
#58109=CARTESIAN_POINT('Origin',(14.,2.5,-1.008452580701E-14));
#58110=CARTESIAN_POINT('',(3.072037573821E-15,2.5,1.75));
#58111=CARTESIAN_POINT('',(14.,2.5,1.74999999999999));
#58112=CARTESIAN_POINT('Origin',(2.22044604925E-15,2.5,-3.271793610448E-15));
#58113=CARTESIAN_POINT('Origin',(12.,2.5,-9.251858538543E-15));
#58114=CARTESIAN_POINT('',(12.,0.,1.658312395178));
#58115=CARTESIAN_POINT('',(12.,0.,-1.658312395178));
#58116=CARTESIAN_POINT('Origin',(12.,2.5,-8.974302782387E-15));
#58117=CARTESIAN_POINT('',(12.,0.,-4.5));
#58118=CARTESIAN_POINT('Origin',(12.,2.5,-9.251858538543E-15));
#58119=CARTESIAN_POINT('',(12.,5.,-1.658312395178));
#58120=CARTESIAN_POINT('',(12.,5.,1.658312395178));
#58121=CARTESIAN_POINT('Origin',(12.,2.5,-8.974302782387E-15));
#58122=CARTESIAN_POINT('',(12.,5.,-4.5));
#58123=CARTESIAN_POINT('Origin',(12.,2.5,-8.974302782387E-15));
#58124=CARTESIAN_POINT('',(14.,2.5,3.));
#58125=CARTESIAN_POINT('Origin',(14.,2.5,-1.008452580701E-14));
#58126=CARTESIAN_POINT('',(12.,2.5,2.99999999999999));
#58127=CARTESIAN_POINT('',(12.,2.5,2.99999999999999));
#58128=CARTESIAN_POINT('Origin',(12.,2.5,-8.974302782387E-15));
#58129=CARTESIAN_POINT('Origin',(12.,2.5,-8.974302782387E-15));
#58130=CARTESIAN_POINT('Origin',(12.,2.5,-8.974302782387E-15));
#58131=CARTESIAN_POINT('Origin',(14.,2.5,-1.036208156317E-14));
#58132=CARTESIAN_POINT('Origin',(12.,0.,4.5));
#58133=CARTESIAN_POINT('',(12.,5.,4.5));
#58134=CARTESIAN_POINT('',(12.,5.,-4.5));
#58135=CARTESIAN_POINT('',(12.,0.,4.5));
#58136=CARTESIAN_POINT('',(12.,0.,4.5));
#58137=CARTESIAN_POINT('',(12.,0.,-4.5));
#58138=CARTESIAN_POINT('Origin',(12.,0.,4.5));
#58139=CARTESIAN_POINT('',(12.,0.,-4.5));
#58140=CARTESIAN_POINT('',(12.,0.,-4.5));
#58141=CARTESIAN_POINT('',(12.,5.,-4.5));
#58142=CARTESIAN_POINT('',(12.,0.,-4.5));
#58143=CARTESIAN_POINT('',(12.,5.,-4.5));
#58144=CARTESIAN_POINT('Origin',(11.,0.,6.));
#58145=CARTESIAN_POINT('',(11.,0.,4.5));
#58146=CARTESIAN_POINT('',(11.,0.,6.));
#58147=CARTESIAN_POINT('',(11.,0.,4.5));
#58148=CARTESIAN_POINT('',(11.,5.,4.5));
#58149=CARTESIAN_POINT('',(11.,0.,4.5));
#58150=CARTESIAN_POINT('',(11.,5.,6.));
#58151=CARTESIAN_POINT('',(11.,5.,4.5));
#58152=CARTESIAN_POINT('',(11.,0.,6.));
#58153=CARTESIAN_POINT('Origin',(0.,0.,6.));
#58154=CARTESIAN_POINT('',(0.,0.,6.));
#58155=CARTESIAN_POINT('',(11.,0.,6.));
#58156=CARTESIAN_POINT('',(0.,5.,6.));
#58157=CARTESIAN_POINT('',(11.,5.,6.));
#58158=CARTESIAN_POINT('',(0.,0.,6.));
#58159=CARTESIAN_POINT('Origin',(0.,0.,-6.));
#58160=CARTESIAN_POINT('',(0.,0.,-6.));
#58161=CARTESIAN_POINT('',(0.,0.,6.));
#58162=CARTESIAN_POINT('',(0.,5.,-6.));
#58163=CARTESIAN_POINT('',(0.,5.,6.));
#58164=CARTESIAN_POINT('',(0.,0.,-6.));
#58165=CARTESIAN_POINT('Origin',(11.,0.,-6.));
#58166=CARTESIAN_POINT('',(11.,0.,-6.));
#58167=CARTESIAN_POINT('',(0.,0.,-6.));
#58168=CARTESIAN_POINT('',(11.,5.,-6.));
#58169=CARTESIAN_POINT('',(0.,5.,-6.));
#58170=CARTESIAN_POINT('',(11.,0.,-6.));
#58171=CARTESIAN_POINT('Origin',(11.,0.,-4.5));
#58172=CARTESIAN_POINT('',(11.,0.,-4.5));
#58173=CARTESIAN_POINT('',(11.,0.,-6.));
#58174=CARTESIAN_POINT('',(11.,5.,-4.5));
#58175=CARTESIAN_POINT('',(11.,5.,-6.));
#58176=CARTESIAN_POINT('',(11.,0.,-4.5));
#58177=CARTESIAN_POINT('Origin',(12.,0.,-4.5));
#58178=CARTESIAN_POINT('',(11.,0.,-4.5));
#58179=CARTESIAN_POINT('',(11.,5.,-4.5));
#58180=CARTESIAN_POINT('Origin',(11.,0.,4.5));
#58181=CARTESIAN_POINT('',(12.,0.,4.5));
#58182=CARTESIAN_POINT('',(12.,5.,4.5));
#58183=CARTESIAN_POINT('Origin',(6.,5.,0.));
#58184=CARTESIAN_POINT('Origin',(6.,0.,0.));
#58185=CARTESIAN_POINT('Origin',(3.25,0.,-2.9));
#58186=CARTESIAN_POINT('',(3.25,0.,-2.9));
#58187=CARTESIAN_POINT('',(3.25,0.,-2.1));
#58188=CARTESIAN_POINT('',(3.25,0.,-2.9));
#58189=CARTESIAN_POINT('',(3.25,-4.,-2.1));
#58190=CARTESIAN_POINT('',(3.25,0.,-2.1));
#58191=CARTESIAN_POINT('',(3.25,-4.,-2.9));
#58192=CARTESIAN_POINT('',(3.25,-4.,-2.9));
#58193=CARTESIAN_POINT('',(3.25,0.,-2.9));
#58194=CARTESIAN_POINT('Origin',(1.75,0.,-2.9));
#58195=CARTESIAN_POINT('',(1.75,0.,-2.9));
#58196=CARTESIAN_POINT('',(1.75,0.,-2.9));
#58197=CARTESIAN_POINT('',(1.75,-4.,-2.9));
#58198=CARTESIAN_POINT('',(1.75,-4.,-2.9));
#58199=CARTESIAN_POINT('',(1.75,0.,-2.9));
#58200=CARTESIAN_POINT('Origin',(1.75,0.,-2.1));
#58201=CARTESIAN_POINT('',(1.75,0.,-2.1));
#58202=CARTESIAN_POINT('',(1.75,0.,-2.1));
#58203=CARTESIAN_POINT('',(1.75,-4.,-2.1));
#58204=CARTESIAN_POINT('',(1.75,-4.,-2.1));
#58205=CARTESIAN_POINT('',(1.75,0.,-2.1));
#58206=CARTESIAN_POINT('Origin',(3.25,0.,-2.1));
#58207=CARTESIAN_POINT('',(3.25,0.,-2.1));
#58208=CARTESIAN_POINT('',(3.25,-4.,-2.1));
#58209=CARTESIAN_POINT('Origin',(2.5,-4.,-2.5));
#58210=CARTESIAN_POINT('Origin',(2.5,0.,-2.5));
#58211=CARTESIAN_POINT('Origin',(1.75,0.,5.4));
#58212=CARTESIAN_POINT('',(1.75,0.,4.6));
#58213=CARTESIAN_POINT('',(1.75,0.,5.4));
#58214=CARTESIAN_POINT('',(1.75,0.,4.6));
#58215=CARTESIAN_POINT('',(1.75,-4.,4.6));
#58216=CARTESIAN_POINT('',(1.75,0.,4.6));
#58217=CARTESIAN_POINT('',(1.75,-4.,5.4));
#58218=CARTESIAN_POINT('',(1.75,-4.,4.6));
#58219=CARTESIAN_POINT('',(1.75,0.,5.4));
#58220=CARTESIAN_POINT('Origin',(3.25,0.,5.4));
#58221=CARTESIAN_POINT('',(3.25,0.,5.4));
#58222=CARTESIAN_POINT('',(1.75,0.,5.4));
#58223=CARTESIAN_POINT('',(3.25,-4.,5.4));
#58224=CARTESIAN_POINT('',(1.75,-4.,5.4));
#58225=CARTESIAN_POINT('',(3.25,0.,5.4));
#58226=CARTESIAN_POINT('Origin',(3.25,0.,4.6));
#58227=CARTESIAN_POINT('',(3.25,0.,4.6));
#58228=CARTESIAN_POINT('',(3.25,0.,5.4));
#58229=CARTESIAN_POINT('',(3.25,-4.,4.6));
#58230=CARTESIAN_POINT('',(3.25,-4.,5.4));
#58231=CARTESIAN_POINT('',(3.25,0.,4.6));
#58232=CARTESIAN_POINT('Origin',(1.75,0.,4.6));
#58233=CARTESIAN_POINT('',(3.25,0.,4.6));
#58234=CARTESIAN_POINT('',(3.25,-4.,4.6));
#58235=CARTESIAN_POINT('Origin',(2.5,-4.,5.));
#58236=CARTESIAN_POINT('Origin',(2.5,0.,5.));
#58237=CARTESIAN_POINT('Origin',(3.25,0.,-4.6));
#58238=CARTESIAN_POINT('',(3.25,0.,-4.6));
#58239=CARTESIAN_POINT('',(1.75,0.,-4.6));
#58240=CARTESIAN_POINT('',(3.25,0.,-4.6));
#58241=CARTESIAN_POINT('',(1.75,-4.,-4.6));
#58242=CARTESIAN_POINT('',(1.75,0.,-4.6));
#58243=CARTESIAN_POINT('',(3.25,-4.,-4.6));
#58244=CARTESIAN_POINT('',(3.25,-4.,-4.6));
#58245=CARTESIAN_POINT('',(3.25,0.,-4.6));
#58246=CARTESIAN_POINT('Origin',(3.25,0.,-5.4));
#58247=CARTESIAN_POINT('',(3.25,0.,-5.4));
#58248=CARTESIAN_POINT('',(3.25,0.,-5.4));
#58249=CARTESIAN_POINT('',(3.25,-4.,-5.4));
#58250=CARTESIAN_POINT('',(3.25,-4.,-5.4));
#58251=CARTESIAN_POINT('',(3.25,0.,-5.4));
#58252=CARTESIAN_POINT('Origin',(1.75,0.,-5.4));
#58253=CARTESIAN_POINT('',(1.75,0.,-5.4));
#58254=CARTESIAN_POINT('',(1.75,0.,-5.4));
#58255=CARTESIAN_POINT('',(1.75,-4.,-5.4));
#58256=CARTESIAN_POINT('',(1.75,-4.,-5.4));
#58257=CARTESIAN_POINT('',(1.75,0.,-5.4));
#58258=CARTESIAN_POINT('Origin',(1.75,0.,-4.6));
#58259=CARTESIAN_POINT('',(1.75,0.,-4.6));
#58260=CARTESIAN_POINT('',(1.75,-4.,-4.6));
#58261=CARTESIAN_POINT('Origin',(2.5,-4.,-5.));
#58262=CARTESIAN_POINT('Origin',(2.5,0.,-5.));
#58263=CARTESIAN_POINT('Origin',(1.75,0.,2.9));
#58264=CARTESIAN_POINT('',(1.75,0.,2.1));
#58265=CARTESIAN_POINT('',(1.75,0.,2.9));
#58266=CARTESIAN_POINT('',(1.75,0.,2.1));
#58267=CARTESIAN_POINT('',(1.75,-4.,2.1));
#58268=CARTESIAN_POINT('',(1.75,0.,2.1));
#58269=CARTESIAN_POINT('',(1.75,-4.,2.9));
#58270=CARTESIAN_POINT('',(1.75,-4.,2.1));
#58271=CARTESIAN_POINT('',(1.75,0.,2.9));
#58272=CARTESIAN_POINT('Origin',(3.25,0.,2.9));
#58273=CARTESIAN_POINT('',(3.25,0.,2.9));
#58274=CARTESIAN_POINT('',(1.75,0.,2.9));
#58275=CARTESIAN_POINT('',(3.25,-4.,2.9));
#58276=CARTESIAN_POINT('',(1.75,-4.,2.9));
#58277=CARTESIAN_POINT('',(3.25,0.,2.9));
#58278=CARTESIAN_POINT('Origin',(3.25,0.,2.1));
#58279=CARTESIAN_POINT('',(3.25,0.,2.1));
#58280=CARTESIAN_POINT('',(3.25,0.,2.9));
#58281=CARTESIAN_POINT('',(3.25,-4.,2.1));
#58282=CARTESIAN_POINT('',(3.25,-4.,2.9));
#58283=CARTESIAN_POINT('',(3.25,0.,2.1));
#58284=CARTESIAN_POINT('Origin',(1.75,0.,2.1));
#58285=CARTESIAN_POINT('',(3.25,0.,2.1));
#58286=CARTESIAN_POINT('',(3.25,-4.,2.1));
#58287=CARTESIAN_POINT('Origin',(2.5,-4.,2.5));
#58288=CARTESIAN_POINT('Origin',(2.5,0.,2.5));
#58289=CARTESIAN_POINT('Origin',(7.1,0.,0.75));
#58290=CARTESIAN_POINT('',(7.1,0.,-0.75));
#58291=CARTESIAN_POINT('',(7.1,0.,0.75));
#58292=CARTESIAN_POINT('',(7.1,0.,-0.75));
#58293=CARTESIAN_POINT('',(7.1,-4.,-0.75));
#58294=CARTESIAN_POINT('',(7.1,0.,-0.75));
#58295=CARTESIAN_POINT('',(7.1,-4.,0.75));
#58296=CARTESIAN_POINT('',(7.1,-4.,-0.75));
#58297=CARTESIAN_POINT('',(7.1,0.,0.75));
#58298=CARTESIAN_POINT('Origin',(7.9,0.,0.75));
#58299=CARTESIAN_POINT('',(7.9,0.,0.75));
#58300=CARTESIAN_POINT('',(7.1,0.,0.75));
#58301=CARTESIAN_POINT('',(7.9,-4.,0.75));
#58302=CARTESIAN_POINT('',(7.1,-4.,0.75));
#58303=CARTESIAN_POINT('',(7.9,0.,0.75));
#58304=CARTESIAN_POINT('Origin',(7.9,0.,-0.75));
#58305=CARTESIAN_POINT('',(7.9,0.,-0.75));
#58306=CARTESIAN_POINT('',(7.9,0.,0.75));
#58307=CARTESIAN_POINT('',(7.9,-4.,-0.75));
#58308=CARTESIAN_POINT('',(7.9,-4.,0.75));
#58309=CARTESIAN_POINT('',(7.9,0.,-0.75));
#58310=CARTESIAN_POINT('Origin',(7.1,0.,-0.75));
#58311=CARTESIAN_POINT('',(7.9,0.,-0.75));
#58312=CARTESIAN_POINT('',(7.9,-4.,-0.75));
#58313=CARTESIAN_POINT('Origin',(7.5,-4.,-6.522560269673E-15));
#58314=CARTESIAN_POINT('Origin',(7.5,0.,-6.522560269673E-15));
#58315=CARTESIAN_POINT('',(0.,0.,0.));
#58316=CARTESIAN_POINT('',(0.,0.,0.));
#58317=CARTESIAN_POINT('',(0.,0.,0.));
#58318=CARTESIAN_POINT('Origin',(1.,0.,4.6));
#58319=CARTESIAN_POINT('',(2.616789181895,0.669696006639,4.6));
#58320=CARTESIAN_POINT('',(2.616789181895,-0.669696006639,4.6));
#58321=CARTESIAN_POINT('Origin',(1.,0.,4.6));
#58322=CARTESIAN_POINT('',(1.,0.,4.6));
#58323=CARTESIAN_POINT('',(2.616789181895,0.669696006639,4.6));
#58324=CARTESIAN_POINT('',(2.616789181895,-0.669696006639,4.6));
#58325=CARTESIAN_POINT('Origin',(1.,0.,5.));
#58326=CARTESIAN_POINT('',(-0.75,2.143131898508E-16,4.7));
#58327=CARTESIAN_POINT('',(-0.616789181894734,-0.66969600663895,4.7));
#58328=CARTESIAN_POINT('Origin',(1.,0.,4.7));
#58329=CARTESIAN_POINT('',(-0.616789181894734,-0.66969600663895,4.6));
#58330=CARTESIAN_POINT('',(-0.616789181894734,-0.66969600663895,5.));
#58331=CARTESIAN_POINT('Origin',(1.,0.,4.6));
#58332=CARTESIAN_POINT('Origin',(1.,0.,4.6));
#58333=CARTESIAN_POINT('Origin',(1.,0.,4.7));
#58334=CARTESIAN_POINT('Origin',(1.,0.,4.6));
#58335=CARTESIAN_POINT('Origin',(1.,-1.239108604125E-16,4.7));
#58336=CARTESIAN_POINT('Origin',(2.,-1.443086680282E-16,-1.9));
#58337=CARTESIAN_POINT('',(1.75,-9.184850993605E-17,-1.9));
#58338=CARTESIAN_POINT('',(2.25,-1.22464679914691E-16,-1.9));
#58339=CARTESIAN_POINT('Origin',(2.,-1.224646799147E-16,-1.9));
#58340=CARTESIAN_POINT('Origin',(2.,-1.224646799147E-16,-1.9));
#58341=CARTESIAN_POINT('Origin',(2.,-1.224646799147E-16,0.3));
#58342=CARTESIAN_POINT('',(1.75,-9.184850993605E-17,0.2));
#58343=CARTESIAN_POINT('',(2.25,-1.22464679914691E-16,0.2));
#58344=CARTESIAN_POINT('Origin',(2.,-1.224646799147E-16,0.2));
#58345=CARTESIAN_POINT('Origin',(2.,-1.224646799147E-16,0.2));
#58346=CARTESIAN_POINT('',(2.25,-1.22464679914691E-16,0.3));
#58347=CARTESIAN_POINT('Origin',(1.,-1.239108604125E-16,0.2));
#58348=CARTESIAN_POINT('',(2.616789181895,0.669696006639,0.2));
#58349=CARTESIAN_POINT('',(2.616789181895,-0.669696006639,0.2));
#58350=CARTESIAN_POINT('Origin',(1.,0.,0.2));
#58351=CARTESIAN_POINT('Origin',(1.,0.,0.2));
#58352=CARTESIAN_POINT('',(-0.25,1.530808498934E-16,0.2));
#58353=CARTESIAN_POINT('',(0.25,1.22464679914709E-16,0.2));
#58354=CARTESIAN_POINT('Origin',(0.,1.224646799147E-16,0.2));
#58355=CARTESIAN_POINT('Origin',(0.,1.224646799147E-16,0.2));
#58356=CARTESIAN_POINT('Origin',(1.,0.,0.3));
#58357=CARTESIAN_POINT('',(2.616789181895,-0.669696006639,0.));
#58358=CARTESIAN_POINT('',(2.616789181895,-0.669696006639,0.3));
#58359=CARTESIAN_POINT('',(2.616789181895,0.669696006639,0.));
#58360=CARTESIAN_POINT('Origin',(1.,0.,0.));
#58361=CARTESIAN_POINT('',(2.616789181895,0.669696006639,0.3));
#58362=CARTESIAN_POINT('Origin',(1.,0.,0.3));
#58363=CARTESIAN_POINT('Origin',(1.,0.,0.));
#58364=CARTESIAN_POINT('Origin',(0.,1.224646799147E-16,0.3));
#58365=CARTESIAN_POINT('',(0.25,1.22464679914709E-16,-2.));
#58366=CARTESIAN_POINT('',(0.25,1.22464679914709E-16,0.3));
#58367=CARTESIAN_POINT('',(-0.25,1.530808498934E-16,-2.));
#58368=CARTESIAN_POINT('Origin',(0.,1.224646799147E-16,-2.));
#58369=CARTESIAN_POINT('Origin',(0.,1.224646799147E-16,-2.));
#58370=CARTESIAN_POINT('Origin',(1.,0.,0.));
#58371=CARTESIAN_POINT('',(3.124922924776,-0.88017189444,1.387778780781E-17));
#58372=CARTESIAN_POINT('',(2.616789181895,-0.669696006639,0.));
#58373=CARTESIAN_POINT('',(3.124922924776,0.88017189444,1.387778780781E-17));
#58374=CARTESIAN_POINT('Origin',(1.,0.,1.387778780781E-17));
#58375=CARTESIAN_POINT('',(2.616789181895,0.669696006639,0.));
#58376=CARTESIAN_POINT('Origin',(1.,0.,0.));
#58377=CARTESIAN_POINT('Origin',(1.,0.,1.387778780781E-17));
#58378=CARTESIAN_POINT('Origin',(-2.22044604925E-16,1.006206918012E-16,
-2.));
#58379=CARTESIAN_POINT('Origin',(1.,-1.14491749414469E-15,0.2));
#58380=CARTESIAN_POINT('',(3.309698831278,-0.956708580913,0.2));
#58381=CARTESIAN_POINT('Origin',(3.124922924776,-0.88017189444,0.2));
#58382=CARTESIAN_POINT('',(3.309698831278,0.956708580913,0.2));
#58383=CARTESIAN_POINT('Origin',(1.,0.,0.2));
#58384=CARTESIAN_POINT('Origin',(3.124922924776,0.88017189444,0.2));
#58385=CARTESIAN_POINT('Origin',(1.,1.73472347597681E-16,0.2));
#58386=CARTESIAN_POINT('Origin',(1.,0.,0.2));
#58387=CARTESIAN_POINT('Origin',(1.,0.,0.2));
#58388=CARTESIAN_POINT('',(3.309698831278,-0.956708580913,1.064979142851));
#58389=CARTESIAN_POINT('',(3.309698831278,0.956708580913,1.064979142851));
#58390=CARTESIAN_POINT('Origin',(1.,9.71445146547012E-16,1.06497914216469));
#58391=CARTESIAN_POINT('',(3.309698831278,0.956708580913,0.2));
#58392=CARTESIAN_POINT('',(3.309698831278,-0.956708580913,0.2));
#58393=CARTESIAN_POINT('Origin',(1.,0.,0.2));
#58394=CARTESIAN_POINT('Origin',(0.999999999999997,-4.9960036108132E-15,
1.064979142851));
#58395=CARTESIAN_POINT('Ctrl Pts',(3.533804235046,-0.415670275735,1.064979142851));
#58396=CARTESIAN_POINT('Ctrl Pts',(3.513289829604,-0.465196431576,1.064979142851));
#58397=CARTESIAN_POINT('Ctrl Pts',(3.492803661547,-0.514654416342,1.064979142851));
#58398=CARTESIAN_POINT('Ctrl Pts',(3.472345730872,-0.564044230035,1.064979142851));
#58399=CARTESIAN_POINT('Ctrl Pts',(3.451916037581,-0.613365872653,1.064979142851));
#58400=CARTESIAN_POINT('Ctrl Pts',(3.431514581673,-0.662619344198,1.064979142851));
#58401=CARTESIAN_POINT('Ctrl Pts',(3.411141363149,-0.711804644669,1.064979142851));
#58402=CARTESIAN_POINT('Ctrl Pts',(3.390796382008,-0.760921774065,1.064979142851));
#58403=CARTESIAN_POINT('Ctrl Pts',(3.370479638251,-0.809970732388,1.064979142851));
#58404=CARTESIAN_POINT('Ctrl Pts',(3.350191131876,-0.858951519637,1.064979142851));
#58405=CARTESIAN_POINT('Ctrl Pts',(3.329930862886,-0.907864135812,1.064979142851));
#58406=CARTESIAN_POINT('Ctrl Pts',(2.834850674205,-2.103093441787,1.064979142851));
#58407=CARTESIAN_POINT('Ctrl Pts',(1.727586252494,-3.009448724487,1.064979062911));
#58408=CARTESIAN_POINT('Ctrl Pts',(0.105855011951,-3.257464103804,1.064979307428));
#58409=CARTESIAN_POINT('Ctrl Pts',(-1.475741298782,-2.506760000403,1.064978963603));
#58410=CARTESIAN_POINT('Ctrl Pts',(-2.588653308495,-1.059860959896,1.064979218435));
#58411=CARTESIAN_POINT('Ctrl Pts',(-2.588653308509,1.059860959896,1.064979218442));
#58412=CARTESIAN_POINT('Ctrl Pts',(-1.475741298772,2.506760000402,1.064978963597));
#58413=CARTESIAN_POINT('Ctrl Pts',(0.105855011945,3.257464103804,1.064979307432));
#58414=CARTESIAN_POINT('Ctrl Pts',(1.727586252497,3.009448724487,1.064979062909));
#58415=CARTESIAN_POINT('Ctrl Pts',(2.834850674204,2.103093441788,1.064979142851));
#58416=CARTESIAN_POINT('Ctrl Pts',(3.329930862886,0.907864135812,1.064979142851));
#58417=CARTESIAN_POINT('Ctrl Pts',(3.350191131876,0.858951519637,1.064979142851));
#58418=CARTESIAN_POINT('Ctrl Pts',(3.370479638251,0.809970732388,1.064979142851));
#58419=CARTESIAN_POINT('Ctrl Pts',(3.390796382008,0.760921774065,1.064979142851));
#58420=CARTESIAN_POINT('Ctrl Pts',(3.411141363149,0.711804644669,1.064979142851));
#58421=CARTESIAN_POINT('Ctrl Pts',(3.431514581673,0.662619344198,1.064979142851));
#58422=CARTESIAN_POINT('Ctrl Pts',(3.451916037581,0.613365872653,1.064979142851));
#58423=CARTESIAN_POINT('Ctrl Pts',(3.472345730872,0.564044230035,1.064979142851));
#58424=CARTESIAN_POINT('Ctrl Pts',(3.492803661547,0.514654416342,1.064979142851));
#58425=CARTESIAN_POINT('Ctrl Pts',(3.513289829605,0.465196431576,1.064979142851));
#58426=CARTESIAN_POINT('Ctrl Pts',(3.533804235046,0.415670275736,1.064979142851));
#58427=CARTESIAN_POINT('Ctrl Pts',(3.533804235046,-0.415670275735,1.182962690499));
#58428=CARTESIAN_POINT('Ctrl Pts',(3.513289829604,-0.465196431576,1.182962690499));
#58429=CARTESIAN_POINT('Ctrl Pts',(3.492803661547,-0.514654416342,1.182962690499));
#58430=CARTESIAN_POINT('Ctrl Pts',(3.472345730872,-0.564044230035,1.182962690499));
#58431=CARTESIAN_POINT('Ctrl Pts',(3.451916037581,-0.613365872653,1.182962690499));
#58432=CARTESIAN_POINT('Ctrl Pts',(3.431514581673,-0.662619344198,1.182962690499));
#58433=CARTESIAN_POINT('Ctrl Pts',(3.411141363149,-0.711804644669,1.182962690499));
#58434=CARTESIAN_POINT('Ctrl Pts',(3.390796382008,-0.760921774065,1.182962690499));
#58435=CARTESIAN_POINT('Ctrl Pts',(3.37047963825,-0.809970732388,1.182962690499));
#58436=CARTESIAN_POINT('Ctrl Pts',(3.350191131876,-0.858951519637,1.182962690499));
#58437=CARTESIAN_POINT('Ctrl Pts',(3.329930862886,-0.907864135812,1.182962690499));
#58438=CARTESIAN_POINT('Ctrl Pts',(2.834850674205,-2.103093441788,1.182962690499));
#58439=CARTESIAN_POINT('Ctrl Pts',(1.727586214542,-3.009448936943,1.182962685685));
#58440=CARTESIAN_POINT('Ctrl Pts',(0.105855341319,-3.257463206685,1.18296270041));
#58441=CARTESIAN_POINT('Ctrl Pts',(-1.475741895234,-2.506761759151,1.182962679705));
#58442=CARTESIAN_POINT('Ctrl Pts',(-2.588652975416,-1.059858637809,1.182962695051));
#58443=CARTESIAN_POINT('Ctrl Pts',(-2.588652975407,1.059858637802,1.182962695051));
#58444=CARTESIAN_POINT('Ctrl Pts',(-1.475741895235,2.506761759163,1.182962679705));
#58445=CARTESIAN_POINT('Ctrl Pts',(0.105855341315,3.257463206676,1.18296270041));
#58446=CARTESIAN_POINT('Ctrl Pts',(1.727586214545,3.009448936947,1.182962685685));
#58447=CARTESIAN_POINT('Ctrl Pts',(2.834850674204,2.103093441787,1.182962690499));
#58448=CARTESIAN_POINT('Ctrl Pts',(3.329930862886,0.907864135812,1.182962690499));
#58449=CARTESIAN_POINT('Ctrl Pts',(3.350191131876,0.858951519637,1.182962690499));
#58450=CARTESIAN_POINT('Ctrl Pts',(3.370479638251,0.809970732388,1.182962690499));
#58451=CARTESIAN_POINT('Ctrl Pts',(3.390796382008,0.760921774065,1.182962690499));
#58452=CARTESIAN_POINT('Ctrl Pts',(3.411141363149,0.711804644669,1.182962690499));
#58453=CARTESIAN_POINT('Ctrl Pts',(3.431514581674,0.662619344198,1.182962690499));
#58454=CARTESIAN_POINT('Ctrl Pts',(3.451916037581,0.613365872653,1.182962690499));
#58455=CARTESIAN_POINT('Ctrl Pts',(3.472345730872,0.564044230035,1.182962690499));
#58456=CARTESIAN_POINT('Ctrl Pts',(3.492803661547,0.514654416342,1.182962690499));
#58457=CARTESIAN_POINT('Ctrl Pts',(3.513289829605,0.465196431576,1.182962690499));
#58458=CARTESIAN_POINT('Ctrl Pts',(3.533804235046,0.415670275736,1.182962690499));
#58459=CARTESIAN_POINT('Ctrl Pts',(3.459551942206,-0.384913969004,1.269338405373));
#58460=CARTESIAN_POINT('Ctrl Pts',(3.438387116582,-0.43601037807,1.269338405373));
#58461=CARTESIAN_POINT('Ctrl Pts',(3.417380612378,-0.486724565418,1.269338405373));
#58462=CARTESIAN_POINT('Ctrl Pts',(3.396532429594,-0.537056531046,1.269338405373));
#58463=CARTESIAN_POINT('Ctrl Pts',(3.37584256823,-0.587006274955,1.269338405373));
#58464=CARTESIAN_POINT('Ctrl Pts',(3.355311028286,-0.636573797145,1.269338405373));
#58465=CARTESIAN_POINT('Ctrl Pts',(3.334937809761,-0.685759097616,1.269338405373));
#58466=CARTESIAN_POINT('Ctrl Pts',(3.314722912657,-0.734562176367,1.269338405373));
#58467=CARTESIAN_POINT('Ctrl Pts',(3.294666336973,-0.782983033399,1.269338405373));
#58468=CARTESIAN_POINT('Ctrl Pts',(3.274768082708,-0.831021668713,1.269338405373));
#58469=CARTESIAN_POINT('Ctrl Pts',(3.255028149863,-0.878678082306,1.269338405373));
#58470=CARTESIAN_POINT('Ctrl Pts',(2.775863819411,-2.03548310749,1.269338405373));
#58471=CARTESIAN_POINT('Ctrl Pts',(1.704091980563,-2.912929929979,1.269338420699));
#58472=CARTESIAN_POINT('Ctrl Pts',(0.134360577618,-3.152280979089,1.26933837382));
#58473=CARTESIAN_POINT('Ctrl Pts',(-1.395396108631,-2.426388868524,1.26933843974));
#58474=CARTESIAN_POINT('Ctrl Pts',(-2.473728053044,-1.02623416819,1.269338390878));
#58475=CARTESIAN_POINT('Ctrl Pts',(-2.473728053057,1.026234168191,1.269338390886));
#58476=CARTESIAN_POINT('Ctrl Pts',(-1.395396108622,2.426388868523,1.269338439734));
#58477=CARTESIAN_POINT('Ctrl Pts',(0.134360577613,3.152280979089,1.269338373823));
#58478=CARTESIAN_POINT('Ctrl Pts',(1.704091980565,2.912929929979,1.269338420697));
#58479=CARTESIAN_POINT('Ctrl Pts',(2.775863819411,2.03548310749,1.269338405373));
#58480=CARTESIAN_POINT('Ctrl Pts',(3.255028149863,0.878678082306,1.269338405373));
#58481=CARTESIAN_POINT('Ctrl Pts',(3.274768082708,0.831021668713,1.269338405373));
#58482=CARTESIAN_POINT('Ctrl Pts',(3.294666336973,0.782983033399,1.269338405373));
#58483=CARTESIAN_POINT('Ctrl Pts',(3.314722912657,0.734562176367,1.269338405373));
#58484=CARTESIAN_POINT('Ctrl Pts',(3.334937809762,0.685759097616,1.269338405373));
#58485=CARTESIAN_POINT('Ctrl Pts',(3.355311028286,0.636573797145,1.269338405373));
#58486=CARTESIAN_POINT('Ctrl Pts',(3.37584256823,0.587006274955,1.269338405373));
#58487=CARTESIAN_POINT('Ctrl Pts',(3.396532429594,0.537056531046,1.269338405373));
#58488=CARTESIAN_POINT('Ctrl Pts',(3.417380612378,0.486724565418,1.269338405373));
#58489=CARTESIAN_POINT('Ctrl Pts',(3.438387116583,0.436010378071,1.269338405373));
#58490=CARTESIAN_POINT('Ctrl Pts',(3.459551942206,0.384913969004,1.269338405373));
#58491=CARTESIAN_POINT('',(3.2354465386434,-0.925952273686775,1.26933840537271));
#58492=CARTESIAN_POINT('Origin',(3.03253497152602,-0.841903551202375,1.06497914285059));
#58493=CARTESIAN_POINT('',(3.2354465386434,0.925952273686954,1.26933840537271));
#58494=CARTESIAN_POINT('Ctrl Pts',(3.23544653843826,-0.925952274180772,
1.269338405373));
#58495=CARTESIAN_POINT('Ctrl Pts',(2.775863819411,-2.03548310749,1.269338405373));
#58496=CARTESIAN_POINT('Ctrl Pts',(1.704091980563,-2.912929929979,1.269338420699));
#58497=CARTESIAN_POINT('Ctrl Pts',(0.134360577618,-3.152280979089,1.26933837382));
#58498=CARTESIAN_POINT('Ctrl Pts',(-1.395396108631,-2.426388868524,1.26933843974));
#58499=CARTESIAN_POINT('Ctrl Pts',(-2.473728053044,-1.02623416819,1.269338390878));
#58500=CARTESIAN_POINT('Ctrl Pts',(-2.473728053057,1.026234168191,1.269338390886));
#58501=CARTESIAN_POINT('Ctrl Pts',(-1.395396108622,2.426388868523,1.269338439734));
#58502=CARTESIAN_POINT('Ctrl Pts',(0.134360577613,3.152280979089,1.269338373823));
#58503=CARTESIAN_POINT('Ctrl Pts',(1.704091980565,2.912929929979,1.269338420697));
#58504=CARTESIAN_POINT('Ctrl Pts',(2.775863819411,2.03548310749,1.269338405373));
#58505=CARTESIAN_POINT('Ctrl Pts',(3.23544653843823,0.925952274180849,1.269338405373));
#58506=CARTESIAN_POINT('Origin',(3.03253497152724,0.841903551200077,1.06497914285083));
#58507=CARTESIAN_POINT('Ctrl Pts',(3.264001325546,1.067032118743,1.064979142851));
#58508=CARTESIAN_POINT('Ctrl Pts',(3.273140829292,1.0449674049,1.064979142851));
#58509=CARTESIAN_POINT('Ctrl Pts',(3.282280331654,1.0229026944,1.064979142851));
#58510=CARTESIAN_POINT('Ctrl Pts',(3.291419832631,1.000837987243,1.064979142851));
#58511=CARTESIAN_POINT('Ctrl Pts',(3.300559332224,0.978773283428,1.064979142851));
#58512=CARTESIAN_POINT('Ctrl Pts',(3.45997823841,0.593901998977,1.064979142851));
#58513=CARTESIAN_POINT('Ctrl Pts',(3.539038746156,0.201591257513,1.064979142851));
#58514=CARTESIAN_POINT('Ctrl Pts',(3.539039291386,-0.201589955698,1.064979142851));
#58515=CARTESIAN_POINT('Ctrl Pts',(3.45997851584,-0.593901329202,1.064979142851));
#58516=CARTESIAN_POINT('Ctrl Pts',(3.300559329455,-0.978773290113,1.064979142851));
#58517=CARTESIAN_POINT('Ctrl Pts',(3.291419828478,-1.00083799727,1.064979142851));
#58518=CARTESIAN_POINT('Ctrl Pts',(3.2822803275,-1.022902704428,1.064979142851));
#58519=CARTESIAN_POINT('Ctrl Pts',(3.273140826523,-1.044967411585,1.064979142851));
#58520=CARTESIAN_POINT('Ctrl Pts',(3.264001325546,-1.067032118743,1.064979142851));
#58521=CARTESIAN_POINT('Ctrl Pts',(3.264001325546,1.067032118743,1.182962690499));
#58522=CARTESIAN_POINT('Ctrl Pts',(3.273140829292,1.0449674049,1.182962690499));
#58523=CARTESIAN_POINT('Ctrl Pts',(3.282280331654,1.0229026944,1.182962690499));
#58524=CARTESIAN_POINT('Ctrl Pts',(3.291419832631,1.000837987243,1.182962690499));
#58525=CARTESIAN_POINT('Ctrl Pts',(3.300559332224,0.978773283428,1.182962690499));
#58526=CARTESIAN_POINT('Ctrl Pts',(3.45997823841,0.593901998977,1.182962690499));
#58527=CARTESIAN_POINT('Ctrl Pts',(3.539038746156,0.201591257513,1.182962690499));
#58528=CARTESIAN_POINT('Ctrl Pts',(3.539039291386,-0.201589955698,1.182962690499));
#58529=CARTESIAN_POINT('Ctrl Pts',(3.45997851584,-0.593901329202,1.182962690499));
#58530=CARTESIAN_POINT('Ctrl Pts',(3.300559329455,-0.978773290113,1.182962690499));
#58531=CARTESIAN_POINT('Ctrl Pts',(3.291419828478,-1.00083799727,1.182962690499));
#58532=CARTESIAN_POINT('Ctrl Pts',(3.2822803275,-1.022902704428,1.182962690499));
#58533=CARTESIAN_POINT('Ctrl Pts',(3.273140826523,-1.044967411585,1.182962690499));
#58534=CARTESIAN_POINT('Ctrl Pts',(3.264001325546,-1.067032118743,1.182962690499));
#58535=CARTESIAN_POINT('Ctrl Pts',(3.189749034566,1.036275808073,1.269338405373));
#58536=CARTESIAN_POINT('Ctrl Pts',(3.199182355483,1.013501756721,1.269338405373));
#58537=CARTESIAN_POINT('Ctrl Pts',(3.20846876641,0.991082377458,1.269338405373));
#58538=CARTESIAN_POINT('Ctrl Pts',(3.217608267348,0.969017670284,1.269338405373));
#58539=CARTESIAN_POINT('Ctrl Pts',(3.226600858298,0.9473076352,1.269338405373));
#58540=CARTESIAN_POINT('Ctrl Pts',(3.380894753976,0.574809218729,1.269338405373));
#58541=CARTESIAN_POINT('Ctrl Pts',(3.457413628123,0.195110560338,1.269338405373));
#58542=CARTESIAN_POINT('Ctrl Pts',(3.457414134116,-0.1951093668,1.269338405373));
#58543=CARTESIAN_POINT('Ctrl Pts',(3.380895040325,-0.574808527422,1.269338405373));
#58544=CARTESIAN_POINT('Ctrl Pts',(3.226600854533,-0.947307644289,1.269338405373));
#58545=CARTESIAN_POINT('Ctrl Pts',(3.217608261701,-0.969017683918,1.269338405373));
#58546=CARTESIAN_POINT('Ctrl Pts',(3.208468760763,-0.991082391091,1.269338405373));
#58547=CARTESIAN_POINT('Ctrl Pts',(3.199182351718,-1.01350176581,1.269338405373));
#58548=CARTESIAN_POINT('Ctrl Pts',(3.189749034566,-1.036275808073,1.269338405373));
#58549=CARTESIAN_POINT('Origin',(0.999984792248862,2.21905827046953E-13,
1.26933840537301));
#58550=CARTESIAN_POINT('Origin',(0.999999999999994,4.23272528138341E-15,
1.6));
#58551=CARTESIAN_POINT('',(3.23544653864339,-0.925952273686792,1.93066159462729));
#58552=CARTESIAN_POINT('Origin',(3.563765702719,-1.061946524813,1.6));
#58553=CARTESIAN_POINT('',(3.235446538439,0.925952274181,1.930661594627));
#58554=CARTESIAN_POINT('Ctrl Pts',(3.23544653843826,-0.925952274180772,
1.930661594627));
#58555=CARTESIAN_POINT('Ctrl Pts',(2.775863819411,-2.03548310749,1.930661594627));
#58556=CARTESIAN_POINT('Ctrl Pts',(1.704091980563,-2.912929929979,1.930661579303));
#58557=CARTESIAN_POINT('Ctrl Pts',(0.134360577617,-3.15228097909,1.930661626176));
#58558=CARTESIAN_POINT('Ctrl Pts',(-1.395396108631,-2.426388868523,1.930661560267));
#58559=CARTESIAN_POINT('Ctrl Pts',(-2.473728053045,-1.026234168191,1.930661609113));
#58560=CARTESIAN_POINT('Ctrl Pts',(-2.473728053056,1.026234168191,1.930661609123));
#58561=CARTESIAN_POINT('Ctrl Pts',(-1.395396108622,2.426388868523,1.93066156026));
#58562=CARTESIAN_POINT('Ctrl Pts',(0.134360577613,3.15228097909,1.93066162618));
#58563=CARTESIAN_POINT('Ctrl Pts',(1.704091980565,2.912929929979,1.930661579301));
#58564=CARTESIAN_POINT('Ctrl Pts',(2.775863819411,2.03548310749,1.930661594627));
#58565=CARTESIAN_POINT('Ctrl Pts',(3.23544653843823,0.925952274180849,1.930661594627));
#58566=CARTESIAN_POINT('Origin',(3.563765702719,1.061946524813,1.6));
#58567=CARTESIAN_POINT('Origin',(0.999999999999994,-4.85722573273506E-16,
1.6));
#58568=CARTESIAN_POINT('',(3.40667985237096,0.250000007228939,1.93066152952625));
#58569=CARTESIAN_POINT('Origin',(1.00013796093969,3.58830364764751E-5,1.930661594627));
#58570=CARTESIAN_POINT('',(3.299471489861,0.25,1.749));
#58571=CARTESIAN_POINT('Origin',(3.76083150749666,0.250205711109552,1.59919158048914));
#58572=CARTESIAN_POINT('',(3.299999782609,0.0009999999999998,1.5));
#58573=CARTESIAN_POINT('Ctrl Pts',(3.2999997826085,0.000999999999998221,
1.4999999999999));
#58574=CARTESIAN_POINT('Ctrl Pts',(3.29999373545258,0.0149084572564804,
1.5));
#58575=CARTESIAN_POINT('Ctrl Pts',(3.29960505461524,0.028573795014859,1.50119656863026));
#58576=CARTESIAN_POINT('Ctrl Pts',(3.29891002972564,0.0418477985923825,
1.50342963359956));
#58577=CARTESIAN_POINT('Ctrl Pts',(3.29808534048705,0.0575982099334963,
1.50607930079399));
#58578=CARTESIAN_POINT('Ctrl Pts',(3.29683026293004,0.0727969729535928,
1.51018888247509));
#58579=CARTESIAN_POINT('Ctrl Pts',(3.29534309976359,0.0872112963190775,
1.51549955682055));
#58580=CARTESIAN_POINT('Ctrl Pts',(3.29342751442356,0.105778099981439,1.52234013097633));
#58581=CARTESIAN_POINT('Ctrl Pts',(3.29113199028882,0.123042315091839,1.5311760480639));
#58582=CARTESIAN_POINT('Ctrl Pts',(3.28894375306272,0.138548352133641,1.54144032734755));
#58583=CARTESIAN_POINT('Ctrl Pts',(3.28881435610337,0.139465270107989,1.54204728463415));
#58584=CARTESIAN_POINT('Ctrl Pts',(3.28868533131977,0.140376051460508,1.5426592261679));
#58585=CARTESIAN_POINT('Ctrl Pts',(3.28855677651528,0.141280605860521,1.54327603051785));
#58586=CARTESIAN_POINT('Ctrl Pts',(3.28648796206806,0.155837473014486,1.55320217645454));
#58587=CARTESIAN_POINT('Ctrl Pts',(3.28454619396048,0.168898912464743,1.56430711077819));
#58588=CARTESIAN_POINT('Ctrl Pts',(3.28304041641568,0.180487913572508,1.57636338398165));
#58589=CARTESIAN_POINT('Ctrl Pts',(3.28165641127248,0.191139710840402,1.58744466499763));
#58590=CARTESIAN_POINT('Ctrl Pts',(3.28064159101264,0.200548359466155,1.59932934355938));
#58591=CARTESIAN_POINT('Ctrl Pts',(3.28019767244686,0.208733455495467,1.61181691499574));
#58592=CARTESIAN_POINT('Ctrl Pts',(3.27978235864809,0.216391128743131,1.62349982517468));
#58593=CARTESIAN_POINT('Ctrl Pts',(3.27986704985523,0.22297825971782,1.63570996876333));
#58594=CARTESIAN_POINT('Ctrl Pts',(3.28057441592804,0.228504618413371,1.64826679702883));
#58595=CARTESIAN_POINT('Ctrl Pts',(3.28126594544178,0.233907252619985,1.66054250218137));
#58596=CARTESIAN_POINT('Ctrl Pts',(3.2825528776653,0.238296343897212,1.67314906077281));
#58597=CARTESIAN_POINT('Ctrl Pts',(3.28450315494075,0.241669989477558,1.68590836157941));
#58598=CARTESIAN_POINT('Ctrl Pts',(3.28641777014677,0.24498194578713,1.69843435039647));
#58599=CARTESIAN_POINT('Ctrl Pts',(3.28897178313474,0.247315364591595,1.71110701051137));
#58600=CARTESIAN_POINT('Ctrl Pts',(3.29218115808117,0.248654951089412,1.72375617832453));
#58601=CARTESIAN_POINT('Ctrl Pts',(3.29432294931481,0.249548930342569,1.73219765904496));
#58602=CARTESIAN_POINT('Ctrl Pts',(3.29675560220787,0.249999999999984,1.74062865014625));
#58603=CARTESIAN_POINT('Ctrl Pts',(3.29947148986096,0.249999999999996,1.74900000000001));
#58604=CARTESIAN_POINT('',(3.299999782609,-0.001,1.5));
#58605=CARTESIAN_POINT('',(3.29999978260851,-0.00100000000000128,1.4999999999999));
#58606=CARTESIAN_POINT('',(3.299471489861,-0.25,1.749));
#58607=CARTESIAN_POINT('Ctrl Pts',(3.29947148986096,-0.249999999999996,
1.74900000000001));
#58608=CARTESIAN_POINT('Ctrl Pts',(3.29540598595545,-0.24999999999997,1.73646864382036));
#58609=CARTESIAN_POINT('Ctrl Pts',(3.29197742981535,-0.248989822809513,
1.72380314001317));
#58610=CARTESIAN_POINT('Ctrl Pts',(3.28920315223373,-0.246994463685618,
1.71116974589425));
#58611=CARTESIAN_POINT('Ctrl Pts',(3.28639695170126,-0.244976144439906,
1.69839098232054));
#58612=CARTESIAN_POINT('Ctrl Pts',(3.28426016206211,-0.241949810770825,
1.68564564082205));
#58613=CARTESIAN_POINT('Ctrl Pts',(3.28275929820117,-0.237926393478334,
1.67310970978948));
#58614=CARTESIAN_POINT('Ctrl Pts',(3.28119892665533,-0.233743451891416,
1.66007674218139));
#58615=CARTESIAN_POINT('Ctrl Pts',(3.28032589998779,-0.228482652905518,
1.64727073454361));
#58616=CARTESIAN_POINT('Ctrl Pts',(3.28004703652619,-0.222141377723447,
1.63488439644934));
#58617=CARTESIAN_POINT('Ctrl Pts',(3.27975846584105,-0.215579363060586,
1.62206689052821));
#58618=CARTESIAN_POINT('Ctrl Pts',(3.28010575911409,-0.207859965976685,
1.60969934033952));
#58619=CARTESIAN_POINT('Ctrl Pts',(3.28093030097584,-0.19896861384459,1.59798061063561));
#58620=CARTESIAN_POINT('Ctrl Pts',(3.28183374686722,-0.18922640920307,1.58514046425315));
#58621=CARTESIAN_POINT('Ctrl Pts',(3.28330966665956,-0.178076520263906,
1.57307990610999));
#58622=CARTESIAN_POINT('Ctrl Pts',(3.28508990778315,-0.16549434787846,1.56203275039685));
#58623=CARTESIAN_POINT('Ctrl Pts',(3.28616702228939,-0.157881648555404,
1.55534879533386));
#58624=CARTESIAN_POINT('Ctrl Pts',(3.28735440896221,-0.149744574230361,
1.54903520464159));
#58625=CARTESIAN_POINT('Ctrl Pts',(3.28858546073392,-0.141078730533144,
1.54313851926005));
#58626=CARTESIAN_POINT('Ctrl Pts',(3.290745912129,-0.125870487845464,1.53279004939737));
#58627=CARTESIAN_POINT('Ctrl Pts',(3.29303858165536,-0.108902371871215,
1.52381891410027));
#58628=CARTESIAN_POINT('Ctrl Pts',(3.29498579488703,-0.0906196557318407,
1.5167829154344));
#58629=CARTESIAN_POINT('Ctrl Pts',(3.29644824427168,-0.0768884697822246,
1.51149854711157));
#58630=CARTESIAN_POINT('Ctrl Pts',(3.29771317903527,-0.0624163790563024,
1.50730435025153));
#58631=CARTESIAN_POINT('Ctrl Pts',(3.298600060529,-0.0474088214015819,1.5044299301559));
#58632=CARTESIAN_POINT('Ctrl Pts',(3.29938169837495,-0.0341821669711629,
1.50189660913409));
#58633=CARTESIAN_POINT('Ctrl Pts',(3.29986871078754,-0.0205399587059923,
1.50038795503295));
#58634=CARTESIAN_POINT('Ctrl Pts',(3.29997668358518,-0.00663197368982201,
1.50006535760172));
#58635=CARTESIAN_POINT('Ctrl Pts',(3.29999122158528,-0.00475933269136426,
1.50002192146234));
#58636=CARTESIAN_POINT('Ctrl Pts',(3.29999896439823,-0.00288188343714895,
1.4999999999999));
#58637=CARTESIAN_POINT('Ctrl Pts',(3.2999997826085,-0.000999999999999332,
1.4999999999999));
#58638=CARTESIAN_POINT('',(3.40667988706986,-0.250000005928588,1.93066154123679));
#58639=CARTESIAN_POINT('Origin',(3.76083150772886,-0.250205711041586,1.59919158037404));
#58640=CARTESIAN_POINT('Origin',(1.00014006224057,-3.62472349496254E-5,
1.930661594627));
#58641=CARTESIAN_POINT('Ctrl Pts',(3.459551942206,-0.384913969004,1.930661594627));
#58642=CARTESIAN_POINT('Ctrl Pts',(3.438387116582,-0.43601037807,1.930661594627));
#58643=CARTESIAN_POINT('Ctrl Pts',(3.417380612378,-0.486724565418,1.930661594627));
#58644=CARTESIAN_POINT('Ctrl Pts',(3.396532429594,-0.537056531046,1.930661594627));
#58645=CARTESIAN_POINT('Ctrl Pts',(3.37584256823,-0.587006274955,1.930661594627));
#58646=CARTESIAN_POINT('Ctrl Pts',(3.355311028286,-0.636573797145,1.930661594627));
#58647=CARTESIAN_POINT('Ctrl Pts',(3.334937809762,-0.685759097616,1.930661594627));
#58648=CARTESIAN_POINT('Ctrl Pts',(3.314722912657,-0.734562176367,1.930661594627));
#58649=CARTESIAN_POINT('Ctrl Pts',(3.294666336973,-0.782983033399,1.930661594627));
#58650=CARTESIAN_POINT('Ctrl Pts',(3.274768082708,-0.831021668713,1.930661594627));
#58651=CARTESIAN_POINT('Ctrl Pts',(3.255028149863,-0.878678082306,1.930661594627));
#58652=CARTESIAN_POINT('Ctrl Pts',(2.775863819411,-2.03548310749,1.930661594627));
#58653=CARTESIAN_POINT('Ctrl Pts',(1.704091980563,-2.912929929979,1.930661579303));
#58654=CARTESIAN_POINT('Ctrl Pts',(0.134360577617,-3.15228097909,1.930661626176));
#58655=CARTESIAN_POINT('Ctrl Pts',(-1.395396108631,-2.426388868523,1.930661560267));
#58656=CARTESIAN_POINT('Ctrl Pts',(-2.473728053045,-1.026234168191,1.930661609113));
#58657=CARTESIAN_POINT('Ctrl Pts',(-2.473728053056,1.026234168191,1.930661609123));
#58658=CARTESIAN_POINT('Ctrl Pts',(-1.395396108622,2.426388868523,1.93066156026));
#58659=CARTESIAN_POINT('Ctrl Pts',(0.134360577613,3.15228097909,1.93066162618));
#58660=CARTESIAN_POINT('Ctrl Pts',(1.704091980565,2.912929929979,1.930661579301));
#58661=CARTESIAN_POINT('Ctrl Pts',(2.775863819411,2.03548310749,1.930661594627));
#58662=CARTESIAN_POINT('Ctrl Pts',(3.255028149863,0.878678082306,1.930661594627));
#58663=CARTESIAN_POINT('Ctrl Pts',(3.274768082708,0.831021668713,1.930661594627));
#58664=CARTESIAN_POINT('Ctrl Pts',(3.294666336973,0.782983033399,1.930661594627));
#58665=CARTESIAN_POINT('Ctrl Pts',(3.314722912657,0.734562176367,1.930661594627));
#58666=CARTESIAN_POINT('Ctrl Pts',(3.334937809762,0.685759097616,1.930661594627));
#58667=CARTESIAN_POINT('Ctrl Pts',(3.355311028286,0.636573797145,1.930661594627));
#58668=CARTESIAN_POINT('Ctrl Pts',(3.37584256823,0.587006274955,1.930661594627));
#58669=CARTESIAN_POINT('Ctrl Pts',(3.396532429594,0.537056531046,1.930661594627));
#58670=CARTESIAN_POINT('Ctrl Pts',(3.417380612378,0.486724565418,1.930661594627));
#58671=CARTESIAN_POINT('Ctrl Pts',(3.438387116582,0.436010378071,1.930661594627));
#58672=CARTESIAN_POINT('Ctrl Pts',(3.459551942206,0.384913969004,1.930661594627));
#58673=CARTESIAN_POINT('Ctrl Pts',(3.533804235046,-0.415670275735,2.017037309501));
#58674=CARTESIAN_POINT('Ctrl Pts',(3.513289829604,-0.465196431576,2.017037309501));
#58675=CARTESIAN_POINT('Ctrl Pts',(3.492803661547,-0.514654416342,2.017037309501));
#58676=CARTESIAN_POINT('Ctrl Pts',(3.472345730872,-0.564044230035,2.017037309501));
#58677=CARTESIAN_POINT('Ctrl Pts',(3.451916037581,-0.613365872653,2.017037309501));
#58678=CARTESIAN_POINT('Ctrl Pts',(3.431514581673,-0.662619344198,2.017037309501));
#58679=CARTESIAN_POINT('Ctrl Pts',(3.411141363149,-0.711804644669,2.017037309501));
#58680=CARTESIAN_POINT('Ctrl Pts',(3.390796382008,-0.760921774065,2.017037309501));
#58681=CARTESIAN_POINT('Ctrl Pts',(3.37047963825,-0.809970732388,2.017037309501));
#58682=CARTESIAN_POINT('Ctrl Pts',(3.350191131876,-0.858951519637,2.017037309501));
#58683=CARTESIAN_POINT('Ctrl Pts',(3.329930862886,-0.907864135812,2.017037309501));
#58684=CARTESIAN_POINT('Ctrl Pts',(2.834850674205,-2.103093441788,2.017037309501));
#58685=CARTESIAN_POINT('Ctrl Pts',(1.727586214542,-3.009448936944,2.017037314315));
#58686=CARTESIAN_POINT('Ctrl Pts',(0.105855341319,-3.257463206685,2.01703729959));
#58687=CARTESIAN_POINT('Ctrl Pts',(-1.475741895236,-2.506761759151,2.017037320295));
#58688=CARTESIAN_POINT('Ctrl Pts',(-2.588652975413,-1.05985863781,2.017037304949));
#58689=CARTESIAN_POINT('Ctrl Pts',(-2.58865297541,1.059858637805,2.017037304949));
#58690=CARTESIAN_POINT('Ctrl Pts',(-1.475741895234,2.506761759161,2.017037320295));
#58691=CARTESIAN_POINT('Ctrl Pts',(0.105855341315,3.257463206678,2.01703729959));
#58692=CARTESIAN_POINT('Ctrl Pts',(1.727586214545,3.009448936946,2.017037314315));
#58693=CARTESIAN_POINT('Ctrl Pts',(2.834850674204,2.103093441787,2.017037309501));
#58694=CARTESIAN_POINT('Ctrl Pts',(3.329930862886,0.907864135812,2.017037309501));
#58695=CARTESIAN_POINT('Ctrl Pts',(3.350191131876,0.858951519637,2.017037309501));
#58696=CARTESIAN_POINT('Ctrl Pts',(3.370479638251,0.809970732388,2.017037309501));
#58697=CARTESIAN_POINT('Ctrl Pts',(3.390796382008,0.760921774065,2.017037309501));
#58698=CARTESIAN_POINT('Ctrl Pts',(3.411141363149,0.711804644669,2.017037309501));
#58699=CARTESIAN_POINT('Ctrl Pts',(3.431514581674,0.662619344198,2.017037309501));
#58700=CARTESIAN_POINT('Ctrl Pts',(3.451916037581,0.613365872653,2.017037309501));
#58701=CARTESIAN_POINT('Ctrl Pts',(3.472345730872,0.564044230035,2.017037309501));
#58702=CARTESIAN_POINT('Ctrl Pts',(3.492803661547,0.514654416342,2.017037309501));
#58703=CARTESIAN_POINT('Ctrl Pts',(3.513289829605,0.465196431576,2.017037309501));
#58704=CARTESIAN_POINT('Ctrl Pts',(3.533804235046,0.415670275736,2.017037309501));
#58705=CARTESIAN_POINT('Ctrl Pts',(3.533804235046,-0.415670275735,2.135020857149));
#58706=CARTESIAN_POINT('Ctrl Pts',(3.513289829604,-0.465196431576,2.135020857149));
#58707=CARTESIAN_POINT('Ctrl Pts',(3.492803661547,-0.514654416342,2.135020857149));
#58708=CARTESIAN_POINT('Ctrl Pts',(3.472345730872,-0.564044230035,2.135020857149));
#58709=CARTESIAN_POINT('Ctrl Pts',(3.451916037581,-0.613365872653,2.135020857149));
#58710=CARTESIAN_POINT('Ctrl Pts',(3.431514581673,-0.662619344198,2.135020857149));
#58711=CARTESIAN_POINT('Ctrl Pts',(3.411141363149,-0.711804644669,2.135020857149));
#58712=CARTESIAN_POINT('Ctrl Pts',(3.390796382008,-0.760921774065,2.135020857149));
#58713=CARTESIAN_POINT('Ctrl Pts',(3.370479638251,-0.809970732388,2.135020857149));
#58714=CARTESIAN_POINT('Ctrl Pts',(3.350191131876,-0.858951519637,2.135020857149));
#58715=CARTESIAN_POINT('Ctrl Pts',(3.329930862886,-0.907864135812,2.135020857149));
#58716=CARTESIAN_POINT('Ctrl Pts',(2.834850674205,-2.103093441788,2.135020857149));
#58717=CARTESIAN_POINT('Ctrl Pts',(1.727586252495,-3.009448724487,2.135020937091));
#58718=CARTESIAN_POINT('Ctrl Pts',(0.10585501195,-3.257464103805,2.135020692567));
#58719=CARTESIAN_POINT('Ctrl Pts',(-1.475741298782,-2.5067600004,2.135021036405));
#58720=CARTESIAN_POINT('Ctrl Pts',(-2.588653308495,-1.059860959899,2.135020781556));
#58721=CARTESIAN_POINT('Ctrl Pts',(-2.588653308509,1.059860959899,2.135020781567));
#58722=CARTESIAN_POINT('Ctrl Pts',(-1.475741298771,2.5067600004,2.135021036396));
#58723=CARTESIAN_POINT('Ctrl Pts',(0.105855011945,3.257464103806,2.135020692572));
#58724=CARTESIAN_POINT('Ctrl Pts',(1.727586252497,3.009448724487,2.135020937089));
#58725=CARTESIAN_POINT('Ctrl Pts',(2.834850674204,2.103093441788,2.135020857149));
#58726=CARTESIAN_POINT('Ctrl Pts',(3.329930862886,0.907864135812,2.135020857149));
#58727=CARTESIAN_POINT('Ctrl Pts',(3.350191131876,0.858951519637,2.135020857149));
#58728=CARTESIAN_POINT('Ctrl Pts',(3.370479638251,0.809970732388,2.135020857149));
#58729=CARTESIAN_POINT('Ctrl Pts',(3.390796382008,0.760921774065,2.135020857149));
#58730=CARTESIAN_POINT('Ctrl Pts',(3.411141363149,0.711804644669,2.135020857149));
#58731=CARTESIAN_POINT('Ctrl Pts',(3.431514581673,0.662619344198,2.135020857149));
#58732=CARTESIAN_POINT('Ctrl Pts',(3.451916037581,0.613365872653,2.135020857149));
#58733=CARTESIAN_POINT('Ctrl Pts',(3.472345730872,0.564044230035,2.135020857149));
#58734=CARTESIAN_POINT('Ctrl Pts',(3.492803661547,0.514654416342,2.135020857149));
#58735=CARTESIAN_POINT('Ctrl Pts',(3.513289829605,0.465196431576,2.135020857149));
#58736=CARTESIAN_POINT('Ctrl Pts',(3.533804235046,0.415670275736,2.135020857149));
#58737=CARTESIAN_POINT('',(3.309698831278,-0.956708580913,2.135020857149));
#58738=CARTESIAN_POINT('Origin',(3.03253497152594,-0.841903551202471,2.13502085714945));
#58739=CARTESIAN_POINT('',(3.309698831278,0.956708580913,2.135020857149));
#58740=CARTESIAN_POINT('Origin',(1.,8.32667268468867E-16,2.13502085783531));
#58741=CARTESIAN_POINT('Origin',(3.03253497152824,0.84190355120098,2.13502085714922));
#58742=CARTESIAN_POINT('Ctrl Pts',(3.189749034566,1.036275808073,1.930661594627));
#58743=CARTESIAN_POINT('Ctrl Pts',(3.199182355483,1.013501756721,1.930661594627));
#58744=CARTESIAN_POINT('Ctrl Pts',(3.20846876641,0.991082377458,1.930661594627));
#58745=CARTESIAN_POINT('Ctrl Pts',(3.217608267348,0.969017670284,1.930661594627));
#58746=CARTESIAN_POINT('Ctrl Pts',(3.226600858298,0.9473076352,1.930661594627));
#58747=CARTESIAN_POINT('Ctrl Pts',(3.380894753976,0.574809218729,1.930661594627));
#58748=CARTESIAN_POINT('Ctrl Pts',(3.457413628123,0.195110560338,1.930661594627));
#58749=CARTESIAN_POINT('Ctrl Pts',(3.457414134116,-0.1951093668,1.930661594627));
#58750=CARTESIAN_POINT('Ctrl Pts',(3.380895040325,-0.574808527422,1.930661594627));
#58751=CARTESIAN_POINT('Ctrl Pts',(3.226600854533,-0.947307644289,1.930661594627));
#58752=CARTESIAN_POINT('Ctrl Pts',(3.217608261701,-0.969017683918,1.930661594627));
#58753=CARTESIAN_POINT('Ctrl Pts',(3.208468760763,-0.991082391091,1.930661594627));
#58754=CARTESIAN_POINT('Ctrl Pts',(3.199182351718,-1.01350176581,1.930661594627));
#58755=CARTESIAN_POINT('Ctrl Pts',(3.189749034566,-1.036275808073,1.930661594627));
#58756=CARTESIAN_POINT('Ctrl Pts',(3.264001325546,1.067032118743,2.017037309501));
#58757=CARTESIAN_POINT('Ctrl Pts',(3.273140829292,1.0449674049,2.017037309501));
#58758=CARTESIAN_POINT('Ctrl Pts',(3.282280331654,1.0229026944,2.017037309501));
#58759=CARTESIAN_POINT('Ctrl Pts',(3.291419832631,1.000837987243,2.017037309501));
#58760=CARTESIAN_POINT('Ctrl Pts',(3.300559332224,0.978773283428,2.017037309501));
#58761=CARTESIAN_POINT('Ctrl Pts',(3.45997823841,0.593901998977,2.017037309501));
#58762=CARTESIAN_POINT('Ctrl Pts',(3.539038746156,0.201591257513,2.017037309501));
#58763=CARTESIAN_POINT('Ctrl Pts',(3.539039291386,-0.201589955698,2.017037309501));
#58764=CARTESIAN_POINT('Ctrl Pts',(3.45997851584,-0.593901329202,2.017037309501));
#58765=CARTESIAN_POINT('Ctrl Pts',(3.300559329455,-0.978773290113,2.017037309501));
#58766=CARTESIAN_POINT('Ctrl Pts',(3.291419828478,-1.00083799727,2.017037309501));
#58767=CARTESIAN_POINT('Ctrl Pts',(3.2822803275,-1.022902704428,2.017037309501));
#58768=CARTESIAN_POINT('Ctrl Pts',(3.273140826523,-1.044967411585,2.017037309501));
#58769=CARTESIAN_POINT('Ctrl Pts',(3.264001325546,-1.067032118743,2.017037309501));
#58770=CARTESIAN_POINT('Ctrl Pts',(3.264001325546,1.067032118743,2.135020857149));
#58771=CARTESIAN_POINT('Ctrl Pts',(3.273140829292,1.0449674049,2.135020857149));
#58772=CARTESIAN_POINT('Ctrl Pts',(3.282280331654,1.0229026944,2.135020857149));
#58773=CARTESIAN_POINT('Ctrl Pts',(3.291419832631,1.000837987243,2.135020857149));
#58774=CARTESIAN_POINT('Ctrl Pts',(3.300559332224,0.978773283428,2.135020857149));
#58775=CARTESIAN_POINT('Ctrl Pts',(3.45997823841,0.593901998977,2.135020857149));
#58776=CARTESIAN_POINT('Ctrl Pts',(3.539038746156,0.201591257513,2.135020857149));
#58777=CARTESIAN_POINT('Ctrl Pts',(3.539039291386,-0.201589955698,2.135020857149));
#58778=CARTESIAN_POINT('Ctrl Pts',(3.45997851584,-0.593901329202,2.135020857149));
#58779=CARTESIAN_POINT('Ctrl Pts',(3.300559329455,-0.978773290113,2.135020857149));
#58780=CARTESIAN_POINT('Ctrl Pts',(3.291419828478,-1.00083799727,2.135020857149));
#58781=CARTESIAN_POINT('Ctrl Pts',(3.2822803275,-1.022902704428,2.135020857149));
#58782=CARTESIAN_POINT('Ctrl Pts',(3.273140826523,-1.044967411585,2.135020857149));
#58783=CARTESIAN_POINT('Ctrl Pts',(3.264001325546,-1.067032118743,2.135020857149));
#58784=CARTESIAN_POINT('',(3.48746843050709,0.250000015984736,2.135020857149));
#58785=CARTESIAN_POINT('Origin',(1.,-2.08166817117217E-15,2.135020857149));
#58786=CARTESIAN_POINT('Origin',(3.18599522403567,0.250000003105768,2.13509121639481));
#58787=CARTESIAN_POINT('Origin',(0.999999999999994,-4.85722573273506E-16,
1.6));
#58788=CARTESIAN_POINT('Origin',(0.999828086586544,-2.27292238710874E-7,
1.930661594627));
#58789=CARTESIAN_POINT('Ctrl Pts',(3.189749034566,1.036275808073,1.930661594627));
#58790=CARTESIAN_POINT('Ctrl Pts',(3.199182355483,1.013501756721,1.930661594627));
#58791=CARTESIAN_POINT('Ctrl Pts',(3.20846876641,0.991082377458,1.930661594627));
#58792=CARTESIAN_POINT('Ctrl Pts',(3.217608267348,0.969017670284,1.930661594627));
#58793=CARTESIAN_POINT('Ctrl Pts',(3.226600858298,0.9473076352,1.930661594627));
#58794=CARTESIAN_POINT('Ctrl Pts',(3.380894753976,0.574809218729,1.930661594627));
#58795=CARTESIAN_POINT('Ctrl Pts',(3.457413628123,0.195110560338,1.930661594627));
#58796=CARTESIAN_POINT('Ctrl Pts',(3.457414134116,-0.1951093668,1.930661594627));
#58797=CARTESIAN_POINT('Ctrl Pts',(3.380895040325,-0.574808527422,1.930661594627));
#58798=CARTESIAN_POINT('Ctrl Pts',(3.226600854533,-0.947307644289,1.930661594627));
#58799=CARTESIAN_POINT('Ctrl Pts',(3.217608261701,-0.969017683918,1.930661594627));
#58800=CARTESIAN_POINT('Ctrl Pts',(3.208468760763,-0.991082391091,1.930661594627));
#58801=CARTESIAN_POINT('Ctrl Pts',(3.199182351718,-1.01350176581,1.930661594627));
#58802=CARTESIAN_POINT('Ctrl Pts',(3.189749034566,-1.036275808073,1.930661594627));
#58803=CARTESIAN_POINT('Ctrl Pts',(3.264001325546,1.067032118743,2.017037309501));
#58804=CARTESIAN_POINT('Ctrl Pts',(3.273140829292,1.0449674049,2.017037309501));
#58805=CARTESIAN_POINT('Ctrl Pts',(3.282280331654,1.0229026944,2.017037309501));
#58806=CARTESIAN_POINT('Ctrl Pts',(3.291419832631,1.000837987243,2.017037309501));
#58807=CARTESIAN_POINT('Ctrl Pts',(3.300559332224,0.978773283428,2.017037309501));
#58808=CARTESIAN_POINT('Ctrl Pts',(3.45997823841,0.593901998977,2.017037309501));
#58809=CARTESIAN_POINT('Ctrl Pts',(3.539038746156,0.201591257513,2.017037309501));
#58810=CARTESIAN_POINT('Ctrl Pts',(3.539039291386,-0.201589955698,2.017037309501));
#58811=CARTESIAN_POINT('Ctrl Pts',(3.45997851584,-0.593901329202,2.017037309501));
#58812=CARTESIAN_POINT('Ctrl Pts',(3.300559329455,-0.978773290113,2.017037309501));
#58813=CARTESIAN_POINT('Ctrl Pts',(3.291419828478,-1.00083799727,2.017037309501));
#58814=CARTESIAN_POINT('Ctrl Pts',(3.2822803275,-1.022902704428,2.017037309501));
#58815=CARTESIAN_POINT('Ctrl Pts',(3.273140826523,-1.044967411585,2.017037309501));
#58816=CARTESIAN_POINT('Ctrl Pts',(3.264001325546,-1.067032118743,2.017037309501));
#58817=CARTESIAN_POINT('Ctrl Pts',(3.264001325546,1.067032118743,2.135020857149));
#58818=CARTESIAN_POINT('Ctrl Pts',(3.273140829292,1.0449674049,2.135020857149));
#58819=CARTESIAN_POINT('Ctrl Pts',(3.282280331654,1.0229026944,2.135020857149));
#58820=CARTESIAN_POINT('Ctrl Pts',(3.291419832631,1.000837987243,2.135020857149));
#58821=CARTESIAN_POINT('Ctrl Pts',(3.300559332224,0.978773283428,2.135020857149));
#58822=CARTESIAN_POINT('Ctrl Pts',(3.45997823841,0.593901998977,2.135020857149));
#58823=CARTESIAN_POINT('Ctrl Pts',(3.539038746156,0.201591257513,2.135020857149));
#58824=CARTESIAN_POINT('Ctrl Pts',(3.539039291386,-0.201589955698,2.135020857149));
#58825=CARTESIAN_POINT('Ctrl Pts',(3.45997851584,-0.593901329202,2.135020857149));
#58826=CARTESIAN_POINT('Ctrl Pts',(3.300559329455,-0.978773290113,2.135020857149));
#58827=CARTESIAN_POINT('Ctrl Pts',(3.291419828478,-1.00083799727,2.135020857149));
#58828=CARTESIAN_POINT('Ctrl Pts',(3.2822803275,-1.022902704428,2.135020857149));
#58829=CARTESIAN_POINT('Ctrl Pts',(3.273140826523,-1.044967411585,2.135020857149));
#58830=CARTESIAN_POINT('Ctrl Pts',(3.264001325546,-1.067032118743,2.135020857149));
#58831=CARTESIAN_POINT('',(3.4874684529144,-0.250000013777301,2.135020857149));
#58832=CARTESIAN_POINT('Origin',(3.18599527869264,-0.250000003108955,2.1350912160875));
#58833=CARTESIAN_POINT('Origin',(0.999999999999994,1.2941037130787E-14,
2.135020857149));
#58834=CARTESIAN_POINT('Origin',(1.,0.,2.));
#58835=CARTESIAN_POINT('',(3.309698831278,-0.956708580913,4.8));
#58836=CARTESIAN_POINT('',(3.309698831278,0.956708580913,4.8));
#58837=CARTESIAN_POINT('Origin',(1.,0.,4.8));
#58838=CARTESIAN_POINT('',(3.309698831278,0.956708580913,2.));
#58839=CARTESIAN_POINT('',(3.309698831278,-0.956708580913,2.));
#58840=CARTESIAN_POINT('Ctrl Pts',(3.189749034566,1.036275808073,1.930661594627));
#58841=CARTESIAN_POINT('Ctrl Pts',(3.199182355483,1.013501756721,1.930661594627));
#58842=CARTESIAN_POINT('Ctrl Pts',(3.20846876641,0.991082377458,1.930661594627));
#58843=CARTESIAN_POINT('Ctrl Pts',(3.217608267348,0.969017670284,1.930661594627));
#58844=CARTESIAN_POINT('Ctrl Pts',(3.226600858298,0.9473076352,1.930661594627));
#58845=CARTESIAN_POINT('Ctrl Pts',(3.380894753976,0.574809218729,1.930661594627));
#58846=CARTESIAN_POINT('Ctrl Pts',(3.457413628123,0.195110560338,1.930661594627));
#58847=CARTESIAN_POINT('Ctrl Pts',(3.457414134116,-0.1951093668,1.930661594627));
#58848=CARTESIAN_POINT('Ctrl Pts',(3.380895040325,-0.574808527422,1.930661594627));
#58849=CARTESIAN_POINT('Ctrl Pts',(3.226600854533,-0.947307644289,1.930661594627));
#58850=CARTESIAN_POINT('Ctrl Pts',(3.217608261701,-0.969017683918,1.930661594627));
#58851=CARTESIAN_POINT('Ctrl Pts',(3.208468760763,-0.991082391091,1.930661594627));
#58852=CARTESIAN_POINT('Ctrl Pts',(3.199182351718,-1.01350176581,1.930661594627));
#58853=CARTESIAN_POINT('Ctrl Pts',(3.189749034566,-1.036275808073,1.930661594627));
#58854=CARTESIAN_POINT('Ctrl Pts',(3.264001325546,1.067032118743,2.017037309501));
#58855=CARTESIAN_POINT('Ctrl Pts',(3.273140829292,1.0449674049,2.017037309501));
#58856=CARTESIAN_POINT('Ctrl Pts',(3.282280331654,1.0229026944,2.017037309501));
#58857=CARTESIAN_POINT('Ctrl Pts',(3.291419832631,1.000837987243,2.017037309501));
#58858=CARTESIAN_POINT('Ctrl Pts',(3.300559332224,0.978773283428,2.017037309501));
#58859=CARTESIAN_POINT('Ctrl Pts',(3.45997823841,0.593901998977,2.017037309501));
#58860=CARTESIAN_POINT('Ctrl Pts',(3.539038746156,0.201591257513,2.017037309501));
#58861=CARTESIAN_POINT('Ctrl Pts',(3.539039291386,-0.201589955698,2.017037309501));
#58862=CARTESIAN_POINT('Ctrl Pts',(3.45997851584,-0.593901329202,2.017037309501));
#58863=CARTESIAN_POINT('Ctrl Pts',(3.300559329455,-0.978773290113,2.017037309501));
#58864=CARTESIAN_POINT('Ctrl Pts',(3.291419828478,-1.00083799727,2.017037309501));
#58865=CARTESIAN_POINT('Ctrl Pts',(3.2822803275,-1.022902704428,2.017037309501));
#58866=CARTESIAN_POINT('Ctrl Pts',(3.273140826523,-1.044967411585,2.017037309501));
#58867=CARTESIAN_POINT('Ctrl Pts',(3.264001325546,-1.067032118743,2.017037309501));
#58868=CARTESIAN_POINT('Ctrl Pts',(3.264001325546,1.067032118743,2.135020857149));
#58869=CARTESIAN_POINT('Ctrl Pts',(3.273140829292,1.0449674049,2.135020857149));
#58870=CARTESIAN_POINT('Ctrl Pts',(3.282280331654,1.0229026944,2.135020857149));
#58871=CARTESIAN_POINT('Ctrl Pts',(3.291419832631,1.000837987243,2.135020857149));
#58872=CARTESIAN_POINT('Ctrl Pts',(3.300559332224,0.978773283428,2.135020857149));
#58873=CARTESIAN_POINT('Ctrl Pts',(3.45997823841,0.593901998977,2.135020857149));
#58874=CARTESIAN_POINT('Ctrl Pts',(3.539038746156,0.201591257513,2.135020857149));
#58875=CARTESIAN_POINT('Ctrl Pts',(3.539039291386,-0.201589955698,2.135020857149));
#58876=CARTESIAN_POINT('Ctrl Pts',(3.45997851584,-0.593901329202,2.135020857149));
#58877=CARTESIAN_POINT('Ctrl Pts',(3.300559329455,-0.978773290113,2.135020857149));
#58878=CARTESIAN_POINT('Ctrl Pts',(3.291419828478,-1.00083799727,2.135020857149));
#58879=CARTESIAN_POINT('Ctrl Pts',(3.2822803275,-1.022902704428,2.135020857149));
#58880=CARTESIAN_POINT('Ctrl Pts',(3.273140826523,-1.044967411585,2.135020857149));
#58881=CARTESIAN_POINT('Ctrl Pts',(3.264001325546,-1.067032118743,2.135020857149));
#58882=CARTESIAN_POINT('Origin',(0.999999999999884,8.70831184940357E-15,
2.135020857149));
#58883=CARTESIAN_POINT('Origin',(1.,0.,2.));
#58884=CARTESIAN_POINT('Origin',(1.,0.,4.8));
#58885=CARTESIAN_POINT('Ctrl Pts',(3.48746859276655,-0.249999999999955,
2.135020857149));
#58886=CARTESIAN_POINT('Ctrl Pts',(3.48746859276655,-0.249999999999955,
2.507013904766));
#58887=CARTESIAN_POINT('Ctrl Pts',(3.48746859276662,-0.249999999999962,
2.879006952383));
#58888=CARTESIAN_POINT('Ctrl Pts',(3.48746853576831,-0.250000567124657,
3.24182264084242));
#58889=CARTESIAN_POINT('Ctrl Pts',(3.48746853344673,-0.250000590224009,
3.256600356276));
#58890=CARTESIAN_POINT('Ctrl Pts',(3.48748759696673,-0.249811131256724,
3.26221571021956));
#58891=CARTESIAN_POINT('Ctrl Pts',(3.48752576723934,-0.249430465902479,
3.26783192698953));
#58892=CARTESIAN_POINT('Ctrl Pts',(3.48756393751195,-0.249049800548242,
3.27344814375937));
#58893=CARTESIAN_POINT('Ctrl Pts',(3.48762121502952,-0.24847792881593,3.27906522335563));
#58894=CARTESIAN_POINT('Ctrl Pts',(3.48769736087302,-0.247713222710051,
3.2846689987309));
#58895=CARTESIAN_POINT('Ctrl Pts',(3.48777350671652,-0.246948516604155,
3.29027277410629));
#58896=CARTESIAN_POINT('Ctrl Pts',(3.48786852066694,-0.245990976019191,
3.29586324526071));
#58897=CARTESIAN_POINT('Ctrl Pts',(3.4879817379817,-0.244840502101979,3.30142635787094));
#58898=CARTESIAN_POINT('Ctrl Pts',(3.48809495529646,-0.243690028184791,
3.30698947048104));
#58899=CARTESIAN_POINT('Ctrl Pts',(3.48822637542492,-0.242346620756428,
3.31252522454696));
#58900=CARTESIAN_POINT('Ctrl Pts',(3.48837497603464,-0.240811084970359,
3.31801969134723));
#58901=CARTESIAN_POINT('Ctrl Pts',(3.48852357664436,-0.239275549184257,
3.32351415814763));
#58902=CARTESIAN_POINT('Ctrl Pts',(3.48868935669023,-0.237547884776996,
3.32896733768237));
#58903=CARTESIAN_POINT('Ctrl Pts',(3.48887093951928,-0.235629892875262,
3.33436546669477));
#58904=CARTESIAN_POINT('Ctrl Pts',(3.48905252234833,-0.233711900973571,
3.33976359570704));
#58905=CARTESIAN_POINT('Ctrl Pts',(3.48924990654081,-0.231603581248941,
3.34510667419696));
#58906=CARTESIAN_POINT('Ctrl Pts',(3.48946138857998,-0.229307642195931,
3.3503811299994));
#58907=CARTESIAN_POINT('Ctrl Pts',(3.48967287061914,-0.22701170314287,3.35565558580196));
#58908=CARTESIAN_POINT('Ctrl Pts',(3.48989844871678,-0.224528144378374,
3.36086141891704));
#58909=CARTESIAN_POINT('Ctrl Pts',(3.49013611992547,-0.221860551343641,
3.36598527193293));
#58910=CARTESIAN_POINT('Ctrl Pts',(3.49037379113417,-0.219192958308967,
3.3711091249487));
#58911=CARTESIAN_POINT('Ctrl Pts',(3.49062355334327,-0.216341330582406,
3.3761509978653));
#58912=CARTESIAN_POINT('Ctrl Pts',(3.49088313742582,-0.21331009279383,3.38109776749291));
#58913=CARTESIAN_POINT('Ctrl Pts',(3.49114272150837,-0.210278855005187,
3.38604453712063));
#58914=CARTESIAN_POINT('Ctrl Pts',(3.49141212506352,-0.207068006710118,
3.39089620345937));
#58915=CARTESIAN_POINT('Ctrl Pts',(3.49168884974194,-0.203682782953556,
3.39563989431409));
#58916=CARTESIAN_POINT('Ctrl Pts',(3.49196557442035,-0.200297559197069,
3.4003835851687));
#58917=CARTESIAN_POINT('Ctrl Pts',(3.49224961756719,-0.196737959528907,
3.4050193005393));
#58918=CARTESIAN_POINT('Ctrl Pts',(3.49253829223285,-0.193010004282048,
3.40953443363707));
#58919=CARTESIAN_POINT('Ctrl Pts',(3.49282696689852,-0.189282049035107,
3.41404956673494));
#58920=CARTESIAN_POINT('Ctrl Pts',(3.49312027020858,-0.18538573777055,3.41844411755997));
#58921=CARTESIAN_POINT('Ctrl Pts',(3.49341537030355,-0.181327855372531,
3.42270575726033));
#58922=CARTESIAN_POINT('Ctrl Pts',(3.49371047039853,-0.177269972974512,
3.4269673969607));
#58923=CARTESIAN_POINT('Ctrl Pts',(3.49400736421846,-0.173050519032233,
3.43109612553639));
#58924=CARTESIAN_POINT('Ctrl Pts',(3.49430312129202,-0.168677026037575,
3.43507990300309));
#58925=CARTESIAN_POINT('Ctrl Pts',(3.49459887836558,-0.164303533043012,
3.43906368046969));
#58926=CARTESIAN_POINT('Ctrl Pts',(3.49489349548013,-0.159776000629521,
3.44290250682731));
#58927=CARTESIAN_POINT('Ctrl Pts',(3.49518399196421,-0.15510269580359,3.4465846404616));
#58928=CARTESIAN_POINT('Ctrl Pts',(3.4954744884483,-0.150429390977557,3.45026677409597));
#58929=CARTESIAN_POINT('Ctrl Pts',(3.49576086096809,-0.145610313431785,
3.45379221500703));
#58930=CARTESIAN_POINT('Ctrl Pts',(3.49604012871093,-0.140654455545166,
3.45714952804323));
#58931=CARTESIAN_POINT('Ctrl Pts',(3.49631939645377,-0.135698597658656,
3.46050684107936));
#58932=CARTESIAN_POINT('Ctrl Pts',(3.49659155599366,-0.130605959196786,
3.46369602624064));
#58933=CARTESIAN_POINT('Ctrl Pts',(3.4968536776238,-0.125386253379364,3.46670596150694));
#58934=CARTESIAN_POINT('Ctrl Pts',(3.49711579925394,-0.120166547561826,
3.46971589677331));
#58935=CARTESIAN_POINT('Ctrl Pts',(3.49736787948467,-0.114819774238821,
3.47254658214469));
#58936=CARTESIAN_POINT('Ctrl Pts',(3.49760709178462,-0.109356367291468,
3.47518721345605));
#58937=CARTESIAN_POINT('Ctrl Pts',(3.49784630408456,-0.103892960344236,
3.47782784476735));
#58938=CARTESIAN_POINT('Ctrl Pts',(3.49807264491891,-0.0983129197168504,
3.48027842201865));
#58939=CARTESIAN_POINT('Ctrl Pts',(3.49828344333087,-0.0926274083564208,
3.48252846245313));
#58940=CARTESIAN_POINT('Ctrl Pts',(3.49849424174285,-0.0869418969958661,
3.48477850288765));
#58941=CARTESIAN_POINT('Ctrl Pts',(3.49868949419653,-0.0811509149489245,
3.48682800650535));
#58942=CARTESIAN_POINT('Ctrl Pts',(3.49886673816117,-0.0752663597615775,
3.4886668096657));
#58943=CARTESIAN_POINT('Ctrl Pts',(3.4990439821258,-0.06938180457436,3.49050561282601));
#58944=CARTESIAN_POINT('Ctrl Pts',(3.49920321399566,-0.0634036763985865,
3.49213371552899));
#58945=CARTESIAN_POINT('Ctrl Pts',(3.49934223150275,-0.0573446582240528,
3.49354128016514));
#58946=CARTESIAN_POINT('Ctrl Pts',(3.49948124900985,-0.0512856400493978,
3.49494884480132));
#58947=CARTESIAN_POINT('Ctrl Pts',(3.4996000488305,-0.0451457321431544,
3.49613587137067));
#58948=CARTESIAN_POINT('Ctrl Pts',(3.49969674296496,-0.0389383257265751,
3.4970928052335));
#58949=CARTESIAN_POINT('Ctrl Pts',(3.49979343709941,-0.0327309193100463,
3.49804973909634));
#58950=CARTESIAN_POINT('Ctrl Pts',(3.49986802123929,-0.0264560147476592,
3.49877658025267));
#58951=CARTESIAN_POINT('Ctrl Pts',(3.49991897011526,-0.0201281608161741,
3.49926418125358));
#58952=CARTESIAN_POINT('Ctrl Pts',(3.49996991899124,-0.0138003068846876,
3.4997517822545));
#58953=CARTESIAN_POINT('Ctrl Pts',(3.49999868856785,-0.00741950449877801,
3.5000001431));
#58954=CARTESIAN_POINT('Ctrl Pts',(3.49999995843233,-0.000455892909396516,
3.500000002475));
#58955=CARTESIAN_POINT('Ctrl Pts',(3.49999998078195,-0.000333333342154954,
3.5));
#58956=CARTESIAN_POINT('Ctrl Pts',(3.49999998077669,0.000333333341732132,
3.5));
#58957=CARTESIAN_POINT('Ctrl Pts',(3.49999995845189,0.000455785626067406,
3.50000000244395));
#58958=CARTESIAN_POINT('Ctrl Pts',(3.49999868999756,0.0074133015960131,
3.500000141305));
#58959=CARTESIAN_POINT('Ctrl Pts',(3.49996997186425,0.013787917619887,3.499752261381));
#58960=CARTESIAN_POINT('Ctrl Pts',(3.49991911908135,0.0201096507065459,
3.49926560645949));
#58961=CARTESIAN_POINT('Ctrl Pts',(3.49986826629845,0.0264313837930658,
3.49877895153799));
#58962=CARTESIAN_POINT('Ctrl Pts',(3.49979382395013,0.0327002330320198,
3.49805352161901));
#58963=CARTESIAN_POINT('Ctrl Pts',(3.49969731323273,0.038901699411142,3.49709844800602));
#58964=CARTESIAN_POINT('Ctrl Pts',(3.49960080251532,0.0451031657904004,
3.49614337439301));
#58965=CARTESIAN_POINT('Ctrl Pts',(3.49948222771935,0.0512372489474097,
3.49495865708599));
#58966=CARTESIAN_POINT('Ctrl Pts',(3.49934347112549,0.0572906043117798,
3.49355382963564));
#58967=CARTESIAN_POINT('Ctrl Pts',(3.49920471453163,0.0633439596760167,
3.49214900218532));
#58968=CARTESIAN_POINT('Ctrl Pts',(3.49904577944753,0.0693165869819046,
3.49052406459168));
#58969=CARTESIAN_POINT('Ctrl Pts',(3.49886886100618,0.0751958475825145,
3.48868883017987));
#58970=CARTESIAN_POINT('Ctrl Pts',(3.49869194256483,0.0810751081832537,
3.48685359576801));
#58971=CARTESIAN_POINT('Ctrl Pts',(3.49849704435365,0.0868610019275946,
3.48480806453798));
#58972=CARTESIAN_POINT('Ctrl Pts',(3.49828662062506,0.0925416728065135,
3.48256237229663));
#58973=CARTESIAN_POINT('Ctrl Pts',(3.49807619689647,0.0982223436853073,
3.48031668005532));
#58974=CARTESIAN_POINT('Ctrl Pts',(3.4978502511673,0.103797791652055,3.47787082680268));
#58975=CARTESIAN_POINT('Ctrl Pts',(3.49761144525734,0.10925689232051,3.47523526418488));
#58976=CARTESIAN_POINT('Ctrl Pts',(3.49737263934738,0.114715992989085,3.47259970156702));
#58977=CARTESIAN_POINT('Ctrl Pts',(3.49712097677163,0.120058746414512,3.46977442958398));
#58978=CARTESIAN_POINT('Ctrl Pts',(3.49685927436928,0.125274754025369,3.46677021816994));
#58979=CARTESIAN_POINT('Ctrl Pts',(3.49659757196693,0.130490761636112,3.46376600675598));
#58980=CARTESIAN_POINT('Ctrl Pts',(3.49632583320754,0.135580023580913,3.46058285591102));
#58981=CARTESIAN_POINT('Ctrl Pts',(3.49604697786246,0.140532858448381,3.45723185053889));
#58982=CARTESIAN_POINT('Ctrl Pts',(3.49576812251737,0.145485693315959,3.45388084516669));
#58983=CARTESIAN_POINT('Ctrl Pts',(3.49548215399266,0.150302101338882,3.45036198526731));
#58984=CARTESIAN_POINT('Ctrl Pts',(3.49519204323763,0.154973118196686,3.44668666624277));
#58985=CARTESIAN_POINT('Ctrl Pts',(3.49490193248261,0.159644135054387,3.44301134721831));
#58986=CARTESIAN_POINT('Ctrl Pts',(3.49460768281175,0.164169761052004,3.4391795690687));
#58987=CARTESIAN_POINT('Ctrl Pts',(3.49431226541699,0.168541753255263,3.43520303130625));
#58988=CARTESIAN_POINT('Ctrl Pts',(3.49401684802223,0.172913745458618,3.43122649354373));
#58989=CARTESIAN_POINT('Ctrl Pts',(3.49372026609785,0.177132104231597,3.42710519616836));
#58990=CARTESIAN_POINT('Ctrl Pts',(3.49342544132065,0.181189316944849,3.42285113501467));
#58991=CARTESIAN_POINT('Ctrl Pts',(3.49313061654344,0.185246529658102,3.41859707386097));
#58992=CARTESIAN_POINT('Ctrl Pts',(3.49283755195644,0.189142596719697,3.41421024892894));
#58993=CARTESIAN_POINT('Ctrl Pts',(3.49254907159283,0.192870748693864,3.4097029431939));
#58994=CARTESIAN_POINT('Ctrl Pts',(3.49226059122922,0.196598900667948,3.40519563745897));
#58995=CARTESIAN_POINT('Ctrl Pts',(3.49197669794837,0.200159137990798,3.40056785092103));
#58996=CARTESIAN_POINT('Ctrl Pts',(3.49170007210015,0.203545451180074,3.39583214310692));
#58997=CARTESIAN_POINT('Ctrl Pts',(3.49142344625193,0.206931764369424,3.3910964352927));
#58998=CARTESIAN_POINT('Ctrl Pts',(3.49115409047838,0.210144153872791,3.3862528062023));
#58999=CARTESIAN_POINT('Ctrl Pts',(3.49089449798307,0.213177390728139,3.38131407973941));
#59000=CARTESIAN_POINT('Ctrl Pts',(3.49063490548777,0.216210627583421,3.37637535327663));
#59001=CARTESIAN_POINT('Ctrl Pts',(3.49038507866138,0.219064712232811,3.37134152944137));
#59002=CARTESIAN_POINT('Ctrl Pts',(3.49014728312917,0.221735221208595,3.36622568247576));
#59003=CARTESIAN_POINT('Ctrl Pts',(3.48990948759695,0.224405730184438,3.36110983551004));
#59004=CARTESIAN_POINT('Ctrl Pts',(3.48968372546234,0.226892663906472,3.35591196541396));
#59005=CARTESIAN_POINT('Ctrl Pts',(3.48947199796208,0.229192433039771,3.35064538038157));
#59006=CARTESIAN_POINT('Ctrl Pts',(3.48926027046183,0.231492202173019,3.34537879534929));
#59007=CARTESIAN_POINT('Ctrl Pts',(3.48906257938013,0.233604807099305,3.34004349538071));
#59008=CARTESIAN_POINT('Ctrl Pts',(3.48888062841659,0.235527530222338,3.3346530035651));
#59009=CARTESIAN_POINT('Ctrl Pts',(3.48869867745305,0.237450253345414,3.32926251174937));
#59010=CARTESIAN_POINT('Ctrl Pts',(3.48853246802688,0.239183094993055,3.32381682808663));
#59011=CARTESIAN_POINT('Ctrl Pts',(3.48838337891125,0.240724239656575,3.31832966730073));
#59012=CARTESIAN_POINT('Ctrl Pts',(3.48823428979561,0.242265384320062,3.31284250651496));
#59013=CARTESIAN_POINT('Ctrl Pts',(3.4881023220387,0.243614832262934,3.30731386860603));
#59014=CARTESIAN_POINT('Ctrl Pts',(3.48798850204934,0.244771758318414,3.3017576348341));
#59015=CARTESIAN_POINT('Ctrl Pts',(3.48787468205997,0.245928684373916,3.29620140106206));
#59016=CARTESIAN_POINT('Ctrl Pts',(3.48777901039553,0.246893088721716,3.29061757142701));
#59017=CARTESIAN_POINT('Ctrl Pts',(3.4877021576932,0.247665045189251,3.28502015402613));
#59018=CARTESIAN_POINT('Ctrl Pts',(3.48762530499087,0.24843700165678,3.2794227366253));
#59019=CARTESIAN_POINT('Ctrl Pts',(3.48756727148119,0.24901651035112,3.27381173145865));
#59020=CARTESIAN_POINT('Ctrl Pts',(3.48752830397758,0.249405166166307,3.26820126221233));
#59021=CARTESIAN_POINT('Ctrl Pts',(3.48748933647396,0.249793821981495,3.262590792966));
#59022=CARTESIAN_POINT('Ctrl Pts',(3.48746943449396,0.249991624911092,3.25698085964));
#59023=CARTESIAN_POINT('Ctrl Pts',(3.48746861346306,0.249999794072234,3.25126188619237));
#59024=CARTESIAN_POINT('Ctrl Pts',(3.48746861276665,0.249999801001453,3.251257035264));
#59025=CARTESIAN_POINT('Ctrl Pts',(3.48746860276654,0.249999900501287,3.251128517632));
#59026=CARTESIAN_POINT('Ctrl Pts',(3.4874686027662,0.249999900504725,3.25111566186898));
#59027=CARTESIAN_POINT('Ctrl Pts',(3.48746859276656,0.249999999999955,2.879006952383));
#59028=CARTESIAN_POINT('Ctrl Pts',(3.48746859276655,0.249999999999955,2.507013904766));
#59029=CARTESIAN_POINT('Ctrl Pts',(3.48746859276655,0.249999999999955,2.135020857149));
#59030=CARTESIAN_POINT('Origin',(1.,0.,4.8));
#59031=CARTESIAN_POINT('',(3.124922924776,-0.88017189444,5.));
#59032=CARTESIAN_POINT('Origin',(3.124922924776,-0.88017189444,4.8));
#59033=CARTESIAN_POINT('',(3.124922924776,0.88017189444,5.));
#59034=CARTESIAN_POINT('Origin',(1.,0.,5.));
#59035=CARTESIAN_POINT('Origin',(3.124922924776,0.88017189444,4.8));
#59036=CARTESIAN_POINT('Origin',(1.,0.,2.));
#59037=CARTESIAN_POINT('Origin',(1.,0.,4.8));
#59038=CARTESIAN_POINT('Origin',(1.,0.,5.));
#59039=CARTESIAN_POINT('Origin',(1.,0.,5.));
#59040=CARTESIAN_POINT('',(2.616789181895,-0.669696006639,5.));
#59041=CARTESIAN_POINT('',(3.124922924776,-0.88017189444,5.));
#59042=CARTESIAN_POINT('',(2.616789181895,0.669696006639,5.));
#59043=CARTESIAN_POINT('Origin',(1.,0.,5.));
#59044=CARTESIAN_POINT('',(3.124922924776,0.88017189444,5.));
#59045=CARTESIAN_POINT('Origin',(1.,0.,5.));
#59046=CARTESIAN_POINT('Origin',(1.,0.,5.));
#59047=CARTESIAN_POINT('Origin',(1.,0.,5.));
#59048=CARTESIAN_POINT('',(2.616789181895,-0.669696006639,4.9));
#59049=CARTESIAN_POINT('',(2.616789181895,-0.669696006639,5.));
#59050=CARTESIAN_POINT('',(1.05,-1.749285568454,4.9));
#59051=CARTESIAN_POINT('Origin',(1.,0.,4.9));
#59052=CARTESIAN_POINT('',(1.05,-1.749285568454,4.8));
#59053=CARTESIAN_POINT('',(1.05,-1.749285568454,4.7));
#59054=CARTESIAN_POINT('',(0.95,-1.749285568454,4.8));
#59055=CARTESIAN_POINT('Origin',(1.,0.,4.8));
#59056=CARTESIAN_POINT('',(0.95,-1.749285568454,4.9));
#59057=CARTESIAN_POINT('',(0.95,-1.749285568454,4.7));
#59058=CARTESIAN_POINT('',(-0.749285568454,-0.05000000000002,4.9));
#59059=CARTESIAN_POINT('Origin',(1.,0.,4.9));
#59060=CARTESIAN_POINT('',(-0.749285568454,-0.05,4.8));
#59061=CARTESIAN_POINT('',(-0.749285568454,-0.05,4.7));
#59062=CARTESIAN_POINT('',(-0.749285568454,0.05,4.8));
#59063=CARTESIAN_POINT('Origin',(1.,0.,4.8));
#59064=CARTESIAN_POINT('',(-0.749285568454,0.05000000000002,4.9));
#59065=CARTESIAN_POINT('',(-0.749285568454,0.05,4.7));
#59066=CARTESIAN_POINT('',(0.95,1.749285568454,4.9));
#59067=CARTESIAN_POINT('Origin',(1.,0.,4.9));
#59068=CARTESIAN_POINT('',(0.95,1.749285568454,4.8));
#59069=CARTESIAN_POINT('',(0.95,1.749285568454,4.7));
#59070=CARTESIAN_POINT('',(1.05,1.749285568454,4.8));
#59071=CARTESIAN_POINT('Origin',(1.,0.,4.8));
#59072=CARTESIAN_POINT('',(1.05,1.749285568454,4.9));
#59073=CARTESIAN_POINT('',(1.05,1.749285568454,4.7));
#59074=CARTESIAN_POINT('',(2.616789181895,0.669696006639,4.9));
#59075=CARTESIAN_POINT('Origin',(1.,0.,4.9));
#59076=CARTESIAN_POINT('',(2.616789181895,0.669696006639,5.));
#59077=CARTESIAN_POINT('Origin',(1.,0.,5.));
#59078=CARTESIAN_POINT('',(2.749285568454,0.04999999999996,4.9));
#59079=CARTESIAN_POINT('Origin',(1.,0.,4.9));
#59080=CARTESIAN_POINT('',(2.749285568454,0.05,4.8));
#59081=CARTESIAN_POINT('',(2.749285568454,0.05,4.7));
#59082=CARTESIAN_POINT('',(2.749285568454,-0.05,4.8));
#59083=CARTESIAN_POINT('Origin',(1.,0.,4.8));
#59084=CARTESIAN_POINT('',(2.749285568454,-0.04999999999996,4.9));
#59085=CARTESIAN_POINT('',(2.749285568454,-0.05,4.7));
#59086=CARTESIAN_POINT('Origin',(1.,0.,4.9));
#59087=CARTESIAN_POINT('Origin',(1.,-1.239108604125E-16,4.9));
#59088=CARTESIAN_POINT('',(1.05,-0.05,4.9));
#59089=CARTESIAN_POINT('',(1.05,-5.05,4.9));
#59090=CARTESIAN_POINT('',(1.05,-0.05,4.9));
#59091=CARTESIAN_POINT('Origin',(1.05,-5.05,4.9));
#59092=CARTESIAN_POINT('',(1.05,-0.05,4.8));
#59093=CARTESIAN_POINT('',(1.05,-0.05,4.9));
#59094=CARTESIAN_POINT('',(1.05,-5.05,4.8));
#59095=CARTESIAN_POINT('Origin',(1.,-1.239108604125E-16,4.9));
#59096=CARTESIAN_POINT('',(1.05,0.05,4.9));
#59097=CARTESIAN_POINT('',(1.05,0.05,4.9));
#59098=CARTESIAN_POINT('',(6.05,0.05,4.9));
#59099=CARTESIAN_POINT('Origin',(1.,-3.503797911874E-17,4.8));
#59100=CARTESIAN_POINT('',(0.95,-0.05,4.8));
#59101=CARTESIAN_POINT('',(-4.05,-0.05,4.8));
#59102=CARTESIAN_POINT('',(0.95,-0.05,4.8));
#59103=CARTESIAN_POINT('',(1.05,-0.05,4.8));
#59104=CARTESIAN_POINT('',(1.05,0.05,4.8));
#59105=CARTESIAN_POINT('',(6.05,0.05,4.8));
#59106=CARTESIAN_POINT('',(1.05,0.05,4.8));
#59107=CARTESIAN_POINT('',(0.95,0.05,4.8));
#59108=CARTESIAN_POINT('',(0.95,5.05,4.8));
#59109=CARTESIAN_POINT('',(0.95,0.05,4.8));
#59110=CARTESIAN_POINT('Origin',(1.05,0.05,4.9));
#59111=CARTESIAN_POINT('',(1.05,0.05,4.9));
#59112=CARTESIAN_POINT('Origin',(0.95,-0.05,4.9));
#59113=CARTESIAN_POINT('',(0.95,-0.05,4.9));
#59114=CARTESIAN_POINT('',(0.95,-0.05,4.9));
#59115=CARTESIAN_POINT('',(0.95,-0.05,4.9));
#59116=CARTESIAN_POINT('Origin',(1.,-1.239108604125E-16,4.9));
#59117=CARTESIAN_POINT('',(-4.05,-0.05,4.9));
#59118=CARTESIAN_POINT('Origin',(0.95,5.05,4.9));
#59119=CARTESIAN_POINT('',(0.95,0.05,4.9));
#59120=CARTESIAN_POINT('',(0.95,5.05,4.9));
#59121=CARTESIAN_POINT('',(0.95,0.05,4.9));
#59122=CARTESIAN_POINT('Origin',(-4.05,-0.05,4.9));
#59123=CARTESIAN_POINT('Origin',(1.,-1.239108604125E-16,4.9));
#59124=CARTESIAN_POINT('',(0.95,0.05,4.9));
#59125=CARTESIAN_POINT('Origin',(0.95,0.05,4.9));
#59126=CARTESIAN_POINT('Origin',(6.05,0.05,4.9));
#59127=CARTESIAN_POINT('Origin',(1.05,-0.05,4.9));
#59128=CARTESIAN_POINT('',(0.,0.,0.));
#59129=CARTESIAN_POINT('',(0.,0.,0.));
#59130=CARTESIAN_POINT('',(0.,0.,0.));
#59131=CARTESIAN_POINT('Origin',(-1.1,-2.75,0.));
#59132=CARTESIAN_POINT('',(-1.1,-2.2,0.));
#59133=CARTESIAN_POINT('',(-1.1,-2.2,8.45));
#59134=CARTESIAN_POINT('',(-1.1,-2.2,0.));
#59135=CARTESIAN_POINT('',(-1.1,2.2,8.45));
#59136=CARTESIAN_POINT('',(-1.1,-2.2,8.45));
#59137=CARTESIAN_POINT('',(-1.1,2.2,0.));
#59138=CARTESIAN_POINT('',(-1.1,2.2,0.));
#59139=CARTESIAN_POINT('',(-1.1,-2.75,0.));
#59140=CARTESIAN_POINT('Origin',(-0.55,-2.2,0.));
#59141=CARTESIAN_POINT('',(-0.55,-2.75,0.));
#59142=CARTESIAN_POINT('Origin',(-0.55,-2.2,0.));
#59143=CARTESIAN_POINT('',(-0.55,-2.75,8.45));
#59144=CARTESIAN_POINT('',(-0.55,-2.75,0.));
#59145=CARTESIAN_POINT('Origin',(-0.55,-2.2,8.45));
#59146=CARTESIAN_POINT('Origin',(-0.55,-2.2,8.45));
#59147=CARTESIAN_POINT('',(-0.55,-2.2,9.));
#59148=CARTESIAN_POINT('',(-0.55,2.2,9.));
#59149=CARTESIAN_POINT('',(-0.55,-2.2,9.));
#59150=CARTESIAN_POINT('Origin',(-0.55,2.2,8.45));
#59151=CARTESIAN_POINT('Origin',(-0.55,-2.2,8.45));
#59152=CARTESIAN_POINT('Origin',(-1.1,-2.75,0.));
#59153=CARTESIAN_POINT('',(-0.55,2.75,0.));
#59154=CARTESIAN_POINT('Origin',(-0.55,2.2,0.));
#59155=CARTESIAN_POINT('',(5.55,2.75,0.));
#59156=CARTESIAN_POINT('',(-1.1,2.75,0.));
#59157=CARTESIAN_POINT('',(6.1,2.2,0.));
#59158=CARTESIAN_POINT('Origin',(5.55,2.2,0.));
#59159=CARTESIAN_POINT('',(6.1,-2.2,0.));
#59160=CARTESIAN_POINT('',(6.1,-2.75,0.));
#59161=CARTESIAN_POINT('',(5.55,-2.75,0.));
#59162=CARTESIAN_POINT('Origin',(5.55,-2.2,0.));
#59163=CARTESIAN_POINT('',(-1.1,-2.75,0.));
#59164=CARTESIAN_POINT('',(0.25,0.,0.));
#59165=CARTESIAN_POINT('',(-0.25,-3.06161699786838E-17,0.));
#59166=CARTESIAN_POINT('Origin',(0.,0.,0.));
#59167=CARTESIAN_POINT('Origin',(0.,0.,0.));
#59168=CARTESIAN_POINT('',(5.25,0.,0.));
#59169=CARTESIAN_POINT('',(4.75,-3.06161699786838E-17,0.));
#59170=CARTESIAN_POINT('Origin',(5.,0.,0.));
#59171=CARTESIAN_POINT('Origin',(5.,0.,0.));
#59172=CARTESIAN_POINT('Origin',(-0.55,2.2,0.));
#59173=CARTESIAN_POINT('',(-0.55,2.75,8.45));
#59174=CARTESIAN_POINT('Origin',(-0.55,2.2,8.45));
#59175=CARTESIAN_POINT('',(-0.55,2.75,0.));
#59176=CARTESIAN_POINT('Origin',(-0.55,-2.2,8.45));
#59177=CARTESIAN_POINT('Origin',(-0.55,-2.2,8.45));
#59178=CARTESIAN_POINT('Origin',(-1.1,-2.75,0.));
#59179=CARTESIAN_POINT('',(5.55,-2.75,8.45));
#59180=CARTESIAN_POINT('',(5.55,-2.75,0.));
#59181=CARTESIAN_POINT('',(5.55,-2.75,8.45));
#59182=CARTESIAN_POINT('Origin',(-1.1,-2.75,9.));
#59183=CARTESIAN_POINT('',(5.55,-2.2,9.));
#59184=CARTESIAN_POINT('',(5.55,-2.2,9.));
#59185=CARTESIAN_POINT('',(5.55,2.2,9.));
#59186=CARTESIAN_POINT('',(5.55,2.2,9.));
#59187=CARTESIAN_POINT('',(-0.55,2.2,9.));
#59188=CARTESIAN_POINT('Origin',(-0.55,2.2,8.45));
#59189=CARTESIAN_POINT('Origin',(-0.55,2.2,8.45));
#59190=CARTESIAN_POINT('Origin',(-1.1,2.75,0.));
#59191=CARTESIAN_POINT('',(5.55,2.75,8.45));
#59192=CARTESIAN_POINT('',(-0.55,2.75,8.45));
#59193=CARTESIAN_POINT('',(5.55,2.75,0.));
#59194=CARTESIAN_POINT('Origin',(5.55,-2.2,0.));
#59195=CARTESIAN_POINT('',(6.1,-2.2,8.45));
#59196=CARTESIAN_POINT('Origin',(5.55,-2.2,8.45));
#59197=CARTESIAN_POINT('',(6.1,-2.2,0.));
#59198=CARTESIAN_POINT('Origin',(5.55,2.2,0.));
#59199=CARTESIAN_POINT('',(6.1,2.2,8.45));
#59200=CARTESIAN_POINT('',(6.1,2.2,0.));
#59201=CARTESIAN_POINT('Origin',(5.55,2.2,8.45));
#59202=CARTESIAN_POINT('Origin',(6.1,-2.75,0.));
#59203=CARTESIAN_POINT('',(6.1,2.2,8.45));
#59204=CARTESIAN_POINT('Origin',(0.,0.,0.1));
#59205=CARTESIAN_POINT('',(-0.25,-3.06161699786838E-17,-1.9));
#59206=CARTESIAN_POINT('',(-0.25,-3.06161699786838E-17,0.1));
#59207=CARTESIAN_POINT('',(0.25,0.,-1.9));
#59208=CARTESIAN_POINT('Origin',(0.,0.,-1.9));
#59209=CARTESIAN_POINT('Origin',(0.,0.,-1.9));
#59210=CARTESIAN_POINT('Origin',(5.,0.,0.1));
#59211=CARTESIAN_POINT('',(4.75,-3.06161699786838E-17,-1.9));
#59212=CARTESIAN_POINT('',(4.75,-3.06161699786838E-17,0.1));
#59213=CARTESIAN_POINT('',(5.25,0.,-1.9));
#59214=CARTESIAN_POINT('Origin',(5.,0.,-1.9));
#59215=CARTESIAN_POINT('Origin',(5.,0.,-1.9));
#59216=CARTESIAN_POINT('Origin',(5.55,-2.2,8.45));
#59217=CARTESIAN_POINT('Origin',(5.55,-2.2,8.45));
#59218=CARTESIAN_POINT('Origin',(5.55,2.2,8.45));
#59219=CARTESIAN_POINT('Origin',(5.55,-2.2,8.45));
#59220=CARTESIAN_POINT('Origin',(5.55,2.2,8.45));
#59221=CARTESIAN_POINT('Origin',(-0.55,2.2,8.45));
#59222=CARTESIAN_POINT('Origin',(5.55,2.2,8.45));
#59223=CARTESIAN_POINT('Origin',(5.55,-2.2,8.45));
#59224=CARTESIAN_POINT('Origin',(5.55,2.2,8.45));
#59225=CARTESIAN_POINT('Origin',(-4.440892098501E-16,2.18439881135E-17,
-1.9));
#59226=CARTESIAN_POINT('Origin',(5.,2.18439881135E-17,-1.9));
#59227=CARTESIAN_POINT('',(0.,0.,0.));
#59228=CARTESIAN_POINT('',(0.,0.,0.));
#59229=CARTESIAN_POINT('',(0.,0.,0.));
#59230=CARTESIAN_POINT('Origin',(-2.86,3.7,0.65));
#59231=CARTESIAN_POINT('',(-2.86,3.7,0.75));
#59232=CARTESIAN_POINT('',(-2.64,3.7,0.75));
#59233=CARTESIAN_POINT('',(-2.86,3.7,0.75));
#59234=CARTESIAN_POINT('',(-2.86,3.8,0.65));
#59235=CARTESIAN_POINT('Origin',(-2.86,3.7,0.65));
#59236=CARTESIAN_POINT('',(-2.64,3.8,0.65));
#59237=CARTESIAN_POINT('',(-2.86,3.8,0.65));
#59238=CARTESIAN_POINT('Origin',(-2.64,3.7,0.65));
#59239=CARTESIAN_POINT('Origin',(-2.86,3.35,0.75));
#59240=CARTESIAN_POINT('',(-2.86,3.5,0.75));
#59241=CARTESIAN_POINT('',(-2.86,3.35,0.75));
#59242=CARTESIAN_POINT('',(-2.64,3.5,0.75));
#59243=CARTESIAN_POINT('',(-2.64,3.35,0.75));
#59244=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59245=CARTESIAN_POINT('Origin',(-2.86,3.8,0.65));
#59246=CARTESIAN_POINT('',(-2.86,3.8,0.2));
#59247=CARTESIAN_POINT('',(-2.86,3.8,0.65));
#59248=CARTESIAN_POINT('',(-2.64,3.8,0.2));
#59249=CARTESIAN_POINT('',(-2.86,3.8,0.2));
#59250=CARTESIAN_POINT('',(-2.64,3.8,0.65));
#59251=CARTESIAN_POINT('Origin',(-2.86,3.900244542703,0.394853274378));
#59252=CARTESIAN_POINT('',(-2.86,3.5,0.85));
#59253=CARTESIAN_POINT('',(-2.86,3.5,0.622426637189));
#59254=CARTESIAN_POINT('',(-2.86,3.7,0.85));
#59255=CARTESIAN_POINT('',(-2.86,3.7,0.85));
#59256=CARTESIAN_POINT('',(-2.86,3.9,0.65));
#59257=CARTESIAN_POINT('Origin',(-2.86,3.7,0.65));
#59258=CARTESIAN_POINT('',(-2.86,3.9,0.2));
#59259=CARTESIAN_POINT('',(-2.86,3.9,0.2));
#59260=CARTESIAN_POINT('',(-2.86,4.,0.1));
#59261=CARTESIAN_POINT('Origin',(-2.86,4.,0.2));
#59262=CARTESIAN_POINT('',(-2.86,4.5,0.1));
#59263=CARTESIAN_POINT('',(-2.86,4.5,0.1));
#59264=CARTESIAN_POINT('',(-2.86,4.5,-8.326672684689E-17));
#59265=CARTESIAN_POINT('',(-2.86,4.5,-8.326672684689E-17));
#59266=CARTESIAN_POINT('',(-2.86,4.,-8.326672684689E-17));
#59267=CARTESIAN_POINT('',(-2.86,4.,-8.326672684689E-17));
#59268=CARTESIAN_POINT('Origin',(-2.86,4.,0.2));
#59269=CARTESIAN_POINT('Origin',(-2.64,3.900244542703,0.394853274378));
#59270=CARTESIAN_POINT('',(-2.64,3.5,0.85));
#59271=CARTESIAN_POINT('',(-2.64,3.5,0.622426637189));
#59272=CARTESIAN_POINT('',(-2.64,4.,-8.326672684689E-17));
#59273=CARTESIAN_POINT('Origin',(-2.64,4.,0.2));
#59274=CARTESIAN_POINT('',(-2.64,4.5,-8.326672684689E-17));
#59275=CARTESIAN_POINT('',(-2.64,4.,-8.326672684689E-17));
#59276=CARTESIAN_POINT('',(-2.64,4.5,0.1));
#59277=CARTESIAN_POINT('',(-2.64,4.5,-8.326672684689E-17));
#59278=CARTESIAN_POINT('',(-2.64,4.,0.1));
#59279=CARTESIAN_POINT('',(-2.64,4.5,0.1));
#59280=CARTESIAN_POINT('',(-2.64,3.9,0.2));
#59281=CARTESIAN_POINT('Origin',(-2.64,4.,0.2));
#59282=CARTESIAN_POINT('',(-2.64,3.9,0.65));
#59283=CARTESIAN_POINT('',(-2.64,3.9,0.2));
#59284=CARTESIAN_POINT('',(-2.64,3.7,0.85));
#59285=CARTESIAN_POINT('Origin',(-2.64,3.7,0.65));
#59286=CARTESIAN_POINT('',(-2.64,3.7,0.85));
#59287=CARTESIAN_POINT('Origin',(0.,3.361838234914,0.1));
#59288=CARTESIAN_POINT('',(-3.255919117457,3.361838234914,0.1));
#59289=CARTESIAN_POINT('',(3.255919117457,3.361838234914,0.1));
#59290=CARTESIAN_POINT('',(-3.255919117457,3.361838234914,0.1));
#59291=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59292=CARTESIAN_POINT('',(-3.255919117457,3.361838234914,0.1));
#59293=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59294=CARTESIAN_POINT('',(-2.36,3.5,0.75));
#59295=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59296=CARTESIAN_POINT('',(-2.14,3.5,0.75));
#59297=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59298=CARTESIAN_POINT('',(-1.86,3.5,0.75));
#59299=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59300=CARTESIAN_POINT('',(-1.64,3.5,0.75));
#59301=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59302=CARTESIAN_POINT('',(-1.36,3.5,0.75));
#59303=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59304=CARTESIAN_POINT('',(-1.14,3.5,0.75));
#59305=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59306=CARTESIAN_POINT('',(-0.86,3.5,0.75));
#59307=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59308=CARTESIAN_POINT('',(-0.64,3.5,0.75));
#59309=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59310=CARTESIAN_POINT('',(-0.36,3.5,0.75));
#59311=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59312=CARTESIAN_POINT('',(-0.14,3.5,0.75));
#59313=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59314=CARTESIAN_POINT('',(0.14,3.5,0.75));
#59315=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59316=CARTESIAN_POINT('',(0.36,3.5,0.75));
#59317=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59318=CARTESIAN_POINT('',(0.64,3.5,0.75));
#59319=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59320=CARTESIAN_POINT('',(0.86,3.5,0.75));
#59321=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59322=CARTESIAN_POINT('',(1.14,3.5,0.75));
#59323=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59324=CARTESIAN_POINT('',(1.36,3.5,0.75));
#59325=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59326=CARTESIAN_POINT('',(1.64,3.5,0.75));
#59327=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59328=CARTESIAN_POINT('',(1.86,3.5,0.75));
#59329=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59330=CARTESIAN_POINT('',(2.14,3.5,0.75));
#59331=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59332=CARTESIAN_POINT('',(2.36,3.5,0.75));
#59333=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59334=CARTESIAN_POINT('',(2.64,3.5,0.75));
#59335=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59336=CARTESIAN_POINT('',(2.86,3.5,0.75));
#59337=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59338=CARTESIAN_POINT('',(3.325,3.5,0.75));
#59339=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59340=CARTESIAN_POINT('',(3.255919117457,3.361838234914,0.1));
#59341=CARTESIAN_POINT('Origin',(-2.86,4.,0.2));
#59342=CARTESIAN_POINT('',(-2.86,4.,-8.326672684689E-17));
#59343=CARTESIAN_POINT('Origin',(-3.325,3.5,0.85));
#59344=CARTESIAN_POINT('',(-3.325,3.5,0.85));
#59345=CARTESIAN_POINT('',(-3.325,3.5,0.75));
#59346=CARTESIAN_POINT('',(-3.325,3.5,0.85));
#59347=CARTESIAN_POINT('Origin',(-2.86,3.7,0.85));
#59348=CARTESIAN_POINT('',(-2.86,3.425,0.85));
#59349=CARTESIAN_POINT('',(-2.86,3.7,0.85));
#59350=CARTESIAN_POINT('',(-2.64,3.425,0.85));
#59351=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59352=CARTESIAN_POINT('',(-2.64,3.7,0.85));
#59353=CARTESIAN_POINT('',(-2.86,3.7,0.85));
#59354=CARTESIAN_POINT('Origin',(-2.86,3.7,0.65));
#59355=CARTESIAN_POINT('',(-2.86,3.9,0.65));
#59356=CARTESIAN_POINT('Origin',(-2.86,3.9,0.2));
#59357=CARTESIAN_POINT('',(-2.86,3.9,0.2));
#59358=CARTESIAN_POINT('Origin',(-2.86,4.,0.2));
#59359=CARTESIAN_POINT('',(-2.86,4.,0.1));
#59360=CARTESIAN_POINT('Origin',(-2.86,4.5,0.1));
#59361=CARTESIAN_POINT('',(-2.86,4.5,0.1));
#59362=CARTESIAN_POINT('Origin',(-2.86,4.5,-8.326672684689E-17));
#59363=CARTESIAN_POINT('',(-2.86,4.5,-8.326672684689E-17));
#59364=CARTESIAN_POINT('Origin',(-2.86,4.,-8.326672684689E-17));
#59365=CARTESIAN_POINT('Origin',(-3.325,3.5,0.85));
#59366=CARTESIAN_POINT('',(-2.36,3.5,0.85));
#59367=CARTESIAN_POINT('',(-3.325,3.5,0.85));
#59368=CARTESIAN_POINT('',(-2.36,3.5,0.622426637189));
#59369=CARTESIAN_POINT('Origin',(-3.255919117457,3.361838234914,0.1));
#59370=CARTESIAN_POINT('',(-3.361838234914,3.255919117457,0.1));
#59371=CARTESIAN_POINT('',(-3.361838234914,3.255919117457,0.1));
#59372=CARTESIAN_POINT('',(3.361838234914,3.255919117457,0.1));
#59373=CARTESIAN_POINT('',(3.255919117457,3.361838234914,0.1));
#59374=CARTESIAN_POINT('',(3.361838234914,-3.255919117457,0.1));
#59375=CARTESIAN_POINT('',(3.361838234914,3.255919117457,0.1));
#59376=CARTESIAN_POINT('',(3.255919117457,-3.361838234914,0.1));
#59377=CARTESIAN_POINT('',(3.361838234914,-3.255919117457,0.1));
#59378=CARTESIAN_POINT('',(-3.255919117457,-3.361838234914,0.1));
#59379=CARTESIAN_POINT('',(3.255919117457,-3.361838234914,0.1));
#59380=CARTESIAN_POINT('',(-3.361838234914,-3.255919117457,0.1));
#59381=CARTESIAN_POINT('',(-3.255919117457,-3.361838234914,0.1));
#59382=CARTESIAN_POINT('',(-3.361838234914,-3.255919117457,0.1));
#59383=CARTESIAN_POINT('Origin',(-3.3088786761855,3.3088786761855,0.1));
#59384=CARTESIAN_POINT('',(-3.5,3.325,0.75));
#59385=CARTESIAN_POINT('',(-3.361838234914,3.255919117457,0.1));
#59386=CARTESIAN_POINT('',(-3.5,3.325,0.75));
#59387=CARTESIAN_POINT('Origin',(3.3088786761855,3.3088786761855,0.1));
#59388=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59389=CARTESIAN_POINT('',(3.325,3.5,0.75));
#59390=CARTESIAN_POINT('',(3.361838234914,3.255919117457,0.1));
#59391=CARTESIAN_POINT('Origin',(-3.325,3.5,0.85));
#59392=CARTESIAN_POINT('',(2.86,3.5,0.85));
#59393=CARTESIAN_POINT('',(2.86,3.5,0.622426637189));
#59394=CARTESIAN_POINT('',(3.325,3.5,0.85));
#59395=CARTESIAN_POINT('',(-3.325,3.5,0.85));
#59396=CARTESIAN_POINT('',(3.325,3.5,0.75));
#59397=CARTESIAN_POINT('Origin',(2.64,3.35,0.75));
#59398=CARTESIAN_POINT('',(2.64,3.7,0.75));
#59399=CARTESIAN_POINT('',(2.64,3.35,0.75));
#59400=CARTESIAN_POINT('',(2.86,3.7,0.75));
#59401=CARTESIAN_POINT('',(2.64,3.7,0.75));
#59402=CARTESIAN_POINT('',(2.86,3.35,0.75));
#59403=CARTESIAN_POINT('Origin',(-3.325,3.5,0.85));
#59404=CARTESIAN_POINT('',(2.36,3.5,0.85));
#59405=CARTESIAN_POINT('',(2.36,3.5,0.622426637189));
#59406=CARTESIAN_POINT('',(2.64,3.5,0.85));
#59407=CARTESIAN_POINT('',(-3.325,3.5,0.85));
#59408=CARTESIAN_POINT('',(2.64,3.5,0.622426637189));
#59409=CARTESIAN_POINT('Origin',(-2.36,3.35,0.75));
#59410=CARTESIAN_POINT('',(-2.36,3.7,0.75));
#59411=CARTESIAN_POINT('',(-2.36,3.35,0.75));
#59412=CARTESIAN_POINT('',(-2.14,3.7,0.75));
#59413=CARTESIAN_POINT('',(-2.36,3.7,0.75));
#59414=CARTESIAN_POINT('',(-2.14,3.35,0.75));
#59415=CARTESIAN_POINT('Origin',(2.14,3.35,0.75));
#59416=CARTESIAN_POINT('',(2.14,3.7,0.75));
#59417=CARTESIAN_POINT('',(2.14,3.35,0.75));
#59418=CARTESIAN_POINT('',(2.36,3.7,0.75));
#59419=CARTESIAN_POINT('',(2.14,3.7,0.75));
#59420=CARTESIAN_POINT('',(2.36,3.35,0.75));
#59421=CARTESIAN_POINT('Origin',(-3.325,3.5,0.85));
#59422=CARTESIAN_POINT('',(-2.14,3.5,0.85));
#59423=CARTESIAN_POINT('',(-2.14,3.5,0.622426637189));
#59424=CARTESIAN_POINT('',(-1.86,3.5,0.85));
#59425=CARTESIAN_POINT('',(-3.325,3.5,0.85));
#59426=CARTESIAN_POINT('',(-1.86,3.5,0.622426637189));
#59427=CARTESIAN_POINT('Origin',(-3.325,3.5,0.85));
#59428=CARTESIAN_POINT('',(1.86,3.5,0.85));
#59429=CARTESIAN_POINT('',(1.86,3.5,0.622426637189));
#59430=CARTESIAN_POINT('',(2.14,3.5,0.85));
#59431=CARTESIAN_POINT('',(-3.325,3.5,0.85));
#59432=CARTESIAN_POINT('',(2.14,3.5,0.622426637189));
#59433=CARTESIAN_POINT('Origin',(-1.86,3.35,0.75));
#59434=CARTESIAN_POINT('',(-1.86,3.7,0.75));
#59435=CARTESIAN_POINT('',(-1.86,3.35,0.75));
#59436=CARTESIAN_POINT('',(-1.64,3.7,0.75));
#59437=CARTESIAN_POINT('',(-1.86,3.7,0.75));
#59438=CARTESIAN_POINT('',(-1.64,3.35,0.75));
#59439=CARTESIAN_POINT('Origin',(1.64,3.35,0.75));
#59440=CARTESIAN_POINT('',(1.64,3.7,0.75));
#59441=CARTESIAN_POINT('',(1.64,3.35,0.75));
#59442=CARTESIAN_POINT('',(1.86,3.7,0.75));
#59443=CARTESIAN_POINT('',(1.64,3.7,0.75));
#59444=CARTESIAN_POINT('',(1.86,3.35,0.75));
#59445=CARTESIAN_POINT('Origin',(-3.325,3.5,0.85));
#59446=CARTESIAN_POINT('',(-1.64,3.5,0.85));
#59447=CARTESIAN_POINT('',(-1.64,3.5,0.622426637189));
#59448=CARTESIAN_POINT('',(-1.36,3.5,0.85));
#59449=CARTESIAN_POINT('',(-3.325,3.5,0.85));
#59450=CARTESIAN_POINT('',(-1.36,3.5,0.622426637189));
#59451=CARTESIAN_POINT('Origin',(-3.325,3.5,0.85));
#59452=CARTESIAN_POINT('',(1.36,3.5,0.85));
#59453=CARTESIAN_POINT('',(1.36,3.5,0.622426637189));
#59454=CARTESIAN_POINT('',(1.64,3.5,0.85));
#59455=CARTESIAN_POINT('',(-3.325,3.5,0.85));
#59456=CARTESIAN_POINT('',(1.64,3.5,0.622426637189));
#59457=CARTESIAN_POINT('Origin',(-1.36,3.35,0.75));
#59458=CARTESIAN_POINT('',(-1.36,3.7,0.75));
#59459=CARTESIAN_POINT('',(-1.36,3.35,0.75));
#59460=CARTESIAN_POINT('',(-1.14,3.7,0.75));
#59461=CARTESIAN_POINT('',(-1.36,3.7,0.75));
#59462=CARTESIAN_POINT('',(-1.14,3.35,0.75));
#59463=CARTESIAN_POINT('Origin',(1.14,3.35,0.75));
#59464=CARTESIAN_POINT('',(1.14,3.7,0.75));
#59465=CARTESIAN_POINT('',(1.14,3.35,0.75));
#59466=CARTESIAN_POINT('',(1.36,3.7,0.75));
#59467=CARTESIAN_POINT('',(1.14,3.7,0.75));
#59468=CARTESIAN_POINT('',(1.36,3.35,0.75));
#59469=CARTESIAN_POINT('Origin',(-3.325,3.5,0.85));
#59470=CARTESIAN_POINT('',(-1.14,3.5,0.85));
#59471=CARTESIAN_POINT('',(-1.14,3.5,0.622426637189));
#59472=CARTESIAN_POINT('',(-0.86,3.5,0.85));
#59473=CARTESIAN_POINT('',(-3.325,3.5,0.85));
#59474=CARTESIAN_POINT('',(-0.86,3.5,0.622426637189));
#59475=CARTESIAN_POINT('Origin',(-3.325,3.5,0.85));
#59476=CARTESIAN_POINT('',(0.86,3.5,0.85));
#59477=CARTESIAN_POINT('',(0.86,3.5,0.622426637189));
#59478=CARTESIAN_POINT('',(1.14,3.5,0.85));
#59479=CARTESIAN_POINT('',(-3.325,3.5,0.85));
#59480=CARTESIAN_POINT('',(1.14,3.5,0.622426637189));
#59481=CARTESIAN_POINT('Origin',(-0.86,3.35,0.75));
#59482=CARTESIAN_POINT('',(-0.86,3.7,0.75));
#59483=CARTESIAN_POINT('',(-0.86,3.35,0.75));
#59484=CARTESIAN_POINT('',(-0.64,3.7,0.75));
#59485=CARTESIAN_POINT('',(-0.86,3.7,0.75));
#59486=CARTESIAN_POINT('',(-0.64,3.35,0.75));
#59487=CARTESIAN_POINT('Origin',(0.64,3.35,0.75));
#59488=CARTESIAN_POINT('',(0.64,3.7,0.75));
#59489=CARTESIAN_POINT('',(0.64,3.35,0.75));
#59490=CARTESIAN_POINT('',(0.86,3.7,0.75));
#59491=CARTESIAN_POINT('',(0.64,3.7,0.75));
#59492=CARTESIAN_POINT('',(0.86,3.35,0.75));
#59493=CARTESIAN_POINT('Origin',(-3.325,3.5,0.85));
#59494=CARTESIAN_POINT('',(-0.64,3.5,0.85));
#59495=CARTESIAN_POINT('',(-0.64,3.5,0.622426637189));
#59496=CARTESIAN_POINT('',(-0.36,3.5,0.85));
#59497=CARTESIAN_POINT('',(-3.325,3.5,0.85));
#59498=CARTESIAN_POINT('',(-0.36,3.5,0.622426637189));
#59499=CARTESIAN_POINT('Origin',(-3.325,3.5,0.85));
#59500=CARTESIAN_POINT('',(0.36,3.5,0.85));
#59501=CARTESIAN_POINT('',(0.36,3.5,0.622426637189));
#59502=CARTESIAN_POINT('',(0.64,3.5,0.85));
#59503=CARTESIAN_POINT('',(-3.325,3.5,0.85));
#59504=CARTESIAN_POINT('',(0.64,3.5,0.622426637189));
#59505=CARTESIAN_POINT('Origin',(-0.36,3.35,0.75));
#59506=CARTESIAN_POINT('',(-0.36,3.7,0.75));
#59507=CARTESIAN_POINT('',(-0.36,3.35,0.75));
#59508=CARTESIAN_POINT('',(-0.14,3.7,0.75));
#59509=CARTESIAN_POINT('',(-0.36,3.7,0.75));
#59510=CARTESIAN_POINT('',(-0.14,3.35,0.75));
#59511=CARTESIAN_POINT('Origin',(0.14,3.35,0.75));
#59512=CARTESIAN_POINT('',(0.14,3.7,0.75));
#59513=CARTESIAN_POINT('',(0.14,3.35,0.75));
#59514=CARTESIAN_POINT('',(0.36,3.7,0.75));
#59515=CARTESIAN_POINT('',(0.14,3.7,0.75));
#59516=CARTESIAN_POINT('',(0.36,3.35,0.75));
#59517=CARTESIAN_POINT('Origin',(-3.325,3.5,0.85));
#59518=CARTESIAN_POINT('',(-0.14,3.5,0.85));
#59519=CARTESIAN_POINT('',(-0.14,3.5,0.622426637189));
#59520=CARTESIAN_POINT('',(0.14,3.5,0.85));
#59521=CARTESIAN_POINT('',(-3.325,3.5,0.85));
#59522=CARTESIAN_POINT('',(0.14,3.5,0.622426637189));
#59523=CARTESIAN_POINT('Origin',(-3.5,3.325,0.85));
#59524=CARTESIAN_POINT('',(-3.5,3.325,0.85));
#59525=CARTESIAN_POINT('',(-3.5,3.325,0.75));
#59526=CARTESIAN_POINT('',(-3.5,3.325,0.85));
#59527=CARTESIAN_POINT('Origin',(0.,3.5,0.85));
#59528=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59529=CARTESIAN_POINT('',(-3.325,3.5,0.85));
#59530=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59531=CARTESIAN_POINT('Origin',(-5.55111512312578E-16,3.425,0.85));
#59532=CARTESIAN_POINT('',(3.2875,3.425,0.85));
#59533=CARTESIAN_POINT('',(3.22255493030389,3.2952075310899,1.46069604886098));
#59534=CARTESIAN_POINT('',(3.2875,3.425,0.85));
#59535=CARTESIAN_POINT('',(2.86,3.425,0.85));
#59536=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59537=CARTESIAN_POINT('',(2.64,3.425,0.85));
#59538=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59539=CARTESIAN_POINT('',(2.36,3.425,0.85));
#59540=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59541=CARTESIAN_POINT('',(2.14,3.425,0.85));
#59542=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59543=CARTESIAN_POINT('',(1.86,3.425,0.85));
#59544=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59545=CARTESIAN_POINT('',(1.64,3.425,0.85));
#59546=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59547=CARTESIAN_POINT('',(1.36,3.425,0.85));
#59548=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59549=CARTESIAN_POINT('',(1.14,3.425,0.85));
#59550=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59551=CARTESIAN_POINT('',(0.86,3.425,0.85));
#59552=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59553=CARTESIAN_POINT('',(0.64,3.425,0.85));
#59554=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59555=CARTESIAN_POINT('',(0.36,3.425,0.85));
#59556=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59557=CARTESIAN_POINT('',(0.14,3.425,0.85));
#59558=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59559=CARTESIAN_POINT('',(-0.14,3.425,0.85));
#59560=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59561=CARTESIAN_POINT('',(-0.36,3.425,0.85));
#59562=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59563=CARTESIAN_POINT('',(-0.64,3.425,0.85));
#59564=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59565=CARTESIAN_POINT('',(-0.86,3.425,0.85));
#59566=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59567=CARTESIAN_POINT('',(-1.14,3.425,0.85));
#59568=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59569=CARTESIAN_POINT('',(-1.36,3.425,0.85));
#59570=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59571=CARTESIAN_POINT('',(-1.64,3.425,0.85));
#59572=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59573=CARTESIAN_POINT('',(-1.86,3.425,0.85));
#59574=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59575=CARTESIAN_POINT('',(-2.14,3.425,0.85));
#59576=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59577=CARTESIAN_POINT('',(-2.36,3.425,0.85));
#59578=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59579=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59580=CARTESIAN_POINT('',(-3.22255493036296,3.29520753106526,1.46069604886098));
#59581=CARTESIAN_POINT('',(-3.2875,3.425,0.85));
#59582=CARTESIAN_POINT('',(-3.22262820664601,3.29525641329096,1.46039558454095));
#59583=CARTESIAN_POINT('Origin',(0.,3.5,0.85));
#59584=CARTESIAN_POINT('',(-2.36,3.7,0.85));
#59585=CARTESIAN_POINT('Origin',(-2.36,3.900244542703,0.394853274378));
#59586=CARTESIAN_POINT('',(-2.36,3.7,0.85));
#59587=CARTESIAN_POINT('',(-2.36,3.7,0.85));
#59588=CARTESIAN_POINT('',(-2.36,3.9,0.65));
#59589=CARTESIAN_POINT('Origin',(-2.36,3.7,0.65));
#59590=CARTESIAN_POINT('',(-2.36,3.9,0.2));
#59591=CARTESIAN_POINT('',(-2.36,3.9,0.2));
#59592=CARTESIAN_POINT('',(-2.36,4.,0.1));
#59593=CARTESIAN_POINT('Origin',(-2.36,4.,0.2));
#59594=CARTESIAN_POINT('',(-2.36,4.5,0.1));
#59595=CARTESIAN_POINT('',(-2.36,4.5,0.1));
#59596=CARTESIAN_POINT('',(-2.36,4.5,-8.326672684689E-17));
#59597=CARTESIAN_POINT('',(-2.36,4.5,-8.326672684689E-17));
#59598=CARTESIAN_POINT('',(-2.36,4.,-8.326672684689E-17));
#59599=CARTESIAN_POINT('',(-2.36,4.,-8.326672684689E-17));
#59600=CARTESIAN_POINT('',(-2.36,3.8,0.2));
#59601=CARTESIAN_POINT('Origin',(-2.36,4.,0.2));
#59602=CARTESIAN_POINT('',(-2.36,3.8,0.65));
#59603=CARTESIAN_POINT('',(-2.36,3.8,0.65));
#59604=CARTESIAN_POINT('Origin',(-2.36,3.7,0.65));
#59605=CARTESIAN_POINT('Origin',(3.361838234914,0.,0.1));
#59606=CARTESIAN_POINT('',(3.5,2.86,0.75));
#59607=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59608=CARTESIAN_POINT('',(3.5,2.64,0.75));
#59609=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59610=CARTESIAN_POINT('',(3.5,2.36,0.75));
#59611=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59612=CARTESIAN_POINT('',(3.5,2.14,0.75));
#59613=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59614=CARTESIAN_POINT('',(3.5,1.86,0.75));
#59615=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59616=CARTESIAN_POINT('',(3.5,1.64,0.75));
#59617=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59618=CARTESIAN_POINT('',(3.5,1.36,0.75));
#59619=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59620=CARTESIAN_POINT('',(3.5,1.14,0.75));
#59621=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59622=CARTESIAN_POINT('',(3.5,0.86,0.75));
#59623=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59624=CARTESIAN_POINT('',(3.5,0.64,0.75));
#59625=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59626=CARTESIAN_POINT('',(3.5,0.36,0.75));
#59627=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59628=CARTESIAN_POINT('',(3.5,0.14,0.75));
#59629=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59630=CARTESIAN_POINT('',(3.5,-0.14,0.75));
#59631=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59632=CARTESIAN_POINT('',(3.5,-0.36,0.75));
#59633=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59634=CARTESIAN_POINT('',(3.5,-0.64,0.75));
#59635=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59636=CARTESIAN_POINT('',(3.5,-0.86,0.75));
#59637=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59638=CARTESIAN_POINT('',(3.5,-1.14,0.75));
#59639=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59640=CARTESIAN_POINT('',(3.5,-1.36,0.75));
#59641=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59642=CARTESIAN_POINT('',(3.5,-1.64,0.75));
#59643=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59644=CARTESIAN_POINT('',(3.5,-1.86,0.75));
#59645=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59646=CARTESIAN_POINT('',(3.5,-2.14,0.75));
#59647=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59648=CARTESIAN_POINT('',(3.5,-2.36,0.75));
#59649=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59650=CARTESIAN_POINT('',(3.5,-2.64,0.75));
#59651=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59652=CARTESIAN_POINT('',(3.5,-2.86,0.75));
#59653=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59654=CARTESIAN_POINT('',(3.5,-3.325,0.75));
#59655=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59656=CARTESIAN_POINT('',(3.361838234914,-3.255919117457,0.1));
#59657=CARTESIAN_POINT('Origin',(3.3088786761855,-3.3088786761855,0.1));
#59658=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59659=CARTESIAN_POINT('',(3.5,-3.325,0.75));
#59660=CARTESIAN_POINT('',(3.255919117457,-3.361838234914,0.1));
#59661=CARTESIAN_POINT('Origin',(0.,-3.361838234914,0.1));
#59662=CARTESIAN_POINT('',(2.86,-3.5,0.75));
#59663=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59664=CARTESIAN_POINT('',(2.64,-3.5,0.75));
#59665=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59666=CARTESIAN_POINT('',(2.36,-3.5,0.75));
#59667=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59668=CARTESIAN_POINT('',(2.14,-3.5,0.75));
#59669=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59670=CARTESIAN_POINT('',(1.86,-3.5,0.75));
#59671=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59672=CARTESIAN_POINT('',(1.64,-3.5,0.75));
#59673=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59674=CARTESIAN_POINT('',(1.36,-3.5,0.75));
#59675=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59676=CARTESIAN_POINT('',(1.14,-3.5,0.75));
#59677=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59678=CARTESIAN_POINT('',(0.86,-3.5,0.75));
#59679=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59680=CARTESIAN_POINT('',(0.64,-3.5,0.75));
#59681=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59682=CARTESIAN_POINT('',(0.36,-3.5,0.75));
#59683=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59684=CARTESIAN_POINT('',(0.14,-3.5,0.75));
#59685=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59686=CARTESIAN_POINT('',(-0.14,-3.5,0.75));
#59687=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59688=CARTESIAN_POINT('',(-0.36,-3.5,0.75));
#59689=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59690=CARTESIAN_POINT('',(-0.64,-3.5,0.75));
#59691=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59692=CARTESIAN_POINT('',(-0.86,-3.5,0.75));
#59693=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59694=CARTESIAN_POINT('',(-1.14,-3.5,0.75));
#59695=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59696=CARTESIAN_POINT('',(-1.36,-3.5,0.75));
#59697=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59698=CARTESIAN_POINT('',(-1.64,-3.5,0.75));
#59699=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59700=CARTESIAN_POINT('',(-1.86,-3.5,0.75));
#59701=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59702=CARTESIAN_POINT('',(-2.14,-3.5,0.75));
#59703=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59704=CARTESIAN_POINT('',(-2.36,-3.5,0.75));
#59705=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59706=CARTESIAN_POINT('',(-2.64,-3.5,0.75));
#59707=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59708=CARTESIAN_POINT('',(-2.86,-3.5,0.75));
#59709=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59710=CARTESIAN_POINT('',(-3.325,-3.5,0.75));
#59711=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#59712=CARTESIAN_POINT('',(-3.255919117457,-3.361838234914,0.1));
#59713=CARTESIAN_POINT('Origin',(-3.3088786761855,-3.3088786761855,0.1));
#59714=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59715=CARTESIAN_POINT('',(-3.325,-3.5,0.75));
#59716=CARTESIAN_POINT('',(-3.361838234914,-3.255919117457,0.1));
#59717=CARTESIAN_POINT('Origin',(-3.361838234914,0.,0.1));
#59718=CARTESIAN_POINT('',(-3.5,-2.86,0.75));
#59719=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59720=CARTESIAN_POINT('',(-3.5,-2.64,0.75));
#59721=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59722=CARTESIAN_POINT('',(-3.5,-2.36,0.75));
#59723=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59724=CARTESIAN_POINT('',(-3.5,-2.14,0.75));
#59725=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59726=CARTESIAN_POINT('',(-3.5,-1.86,0.75));
#59727=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59728=CARTESIAN_POINT('',(-3.5,-1.64,0.75));
#59729=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59730=CARTESIAN_POINT('',(-3.5,-1.36,0.75));
#59731=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59732=CARTESIAN_POINT('',(-3.5,-1.14,0.75));
#59733=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59734=CARTESIAN_POINT('',(-3.5,-0.86,0.75));
#59735=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59736=CARTESIAN_POINT('',(-3.5,-0.64,0.75));
#59737=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59738=CARTESIAN_POINT('',(-3.5,-0.36,0.75));
#59739=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59740=CARTESIAN_POINT('',(-3.5,-0.14,0.75));
#59741=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59742=CARTESIAN_POINT('',(-3.5,0.14,0.75));
#59743=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59744=CARTESIAN_POINT('',(-3.5,0.36,0.75));
#59745=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59746=CARTESIAN_POINT('',(-3.5,0.64,0.75));
#59747=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59748=CARTESIAN_POINT('',(-3.5,0.86,0.75));
#59749=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59750=CARTESIAN_POINT('',(-3.5,1.14,0.75));
#59751=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59752=CARTESIAN_POINT('',(-3.5,1.36,0.75));
#59753=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59754=CARTESIAN_POINT('',(-3.5,1.64,0.75));
#59755=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59756=CARTESIAN_POINT('',(-3.5,1.86,0.75));
#59757=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59758=CARTESIAN_POINT('',(-3.5,2.14,0.75));
#59759=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59760=CARTESIAN_POINT('',(-3.5,2.36,0.75));
#59761=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59762=CARTESIAN_POINT('',(-3.5,2.64,0.75));
#59763=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59764=CARTESIAN_POINT('',(-3.5,2.86,0.75));
#59765=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59766=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#59767=CARTESIAN_POINT('Origin',(3.325,3.5,0.85));
#59768=CARTESIAN_POINT('',(3.5,3.325,0.85));
#59769=CARTESIAN_POINT('',(3.325,3.5,0.85));
#59770=CARTESIAN_POINT('',(3.5,3.325,0.75));
#59771=CARTESIAN_POINT('Origin',(2.86,3.900244542703,0.394853274378));
#59772=CARTESIAN_POINT('',(2.86,3.8,0.65));
#59773=CARTESIAN_POINT('Origin',(2.86,3.7,0.65));
#59774=CARTESIAN_POINT('',(2.86,3.8,0.2));
#59775=CARTESIAN_POINT('',(2.86,3.8,0.65));
#59776=CARTESIAN_POINT('',(2.86,4.,-8.326672684689E-17));
#59777=CARTESIAN_POINT('Origin',(2.86,4.,0.2));
#59778=CARTESIAN_POINT('',(2.86,4.5,-8.326672684689E-17));
#59779=CARTESIAN_POINT('',(2.86,4.,-8.326672684689E-17));
#59780=CARTESIAN_POINT('',(2.86,4.5,0.1));
#59781=CARTESIAN_POINT('',(2.86,4.5,-8.326672684689E-17));
#59782=CARTESIAN_POINT('',(2.86,4.,0.1));
#59783=CARTESIAN_POINT('',(2.86,4.5,0.1));
#59784=CARTESIAN_POINT('',(2.86,3.9,0.2));
#59785=CARTESIAN_POINT('Origin',(2.86,4.,0.2));
#59786=CARTESIAN_POINT('',(2.86,3.9,0.65));
#59787=CARTESIAN_POINT('',(2.86,3.9,0.2));
#59788=CARTESIAN_POINT('',(2.86,3.7,0.85));
#59789=CARTESIAN_POINT('Origin',(2.86,3.7,0.65));
#59790=CARTESIAN_POINT('',(2.86,3.7,0.85));
#59791=CARTESIAN_POINT('Origin',(0.,3.5,0.85));
#59792=CARTESIAN_POINT('',(2.86,3.7,0.85));
#59793=CARTESIAN_POINT('',(3.325,3.5,0.85));
#59794=CARTESIAN_POINT('Origin',(2.64,3.900244542703,0.394853274378));
#59795=CARTESIAN_POINT('',(2.64,3.7,0.85));
#59796=CARTESIAN_POINT('',(2.64,3.7,0.85));
#59797=CARTESIAN_POINT('',(2.64,3.9,0.65));
#59798=CARTESIAN_POINT('Origin',(2.64,3.7,0.65));
#59799=CARTESIAN_POINT('',(2.64,3.9,0.2));
#59800=CARTESIAN_POINT('',(2.64,3.9,0.2));
#59801=CARTESIAN_POINT('',(2.64,4.,0.1));
#59802=CARTESIAN_POINT('Origin',(2.64,4.,0.2));
#59803=CARTESIAN_POINT('',(2.64,4.5,0.1));
#59804=CARTESIAN_POINT('',(2.64,4.5,0.1));
#59805=CARTESIAN_POINT('',(2.64,4.5,-8.326672684689E-17));
#59806=CARTESIAN_POINT('',(2.64,4.5,-8.326672684689E-17));
#59807=CARTESIAN_POINT('',(2.64,4.,-8.326672684689E-17));
#59808=CARTESIAN_POINT('',(2.64,4.,-8.326672684689E-17));
#59809=CARTESIAN_POINT('',(2.64,3.8,0.2));
#59810=CARTESIAN_POINT('Origin',(2.64,4.,0.2));
#59811=CARTESIAN_POINT('',(2.64,3.8,0.65));
#59812=CARTESIAN_POINT('',(2.64,3.8,0.65));
#59813=CARTESIAN_POINT('Origin',(2.64,3.7,0.65));
#59814=CARTESIAN_POINT('Origin',(2.64,3.7,0.65));
#59815=CARTESIAN_POINT('',(2.64,3.8,0.65));
#59816=CARTESIAN_POINT('Origin',(2.36,3.900244542703,0.394853274378));
#59817=CARTESIAN_POINT('',(2.36,3.8,0.65));
#59818=CARTESIAN_POINT('Origin',(2.36,3.7,0.65));
#59819=CARTESIAN_POINT('',(2.36,3.8,0.2));
#59820=CARTESIAN_POINT('',(2.36,3.8,0.65));
#59821=CARTESIAN_POINT('',(2.36,4.,-8.326672684689E-17));
#59822=CARTESIAN_POINT('Origin',(2.36,4.,0.2));
#59823=CARTESIAN_POINT('',(2.36,4.5,-8.326672684689E-17));
#59824=CARTESIAN_POINT('',(2.36,4.,-8.326672684689E-17));
#59825=CARTESIAN_POINT('',(2.36,4.5,0.1));
#59826=CARTESIAN_POINT('',(2.36,4.5,-8.326672684689E-17));
#59827=CARTESIAN_POINT('',(2.36,4.,0.1));
#59828=CARTESIAN_POINT('',(2.36,4.5,0.1));
#59829=CARTESIAN_POINT('',(2.36,3.9,0.2));
#59830=CARTESIAN_POINT('Origin',(2.36,4.,0.2));
#59831=CARTESIAN_POINT('',(2.36,3.9,0.65));
#59832=CARTESIAN_POINT('',(2.36,3.9,0.2));
#59833=CARTESIAN_POINT('',(2.36,3.7,0.85));
#59834=CARTESIAN_POINT('Origin',(2.36,3.7,0.65));
#59835=CARTESIAN_POINT('',(2.36,3.7,0.85));
#59836=CARTESIAN_POINT('Origin',(0.,3.5,0.85));
#59837=CARTESIAN_POINT('',(2.36,3.7,0.85));
#59838=CARTESIAN_POINT('',(2.64,3.7,0.85));
#59839=CARTESIAN_POINT('Origin',(-2.36,3.7,0.65));
#59840=CARTESIAN_POINT('',(-2.14,3.8,0.65));
#59841=CARTESIAN_POINT('',(-2.36,3.8,0.65));
#59842=CARTESIAN_POINT('Origin',(-2.14,3.7,0.65));
#59843=CARTESIAN_POINT('Origin',(-2.14,3.900244542703,0.394853274378));
#59844=CARTESIAN_POINT('',(-2.14,3.8,0.2));
#59845=CARTESIAN_POINT('',(-2.14,3.8,0.65));
#59846=CARTESIAN_POINT('',(-2.14,4.,-8.326672684689E-17));
#59847=CARTESIAN_POINT('Origin',(-2.14,4.,0.2));
#59848=CARTESIAN_POINT('',(-2.14,4.5,-8.326672684689E-17));
#59849=CARTESIAN_POINT('',(-2.14,4.,-8.326672684689E-17));
#59850=CARTESIAN_POINT('',(-2.14,4.5,0.1));
#59851=CARTESIAN_POINT('',(-2.14,4.5,-8.326672684689E-17));
#59852=CARTESIAN_POINT('',(-2.14,4.,0.1));
#59853=CARTESIAN_POINT('',(-2.14,4.5,0.1));
#59854=CARTESIAN_POINT('',(-2.14,3.9,0.2));
#59855=CARTESIAN_POINT('Origin',(-2.14,4.,0.2));
#59856=CARTESIAN_POINT('',(-2.14,3.9,0.65));
#59857=CARTESIAN_POINT('',(-2.14,3.9,0.2));
#59858=CARTESIAN_POINT('',(-2.14,3.7,0.85));
#59859=CARTESIAN_POINT('Origin',(-2.14,3.7,0.65));
#59860=CARTESIAN_POINT('',(-2.14,3.7,0.85));
#59861=CARTESIAN_POINT('Origin',(2.14,3.900244542703,0.394853274378));
#59862=CARTESIAN_POINT('',(2.14,3.7,0.85));
#59863=CARTESIAN_POINT('',(2.14,3.7,0.85));
#59864=CARTESIAN_POINT('',(2.14,3.9,0.65));
#59865=CARTESIAN_POINT('Origin',(2.14,3.7,0.65));
#59866=CARTESIAN_POINT('',(2.14,3.9,0.2));
#59867=CARTESIAN_POINT('',(2.14,3.9,0.2));
#59868=CARTESIAN_POINT('',(2.14,4.,0.1));
#59869=CARTESIAN_POINT('Origin',(2.14,4.,0.2));
#59870=CARTESIAN_POINT('',(2.14,4.5,0.1));
#59871=CARTESIAN_POINT('',(2.14,4.5,0.1));
#59872=CARTESIAN_POINT('',(2.14,4.5,-8.326672684689E-17));
#59873=CARTESIAN_POINT('',(2.14,4.5,-8.326672684689E-17));
#59874=CARTESIAN_POINT('',(2.14,4.,-8.326672684689E-17));
#59875=CARTESIAN_POINT('',(2.14,4.,-8.326672684689E-17));
#59876=CARTESIAN_POINT('',(2.14,3.8,0.2));
#59877=CARTESIAN_POINT('Origin',(2.14,4.,0.2));
#59878=CARTESIAN_POINT('',(2.14,3.8,0.65));
#59879=CARTESIAN_POINT('',(2.14,3.8,0.65));
#59880=CARTESIAN_POINT('Origin',(2.14,3.7,0.65));
#59881=CARTESIAN_POINT('Origin',(2.14,3.7,0.65));
#59882=CARTESIAN_POINT('',(2.14,3.8,0.65));
#59883=CARTESIAN_POINT('Origin',(0.,3.5,0.85));
#59884=CARTESIAN_POINT('',(-2.14,3.7,0.85));
#59885=CARTESIAN_POINT('',(-1.86,3.7,0.85));
#59886=CARTESIAN_POINT('Origin',(-1.86,3.900244542703,0.394853274378));
#59887=CARTESIAN_POINT('',(-1.86,3.7,0.85));
#59888=CARTESIAN_POINT('',(-1.86,3.7,0.85));
#59889=CARTESIAN_POINT('',(-1.86,3.9,0.65));
#59890=CARTESIAN_POINT('Origin',(-1.86,3.7,0.65));
#59891=CARTESIAN_POINT('',(-1.86,3.9,0.2));
#59892=CARTESIAN_POINT('',(-1.86,3.9,0.2));
#59893=CARTESIAN_POINT('',(-1.86,4.,0.1));
#59894=CARTESIAN_POINT('Origin',(-1.86,4.,0.2));
#59895=CARTESIAN_POINT('',(-1.86,4.5,0.1));
#59896=CARTESIAN_POINT('',(-1.86,4.5,0.1));
#59897=CARTESIAN_POINT('',(-1.86,4.5,-8.326672684689E-17));
#59898=CARTESIAN_POINT('',(-1.86,4.5,-8.326672684689E-17));
#59899=CARTESIAN_POINT('',(-1.86,4.,-8.326672684689E-17));
#59900=CARTESIAN_POINT('',(-1.86,4.,-8.326672684689E-17));
#59901=CARTESIAN_POINT('',(-1.86,3.8,0.2));
#59902=CARTESIAN_POINT('Origin',(-1.86,4.,0.2));
#59903=CARTESIAN_POINT('',(-1.86,3.8,0.65));
#59904=CARTESIAN_POINT('',(-1.86,3.8,0.65));
#59905=CARTESIAN_POINT('Origin',(-1.86,3.7,0.65));
#59906=CARTESIAN_POINT('Origin',(1.86,3.900244542703,0.394853274378));
#59907=CARTESIAN_POINT('',(1.86,3.8,0.65));
#59908=CARTESIAN_POINT('Origin',(1.86,3.7,0.65));
#59909=CARTESIAN_POINT('',(1.86,3.8,0.2));
#59910=CARTESIAN_POINT('',(1.86,3.8,0.65));
#59911=CARTESIAN_POINT('',(1.86,4.,-8.326672684689E-17));
#59912=CARTESIAN_POINT('Origin',(1.86,4.,0.2));
#59913=CARTESIAN_POINT('',(1.86,4.5,-8.326672684689E-17));
#59914=CARTESIAN_POINT('',(1.86,4.,-8.326672684689E-17));
#59915=CARTESIAN_POINT('',(1.86,4.5,0.1));
#59916=CARTESIAN_POINT('',(1.86,4.5,-8.326672684689E-17));
#59917=CARTESIAN_POINT('',(1.86,4.,0.1));
#59918=CARTESIAN_POINT('',(1.86,4.5,0.1));
#59919=CARTESIAN_POINT('',(1.86,3.9,0.2));
#59920=CARTESIAN_POINT('Origin',(1.86,4.,0.2));
#59921=CARTESIAN_POINT('',(1.86,3.9,0.65));
#59922=CARTESIAN_POINT('',(1.86,3.9,0.2));
#59923=CARTESIAN_POINT('',(1.86,3.7,0.85));
#59924=CARTESIAN_POINT('Origin',(1.86,3.7,0.65));
#59925=CARTESIAN_POINT('',(1.86,3.7,0.85));
#59926=CARTESIAN_POINT('Origin',(0.,3.5,0.85));
#59927=CARTESIAN_POINT('',(1.86,3.7,0.85));
#59928=CARTESIAN_POINT('',(2.14,3.7,0.85));
#59929=CARTESIAN_POINT('Origin',(-1.86,3.7,0.65));
#59930=CARTESIAN_POINT('',(-1.64,3.8,0.65));
#59931=CARTESIAN_POINT('',(-1.86,3.8,0.65));
#59932=CARTESIAN_POINT('Origin',(-1.64,3.7,0.65));
#59933=CARTESIAN_POINT('Origin',(-1.64,3.900244542703,0.394853274378));
#59934=CARTESIAN_POINT('',(-1.64,3.8,0.2));
#59935=CARTESIAN_POINT('',(-1.64,3.8,0.65));
#59936=CARTESIAN_POINT('',(-1.64,4.,-8.326672684689E-17));
#59937=CARTESIAN_POINT('Origin',(-1.64,4.,0.2));
#59938=CARTESIAN_POINT('',(-1.64,4.5,-8.326672684689E-17));
#59939=CARTESIAN_POINT('',(-1.64,4.,-8.326672684689E-17));
#59940=CARTESIAN_POINT('',(-1.64,4.5,0.1));
#59941=CARTESIAN_POINT('',(-1.64,4.5,-8.326672684689E-17));
#59942=CARTESIAN_POINT('',(-1.64,4.,0.1));
#59943=CARTESIAN_POINT('',(-1.64,4.5,0.1));
#59944=CARTESIAN_POINT('',(-1.64,3.9,0.2));
#59945=CARTESIAN_POINT('Origin',(-1.64,4.,0.2));
#59946=CARTESIAN_POINT('',(-1.64,3.9,0.65));
#59947=CARTESIAN_POINT('',(-1.64,3.9,0.2));
#59948=CARTESIAN_POINT('',(-1.64,3.7,0.85));
#59949=CARTESIAN_POINT('Origin',(-1.64,3.7,0.65));
#59950=CARTESIAN_POINT('',(-1.64,3.7,0.85));
#59951=CARTESIAN_POINT('Origin',(1.64,3.900244542703,0.394853274378));
#59952=CARTESIAN_POINT('',(1.64,3.7,0.85));
#59953=CARTESIAN_POINT('',(1.64,3.7,0.85));
#59954=CARTESIAN_POINT('',(1.64,3.9,0.65));
#59955=CARTESIAN_POINT('Origin',(1.64,3.7,0.65));
#59956=CARTESIAN_POINT('',(1.64,3.9,0.2));
#59957=CARTESIAN_POINT('',(1.64,3.9,0.2));
#59958=CARTESIAN_POINT('',(1.64,4.,0.1));
#59959=CARTESIAN_POINT('Origin',(1.64,4.,0.2));
#59960=CARTESIAN_POINT('',(1.64,4.5,0.1));
#59961=CARTESIAN_POINT('',(1.64,4.5,0.1));
#59962=CARTESIAN_POINT('',(1.64,4.5,-8.326672684689E-17));
#59963=CARTESIAN_POINT('',(1.64,4.5,-8.326672684689E-17));
#59964=CARTESIAN_POINT('',(1.64,4.,-8.326672684689E-17));
#59965=CARTESIAN_POINT('',(1.64,4.,-8.326672684689E-17));
#59966=CARTESIAN_POINT('',(1.64,3.8,0.2));
#59967=CARTESIAN_POINT('Origin',(1.64,4.,0.2));
#59968=CARTESIAN_POINT('',(1.64,3.8,0.65));
#59969=CARTESIAN_POINT('',(1.64,3.8,0.65));
#59970=CARTESIAN_POINT('Origin',(1.64,3.7,0.65));
#59971=CARTESIAN_POINT('Origin',(1.64,3.7,0.65));
#59972=CARTESIAN_POINT('',(1.64,3.8,0.65));
#59973=CARTESIAN_POINT('Origin',(0.,3.5,0.85));
#59974=CARTESIAN_POINT('',(-1.64,3.7,0.85));
#59975=CARTESIAN_POINT('',(-1.36,3.7,0.85));
#59976=CARTESIAN_POINT('Origin',(-1.36,3.900244542703,0.394853274378));
#59977=CARTESIAN_POINT('',(-1.36,3.7,0.85));
#59978=CARTESIAN_POINT('',(-1.36,3.7,0.85));
#59979=CARTESIAN_POINT('',(-1.36,3.9,0.65));
#59980=CARTESIAN_POINT('Origin',(-1.36,3.7,0.65));
#59981=CARTESIAN_POINT('',(-1.36,3.9,0.2));
#59982=CARTESIAN_POINT('',(-1.36,3.9,0.2));
#59983=CARTESIAN_POINT('',(-1.36,4.,0.1));
#59984=CARTESIAN_POINT('Origin',(-1.36,4.,0.2));
#59985=CARTESIAN_POINT('',(-1.36,4.5,0.1));
#59986=CARTESIAN_POINT('',(-1.36,4.5,0.1));
#59987=CARTESIAN_POINT('',(-1.36,4.5,-8.326672684689E-17));
#59988=CARTESIAN_POINT('',(-1.36,4.5,-8.326672684689E-17));
#59989=CARTESIAN_POINT('',(-1.36,4.,-8.326672684689E-17));
#59990=CARTESIAN_POINT('',(-1.36,4.,-8.326672684689E-17));
#59991=CARTESIAN_POINT('',(-1.36,3.8,0.2));
#59992=CARTESIAN_POINT('Origin',(-1.36,4.,0.2));
#59993=CARTESIAN_POINT('',(-1.36,3.8,0.65));
#59994=CARTESIAN_POINT('',(-1.36,3.8,0.65));
#59995=CARTESIAN_POINT('Origin',(-1.36,3.7,0.65));
#59996=CARTESIAN_POINT('Origin',(1.36,3.900244542703,0.394853274378));
#59997=CARTESIAN_POINT('',(1.36,3.8,0.65));
#59998=CARTESIAN_POINT('Origin',(1.36,3.7,0.65));
#59999=CARTESIAN_POINT('',(1.36,3.8,0.2));
#60000=CARTESIAN_POINT('',(1.36,3.8,0.65));
#60001=CARTESIAN_POINT('',(1.36,4.,-8.326672684689E-17));
#60002=CARTESIAN_POINT('Origin',(1.36,4.,0.2));
#60003=CARTESIAN_POINT('',(1.36,4.5,-8.326672684689E-17));
#60004=CARTESIAN_POINT('',(1.36,4.,-8.326672684689E-17));
#60005=CARTESIAN_POINT('',(1.36,4.5,0.1));
#60006=CARTESIAN_POINT('',(1.36,4.5,-8.326672684689E-17));
#60007=CARTESIAN_POINT('',(1.36,4.,0.1));
#60008=CARTESIAN_POINT('',(1.36,4.5,0.1));
#60009=CARTESIAN_POINT('',(1.36,3.9,0.2));
#60010=CARTESIAN_POINT('Origin',(1.36,4.,0.2));
#60011=CARTESIAN_POINT('',(1.36,3.9,0.65));
#60012=CARTESIAN_POINT('',(1.36,3.9,0.2));
#60013=CARTESIAN_POINT('',(1.36,3.7,0.85));
#60014=CARTESIAN_POINT('Origin',(1.36,3.7,0.65));
#60015=CARTESIAN_POINT('',(1.36,3.7,0.85));
#60016=CARTESIAN_POINT('Origin',(0.,3.5,0.85));
#60017=CARTESIAN_POINT('',(1.36,3.7,0.85));
#60018=CARTESIAN_POINT('',(1.64,3.7,0.85));
#60019=CARTESIAN_POINT('Origin',(-1.36,3.7,0.65));
#60020=CARTESIAN_POINT('',(-1.14,3.8,0.65));
#60021=CARTESIAN_POINT('',(-1.36,3.8,0.65));
#60022=CARTESIAN_POINT('Origin',(-1.14,3.7,0.65));
#60023=CARTESIAN_POINT('Origin',(-1.14,3.900244542703,0.394853274378));
#60024=CARTESIAN_POINT('',(-1.14,3.8,0.2));
#60025=CARTESIAN_POINT('',(-1.14,3.8,0.65));
#60026=CARTESIAN_POINT('',(-1.14,4.,-8.326672684689E-17));
#60027=CARTESIAN_POINT('Origin',(-1.14,4.,0.2));
#60028=CARTESIAN_POINT('',(-1.14,4.5,-8.326672684689E-17));
#60029=CARTESIAN_POINT('',(-1.14,4.,-8.326672684689E-17));
#60030=CARTESIAN_POINT('',(-1.14,4.5,0.1));
#60031=CARTESIAN_POINT('',(-1.14,4.5,-8.326672684689E-17));
#60032=CARTESIAN_POINT('',(-1.14,4.,0.1));
#60033=CARTESIAN_POINT('',(-1.14,4.5,0.1));
#60034=CARTESIAN_POINT('',(-1.14,3.9,0.2));
#60035=CARTESIAN_POINT('Origin',(-1.14,4.,0.2));
#60036=CARTESIAN_POINT('',(-1.14,3.9,0.65));
#60037=CARTESIAN_POINT('',(-1.14,3.9,0.2));
#60038=CARTESIAN_POINT('',(-1.14,3.7,0.85));
#60039=CARTESIAN_POINT('Origin',(-1.14,3.7,0.65));
#60040=CARTESIAN_POINT('',(-1.14,3.7,0.85));
#60041=CARTESIAN_POINT('Origin',(1.14,3.900244542703,0.394853274378));
#60042=CARTESIAN_POINT('',(1.14,3.7,0.85));
#60043=CARTESIAN_POINT('',(1.14,3.7,0.85));
#60044=CARTESIAN_POINT('',(1.14,3.9,0.65));
#60045=CARTESIAN_POINT('Origin',(1.14,3.7,0.65));
#60046=CARTESIAN_POINT('',(1.14,3.9,0.2));
#60047=CARTESIAN_POINT('',(1.14,3.9,0.2));
#60048=CARTESIAN_POINT('',(1.14,4.,0.1));
#60049=CARTESIAN_POINT('Origin',(1.14,4.,0.2));
#60050=CARTESIAN_POINT('',(1.14,4.5,0.1));
#60051=CARTESIAN_POINT('',(1.14,4.5,0.1));
#60052=CARTESIAN_POINT('',(1.14,4.5,-8.326672684689E-17));
#60053=CARTESIAN_POINT('',(1.14,4.5,-8.326672684689E-17));
#60054=CARTESIAN_POINT('',(1.14,4.,-8.326672684689E-17));
#60055=CARTESIAN_POINT('',(1.14,4.,-8.326672684689E-17));
#60056=CARTESIAN_POINT('',(1.14,3.8,0.2));
#60057=CARTESIAN_POINT('Origin',(1.14,4.,0.2));
#60058=CARTESIAN_POINT('',(1.14,3.8,0.65));
#60059=CARTESIAN_POINT('',(1.14,3.8,0.65));
#60060=CARTESIAN_POINT('Origin',(1.14,3.7,0.65));
#60061=CARTESIAN_POINT('Origin',(1.14,3.7,0.65));
#60062=CARTESIAN_POINT('',(1.14,3.8,0.65));
#60063=CARTESIAN_POINT('Origin',(0.,3.5,0.85));
#60064=CARTESIAN_POINT('',(-1.14,3.7,0.85));
#60065=CARTESIAN_POINT('',(-0.86,3.7,0.85));
#60066=CARTESIAN_POINT('Origin',(-0.86,3.900244542703,0.394853274378));
#60067=CARTESIAN_POINT('',(-0.86,3.7,0.85));
#60068=CARTESIAN_POINT('',(-0.86,3.7,0.85));
#60069=CARTESIAN_POINT('',(-0.86,3.9,0.65));
#60070=CARTESIAN_POINT('Origin',(-0.86,3.7,0.65));
#60071=CARTESIAN_POINT('',(-0.86,3.9,0.2));
#60072=CARTESIAN_POINT('',(-0.86,3.9,0.2));
#60073=CARTESIAN_POINT('',(-0.86,4.,0.1));
#60074=CARTESIAN_POINT('Origin',(-0.86,4.,0.2));
#60075=CARTESIAN_POINT('',(-0.86,4.5,0.1));
#60076=CARTESIAN_POINT('',(-0.86,4.5,0.1));
#60077=CARTESIAN_POINT('',(-0.86,4.5,-8.326672684689E-17));
#60078=CARTESIAN_POINT('',(-0.86,4.5,-8.326672684689E-17));
#60079=CARTESIAN_POINT('',(-0.86,4.,-8.326672684689E-17));
#60080=CARTESIAN_POINT('',(-0.86,4.,-8.326672684689E-17));
#60081=CARTESIAN_POINT('',(-0.86,3.8,0.2));
#60082=CARTESIAN_POINT('Origin',(-0.86,4.,0.2));
#60083=CARTESIAN_POINT('',(-0.86,3.8,0.65));
#60084=CARTESIAN_POINT('',(-0.86,3.8,0.65));
#60085=CARTESIAN_POINT('Origin',(-0.86,3.7,0.65));
#60086=CARTESIAN_POINT('Origin',(0.86,3.900244542703,0.394853274378));
#60087=CARTESIAN_POINT('',(0.86,3.8,0.65));
#60088=CARTESIAN_POINT('Origin',(0.86,3.7,0.65));
#60089=CARTESIAN_POINT('',(0.86,3.8,0.2));
#60090=CARTESIAN_POINT('',(0.86,3.8,0.65));
#60091=CARTESIAN_POINT('',(0.86,4.,-8.326672684689E-17));
#60092=CARTESIAN_POINT('Origin',(0.86,4.,0.2));
#60093=CARTESIAN_POINT('',(0.86,4.5,-8.326672684689E-17));
#60094=CARTESIAN_POINT('',(0.86,4.,-8.326672684689E-17));
#60095=CARTESIAN_POINT('',(0.86,4.5,0.1));
#60096=CARTESIAN_POINT('',(0.86,4.5,-8.326672684689E-17));
#60097=CARTESIAN_POINT('',(0.86,4.,0.1));
#60098=CARTESIAN_POINT('',(0.86,4.5,0.1));
#60099=CARTESIAN_POINT('',(0.86,3.9,0.2));
#60100=CARTESIAN_POINT('Origin',(0.86,4.,0.2));
#60101=CARTESIAN_POINT('',(0.86,3.9,0.65));
#60102=CARTESIAN_POINT('',(0.86,3.9,0.2));
#60103=CARTESIAN_POINT('',(0.86,3.7,0.85));
#60104=CARTESIAN_POINT('Origin',(0.86,3.7,0.65));
#60105=CARTESIAN_POINT('',(0.86,3.7,0.85));
#60106=CARTESIAN_POINT('Origin',(0.,3.5,0.85));
#60107=CARTESIAN_POINT('',(0.86,3.7,0.85));
#60108=CARTESIAN_POINT('',(1.14,3.7,0.85));
#60109=CARTESIAN_POINT('Origin',(-0.86,3.7,0.65));
#60110=CARTESIAN_POINT('',(-0.64,3.8,0.65));
#60111=CARTESIAN_POINT('',(-0.86,3.8,0.65));
#60112=CARTESIAN_POINT('Origin',(-0.64,3.7,0.65));
#60113=CARTESIAN_POINT('Origin',(-0.64,3.900244542703,0.394853274378));
#60114=CARTESIAN_POINT('',(-0.64,3.8,0.2));
#60115=CARTESIAN_POINT('',(-0.64,3.8,0.65));
#60116=CARTESIAN_POINT('',(-0.64,4.,-8.326672684689E-17));
#60117=CARTESIAN_POINT('Origin',(-0.64,4.,0.2));
#60118=CARTESIAN_POINT('',(-0.64,4.5,-8.326672684689E-17));
#60119=CARTESIAN_POINT('',(-0.64,4.,-8.326672684689E-17));
#60120=CARTESIAN_POINT('',(-0.64,4.5,0.1));
#60121=CARTESIAN_POINT('',(-0.64,4.5,-8.326672684689E-17));
#60122=CARTESIAN_POINT('',(-0.64,4.,0.1));
#60123=CARTESIAN_POINT('',(-0.64,4.5,0.1));
#60124=CARTESIAN_POINT('',(-0.64,3.9,0.2));
#60125=CARTESIAN_POINT('Origin',(-0.64,4.,0.2));
#60126=CARTESIAN_POINT('',(-0.64,3.9,0.65));
#60127=CARTESIAN_POINT('',(-0.64,3.9,0.2));
#60128=CARTESIAN_POINT('',(-0.64,3.7,0.85));
#60129=CARTESIAN_POINT('Origin',(-0.64,3.7,0.65));
#60130=CARTESIAN_POINT('',(-0.64,3.7,0.85));
#60131=CARTESIAN_POINT('Origin',(0.64,3.900244542703,0.394853274378));
#60132=CARTESIAN_POINT('',(0.64,3.7,0.85));
#60133=CARTESIAN_POINT('',(0.64,3.7,0.85));
#60134=CARTESIAN_POINT('',(0.64,3.9,0.65));
#60135=CARTESIAN_POINT('Origin',(0.64,3.7,0.65));
#60136=CARTESIAN_POINT('',(0.64,3.9,0.2));
#60137=CARTESIAN_POINT('',(0.64,3.9,0.2));
#60138=CARTESIAN_POINT('',(0.64,4.,0.1));
#60139=CARTESIAN_POINT('Origin',(0.64,4.,0.2));
#60140=CARTESIAN_POINT('',(0.64,4.5,0.1));
#60141=CARTESIAN_POINT('',(0.64,4.5,0.1));
#60142=CARTESIAN_POINT('',(0.64,4.5,-8.326672684689E-17));
#60143=CARTESIAN_POINT('',(0.64,4.5,-8.326672684689E-17));
#60144=CARTESIAN_POINT('',(0.64,4.,-8.326672684689E-17));
#60145=CARTESIAN_POINT('',(0.64,4.,-8.326672684689E-17));
#60146=CARTESIAN_POINT('',(0.64,3.8,0.2));
#60147=CARTESIAN_POINT('Origin',(0.64,4.,0.2));
#60148=CARTESIAN_POINT('',(0.64,3.8,0.65));
#60149=CARTESIAN_POINT('',(0.64,3.8,0.65));
#60150=CARTESIAN_POINT('Origin',(0.64,3.7,0.65));
#60151=CARTESIAN_POINT('Origin',(0.64,3.7,0.65));
#60152=CARTESIAN_POINT('',(0.64,3.8,0.65));
#60153=CARTESIAN_POINT('Origin',(0.,3.5,0.85));
#60154=CARTESIAN_POINT('',(-0.64,3.7,0.85));
#60155=CARTESIAN_POINT('',(-0.36,3.7,0.85));
#60156=CARTESIAN_POINT('Origin',(-0.36,3.900244542703,0.394853274378));
#60157=CARTESIAN_POINT('',(-0.36,3.7,0.85));
#60158=CARTESIAN_POINT('',(-0.36,3.7,0.85));
#60159=CARTESIAN_POINT('',(-0.36,3.9,0.65));
#60160=CARTESIAN_POINT('Origin',(-0.36,3.7,0.65));
#60161=CARTESIAN_POINT('',(-0.36,3.9,0.2));
#60162=CARTESIAN_POINT('',(-0.36,3.9,0.2));
#60163=CARTESIAN_POINT('',(-0.36,4.,0.1));
#60164=CARTESIAN_POINT('Origin',(-0.36,4.,0.2));
#60165=CARTESIAN_POINT('',(-0.36,4.5,0.1));
#60166=CARTESIAN_POINT('',(-0.36,4.5,0.1));
#60167=CARTESIAN_POINT('',(-0.36,4.5,-8.326672684689E-17));
#60168=CARTESIAN_POINT('',(-0.36,4.5,-8.326672684689E-17));
#60169=CARTESIAN_POINT('',(-0.36,4.,-8.326672684689E-17));
#60170=CARTESIAN_POINT('',(-0.36,4.,-8.326672684689E-17));
#60171=CARTESIAN_POINT('',(-0.36,3.8,0.2));
#60172=CARTESIAN_POINT('Origin',(-0.36,4.,0.2));
#60173=CARTESIAN_POINT('',(-0.36,3.8,0.65));
#60174=CARTESIAN_POINT('',(-0.36,3.8,0.65));
#60175=CARTESIAN_POINT('Origin',(-0.36,3.7,0.65));
#60176=CARTESIAN_POINT('Origin',(0.36,3.900244542703,0.394853274378));
#60177=CARTESIAN_POINT('',(0.36,3.8,0.65));
#60178=CARTESIAN_POINT('Origin',(0.36,3.7,0.65));
#60179=CARTESIAN_POINT('',(0.36,3.8,0.2));
#60180=CARTESIAN_POINT('',(0.36,3.8,0.65));
#60181=CARTESIAN_POINT('',(0.36,4.,-8.326672684689E-17));
#60182=CARTESIAN_POINT('Origin',(0.36,4.,0.2));
#60183=CARTESIAN_POINT('',(0.36,4.5,-8.326672684689E-17));
#60184=CARTESIAN_POINT('',(0.36,4.,-8.326672684689E-17));
#60185=CARTESIAN_POINT('',(0.36,4.5,0.1));
#60186=CARTESIAN_POINT('',(0.36,4.5,-8.326672684689E-17));
#60187=CARTESIAN_POINT('',(0.36,4.,0.1));
#60188=CARTESIAN_POINT('',(0.36,4.5,0.1));
#60189=CARTESIAN_POINT('',(0.36,3.9,0.2));
#60190=CARTESIAN_POINT('Origin',(0.36,4.,0.2));
#60191=CARTESIAN_POINT('',(0.36,3.9,0.65));
#60192=CARTESIAN_POINT('',(0.36,3.9,0.2));
#60193=CARTESIAN_POINT('',(0.36,3.7,0.85));
#60194=CARTESIAN_POINT('Origin',(0.36,3.7,0.65));
#60195=CARTESIAN_POINT('',(0.36,3.7,0.85));
#60196=CARTESIAN_POINT('Origin',(0.,3.5,0.85));
#60197=CARTESIAN_POINT('',(0.36,3.7,0.85));
#60198=CARTESIAN_POINT('',(0.64,3.7,0.85));
#60199=CARTESIAN_POINT('Origin',(-0.36,3.7,0.65));
#60200=CARTESIAN_POINT('',(-0.14,3.8,0.65));
#60201=CARTESIAN_POINT('',(-0.36,3.8,0.65));
#60202=CARTESIAN_POINT('Origin',(-0.14,3.7,0.65));
#60203=CARTESIAN_POINT('Origin',(-0.14,3.900244542703,0.394853274378));
#60204=CARTESIAN_POINT('',(-0.14,3.8,0.2));
#60205=CARTESIAN_POINT('',(-0.14,3.8,0.65));
#60206=CARTESIAN_POINT('',(-0.14,4.,-8.326672684689E-17));
#60207=CARTESIAN_POINT('Origin',(-0.14,4.,0.2));
#60208=CARTESIAN_POINT('',(-0.14,4.5,-8.326672684689E-17));
#60209=CARTESIAN_POINT('',(-0.14,4.,-8.326672684689E-17));
#60210=CARTESIAN_POINT('',(-0.14,4.5,0.1));
#60211=CARTESIAN_POINT('',(-0.14,4.5,-8.326672684689E-17));
#60212=CARTESIAN_POINT('',(-0.14,4.,0.1));
#60213=CARTESIAN_POINT('',(-0.14,4.5,0.1));
#60214=CARTESIAN_POINT('',(-0.14,3.9,0.2));
#60215=CARTESIAN_POINT('Origin',(-0.14,4.,0.2));
#60216=CARTESIAN_POINT('',(-0.14,3.9,0.65));
#60217=CARTESIAN_POINT('',(-0.14,3.9,0.2));
#60218=CARTESIAN_POINT('',(-0.14,3.7,0.85));
#60219=CARTESIAN_POINT('Origin',(-0.14,3.7,0.65));
#60220=CARTESIAN_POINT('',(-0.14,3.7,0.85));
#60221=CARTESIAN_POINT('Origin',(0.14,3.900244542703,0.394853274378));
#60222=CARTESIAN_POINT('',(0.14,3.7,0.85));
#60223=CARTESIAN_POINT('',(0.14,3.7,0.85));
#60224=CARTESIAN_POINT('',(0.14,3.9,0.65));
#60225=CARTESIAN_POINT('Origin',(0.14,3.7,0.65));
#60226=CARTESIAN_POINT('',(0.14,3.9,0.2));
#60227=CARTESIAN_POINT('',(0.14,3.9,0.2));
#60228=CARTESIAN_POINT('',(0.14,4.,0.1));
#60229=CARTESIAN_POINT('Origin',(0.14,4.,0.2));
#60230=CARTESIAN_POINT('',(0.14,4.5,0.1));
#60231=CARTESIAN_POINT('',(0.14,4.5,0.1));
#60232=CARTESIAN_POINT('',(0.14,4.5,-8.326672684689E-17));
#60233=CARTESIAN_POINT('',(0.14,4.5,-8.326672684689E-17));
#60234=CARTESIAN_POINT('',(0.14,4.,-8.326672684689E-17));
#60235=CARTESIAN_POINT('',(0.14,4.,-8.326672684689E-17));
#60236=CARTESIAN_POINT('',(0.14,3.8,0.2));
#60237=CARTESIAN_POINT('Origin',(0.14,4.,0.2));
#60238=CARTESIAN_POINT('',(0.14,3.8,0.65));
#60239=CARTESIAN_POINT('',(0.14,3.8,0.65));
#60240=CARTESIAN_POINT('Origin',(0.14,3.7,0.65));
#60241=CARTESIAN_POINT('Origin',(0.14,3.7,0.65));
#60242=CARTESIAN_POINT('',(0.14,3.8,0.65));
#60243=CARTESIAN_POINT('Origin',(0.,3.5,0.85));
#60244=CARTESIAN_POINT('',(-0.14,3.7,0.85));
#60245=CARTESIAN_POINT('',(0.14,3.7,0.85));
#60246=CARTESIAN_POINT('Origin',(-3.4125,3.4125,0.85));
#60247=CARTESIAN_POINT('',(-3.425,3.2875,0.85));
#60248=CARTESIAN_POINT('',(-3.5,3.325,0.85));
#60249=CARTESIAN_POINT('',(-3.425,3.2875,0.85));
#60250=CARTESIAN_POINT('Origin',(-3.5,-3.325,0.85));
#60251=CARTESIAN_POINT('',(-3.5,2.86,0.85));
#60252=CARTESIAN_POINT('',(-3.5,2.86,0.622426637189));
#60253=CARTESIAN_POINT('',(-3.5,-3.325,0.85));
#60254=CARTESIAN_POINT('Origin',(3.35625,3.35625,0.85));
#60255=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60256=CARTESIAN_POINT('',(3.29520753132366,3.22255492974449,1.46069604886098));
#60257=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60258=CARTESIAN_POINT('',(3.2875,3.425,0.85));
#60259=CARTESIAN_POINT('',(3.22253240815144,3.29516061479594,1.460996513181));
#60260=CARTESIAN_POINT('Origin',(-3.574055919098,3.24634903325432,1.45000000000027));
#60261=CARTESIAN_POINT('',(-3.20236463923394,3.24634902543068,1.5));
#60262=CARTESIAN_POINT('Origin',(-3.20236468538942,3.24634900589306,1.44999999938747));
#60263=CARTESIAN_POINT('',(3.202364620346,3.246349033255,1.5));
#60264=CARTESIAN_POINT('',(-3.202364620346,3.246349033255,1.5));
#60265=CARTESIAN_POINT('Origin',(3.20236462032613,3.2463490335893,1.45000000043588));
#60266=CARTESIAN_POINT('Origin',(-3.35625,3.35625,0.85));
#60267=CARTESIAN_POINT('',(-3.29520753108219,3.22255493032242,1.46069604886098));
#60268=CARTESIAN_POINT('',(-3.425,3.2875,0.85));
#60269=CARTESIAN_POINT('',(-3.29516061479594,3.22253240815144,1.460996513181));
#60270=CARTESIAN_POINT('Origin',(2.64,3.7,0.85));
#60271=CARTESIAN_POINT('',(2.64,3.7,0.85));
#60272=CARTESIAN_POINT('Origin',(2.14,3.7,0.85));
#60273=CARTESIAN_POINT('',(2.14,3.7,0.85));
#60274=CARTESIAN_POINT('Origin',(-2.36,3.7,0.85));
#60275=CARTESIAN_POINT('',(-2.36,3.7,0.85));
#60276=CARTESIAN_POINT('Origin',(1.64,3.7,0.85));
#60277=CARTESIAN_POINT('',(1.64,3.7,0.85));
#60278=CARTESIAN_POINT('Origin',(-1.86,3.7,0.85));
#60279=CARTESIAN_POINT('',(-1.86,3.7,0.85));
#60280=CARTESIAN_POINT('Origin',(1.14,3.7,0.85));
#60281=CARTESIAN_POINT('',(1.14,3.7,0.85));
#60282=CARTESIAN_POINT('Origin',(-1.36,3.7,0.85));
#60283=CARTESIAN_POINT('',(-1.36,3.7,0.85));
#60284=CARTESIAN_POINT('Origin',(0.64,3.7,0.85));
#60285=CARTESIAN_POINT('',(0.64,3.7,0.85));
#60286=CARTESIAN_POINT('Origin',(-0.86,3.7,0.85));
#60287=CARTESIAN_POINT('',(-0.86,3.7,0.85));
#60288=CARTESIAN_POINT('Origin',(0.14,3.7,0.85));
#60289=CARTESIAN_POINT('',(0.14,3.7,0.85));
#60290=CARTESIAN_POINT('Origin',(-0.36,3.7,0.85));
#60291=CARTESIAN_POINT('',(-0.36,3.7,0.85));
#60292=CARTESIAN_POINT('Origin',(-2.36,3.7,0.65));
#60293=CARTESIAN_POINT('',(-2.36,3.9,0.65));
#60294=CARTESIAN_POINT('Origin',(-2.36,3.9,0.2));
#60295=CARTESIAN_POINT('',(-2.36,3.9,0.2));
#60296=CARTESIAN_POINT('Origin',(-2.36,4.,0.2));
#60297=CARTESIAN_POINT('',(-2.36,4.,0.1));
#60298=CARTESIAN_POINT('Origin',(-2.36,4.5,0.1));
#60299=CARTESIAN_POINT('',(-2.36,4.5,0.1));
#60300=CARTESIAN_POINT('Origin',(-2.36,4.5,-8.326672684689E-17));
#60301=CARTESIAN_POINT('',(-2.36,4.5,-8.326672684689E-17));
#60302=CARTESIAN_POINT('Origin',(-2.36,4.,-8.326672684689E-17));
#60303=CARTESIAN_POINT('',(-2.36,4.,-8.326672684689E-17));
#60304=CARTESIAN_POINT('Origin',(-2.36,4.,0.2));
#60305=CARTESIAN_POINT('',(-2.36,3.8,0.2));
#60306=CARTESIAN_POINT('Origin',(-2.36,3.8,0.65));
#60307=CARTESIAN_POINT('Origin',(3.5,3.325,0.85));
#60308=CARTESIAN_POINT('',(3.5,2.86,0.85));
#60309=CARTESIAN_POINT('',(3.5,3.325,0.85));
#60310=CARTESIAN_POINT('',(3.5,2.86,0.622426637189));
#60311=CARTESIAN_POINT('Origin',(3.5,3.325,0.85));
#60312=CARTESIAN_POINT('',(3.5,-2.86,0.85));
#60313=CARTESIAN_POINT('',(3.5,-2.86,0.622426637189));
#60314=CARTESIAN_POINT('',(3.5,-3.325,0.85));
#60315=CARTESIAN_POINT('',(3.5,3.325,0.85));
#60316=CARTESIAN_POINT('',(3.5,-3.325,0.75));
#60317=CARTESIAN_POINT('Origin',(3.35,2.86,0.75));
#60318=CARTESIAN_POINT('',(3.7,2.86,0.75));
#60319=CARTESIAN_POINT('',(3.35,2.86,0.75));
#60320=CARTESIAN_POINT('',(3.7,2.64,0.75));
#60321=CARTESIAN_POINT('',(3.7,2.86,0.75));
#60322=CARTESIAN_POINT('',(3.35,2.64,0.75));
#60323=CARTESIAN_POINT('Origin',(3.35,-2.64,0.75));
#60324=CARTESIAN_POINT('',(3.7,-2.64,0.75));
#60325=CARTESIAN_POINT('',(3.35,-2.64,0.75));
#60326=CARTESIAN_POINT('',(3.7,-2.86,0.75));
#60327=CARTESIAN_POINT('',(3.7,-2.64,0.75));
#60328=CARTESIAN_POINT('',(3.35,-2.86,0.75));
#60329=CARTESIAN_POINT('Origin',(3.5,3.325,0.85));
#60330=CARTESIAN_POINT('',(3.5,2.64,0.85));
#60331=CARTESIAN_POINT('',(3.5,2.64,0.622426637189));
#60332=CARTESIAN_POINT('',(3.5,2.36,0.85));
#60333=CARTESIAN_POINT('',(3.5,3.325,0.85));
#60334=CARTESIAN_POINT('',(3.5,2.36,0.622426637189));
#60335=CARTESIAN_POINT('Origin',(3.5,3.325,0.85));
#60336=CARTESIAN_POINT('',(3.5,-2.36,0.85));
#60337=CARTESIAN_POINT('',(3.5,-2.36,0.622426637189));
#60338=CARTESIAN_POINT('',(3.5,-2.64,0.85));
#60339=CARTESIAN_POINT('',(3.5,3.325,0.85));
#60340=CARTESIAN_POINT('',(3.5,-2.64,0.622426637189));
#60341=CARTESIAN_POINT('Origin',(3.35,2.36,0.75));
#60342=CARTESIAN_POINT('',(3.7,2.36,0.75));
#60343=CARTESIAN_POINT('',(3.35,2.36,0.75));
#60344=CARTESIAN_POINT('',(3.7,2.14,0.75));
#60345=CARTESIAN_POINT('',(3.7,2.36,0.75));
#60346=CARTESIAN_POINT('',(3.35,2.14,0.75));
#60347=CARTESIAN_POINT('Origin',(3.35,-2.14,0.75));
#60348=CARTESIAN_POINT('',(3.7,-2.14,0.75));
#60349=CARTESIAN_POINT('',(3.35,-2.14,0.75));
#60350=CARTESIAN_POINT('',(3.7,-2.36,0.75));
#60351=CARTESIAN_POINT('',(3.7,-2.14,0.75));
#60352=CARTESIAN_POINT('',(3.35,-2.36,0.75));
#60353=CARTESIAN_POINT('Origin',(3.5,3.325,0.85));
#60354=CARTESIAN_POINT('',(3.5,2.14,0.85));
#60355=CARTESIAN_POINT('',(3.5,2.14,0.622426637189));
#60356=CARTESIAN_POINT('',(3.5,1.86,0.85));
#60357=CARTESIAN_POINT('',(3.5,3.325,0.85));
#60358=CARTESIAN_POINT('',(3.5,1.86,0.622426637189));
#60359=CARTESIAN_POINT('Origin',(3.5,3.325,0.85));
#60360=CARTESIAN_POINT('',(3.5,-1.86,0.85));
#60361=CARTESIAN_POINT('',(3.5,-1.86,0.622426637189));
#60362=CARTESIAN_POINT('',(3.5,-2.14,0.85));
#60363=CARTESIAN_POINT('',(3.5,3.325,0.85));
#60364=CARTESIAN_POINT('',(3.5,-2.14,0.622426637189));
#60365=CARTESIAN_POINT('Origin',(3.35,1.86,0.75));
#60366=CARTESIAN_POINT('',(3.7,1.86,0.75));
#60367=CARTESIAN_POINT('',(3.35,1.86,0.75));
#60368=CARTESIAN_POINT('',(3.7,1.64,0.75));
#60369=CARTESIAN_POINT('',(3.7,1.86,0.75));
#60370=CARTESIAN_POINT('',(3.35,1.64,0.75));
#60371=CARTESIAN_POINT('Origin',(3.35,-1.64,0.75));
#60372=CARTESIAN_POINT('',(3.7,-1.64,0.75));
#60373=CARTESIAN_POINT('',(3.35,-1.64,0.75));
#60374=CARTESIAN_POINT('',(3.7,-1.86,0.75));
#60375=CARTESIAN_POINT('',(3.7,-1.64,0.75));
#60376=CARTESIAN_POINT('',(3.35,-1.86,0.75));
#60377=CARTESIAN_POINT('Origin',(3.5,3.325,0.85));
#60378=CARTESIAN_POINT('',(3.5,1.64,0.85));
#60379=CARTESIAN_POINT('',(3.5,1.64,0.622426637189));
#60380=CARTESIAN_POINT('',(3.5,1.36,0.85));
#60381=CARTESIAN_POINT('',(3.5,3.325,0.85));
#60382=CARTESIAN_POINT('',(3.5,1.36,0.622426637189));
#60383=CARTESIAN_POINT('Origin',(3.5,3.325,0.85));
#60384=CARTESIAN_POINT('',(3.5,-1.36,0.85));
#60385=CARTESIAN_POINT('',(3.5,-1.36,0.622426637189));
#60386=CARTESIAN_POINT('',(3.5,-1.64,0.85));
#60387=CARTESIAN_POINT('',(3.5,3.325,0.85));
#60388=CARTESIAN_POINT('',(3.5,-1.64,0.622426637189));
#60389=CARTESIAN_POINT('Origin',(3.35,1.36,0.75));
#60390=CARTESIAN_POINT('',(3.7,1.36,0.75));
#60391=CARTESIAN_POINT('',(3.35,1.36,0.75));
#60392=CARTESIAN_POINT('',(3.7,1.14,0.75));
#60393=CARTESIAN_POINT('',(3.7,1.36,0.75));
#60394=CARTESIAN_POINT('',(3.35,1.14,0.75));
#60395=CARTESIAN_POINT('Origin',(3.35,-1.14,0.75));
#60396=CARTESIAN_POINT('',(3.7,-1.14,0.75));
#60397=CARTESIAN_POINT('',(3.35,-1.14,0.75));
#60398=CARTESIAN_POINT('',(3.7,-1.36,0.75));
#60399=CARTESIAN_POINT('',(3.7,-1.14,0.75));
#60400=CARTESIAN_POINT('',(3.35,-1.36,0.75));
#60401=CARTESIAN_POINT('Origin',(3.5,3.325,0.85));
#60402=CARTESIAN_POINT('',(3.5,1.14,0.85));
#60403=CARTESIAN_POINT('',(3.5,1.14,0.622426637189));
#60404=CARTESIAN_POINT('',(3.5,0.86,0.85));
#60405=CARTESIAN_POINT('',(3.5,3.325,0.85));
#60406=CARTESIAN_POINT('',(3.5,0.86,0.622426637189));
#60407=CARTESIAN_POINT('Origin',(3.5,3.325,0.85));
#60408=CARTESIAN_POINT('',(3.5,-0.86,0.85));
#60409=CARTESIAN_POINT('',(3.5,-0.86,0.622426637189));
#60410=CARTESIAN_POINT('',(3.5,-1.14,0.85));
#60411=CARTESIAN_POINT('',(3.5,3.325,0.85));
#60412=CARTESIAN_POINT('',(3.5,-1.14,0.622426637189));
#60413=CARTESIAN_POINT('Origin',(3.35,0.86,0.75));
#60414=CARTESIAN_POINT('',(3.7,0.86,0.75));
#60415=CARTESIAN_POINT('',(3.35,0.86,0.75));
#60416=CARTESIAN_POINT('',(3.7,0.64,0.75));
#60417=CARTESIAN_POINT('',(3.7,0.86,0.75));
#60418=CARTESIAN_POINT('',(3.35,0.64,0.75));
#60419=CARTESIAN_POINT('Origin',(3.35,-0.64,0.75));
#60420=CARTESIAN_POINT('',(3.7,-0.64,0.75));
#60421=CARTESIAN_POINT('',(3.35,-0.64,0.75));
#60422=CARTESIAN_POINT('',(3.7,-0.86,0.75));
#60423=CARTESIAN_POINT('',(3.7,-0.64,0.75));
#60424=CARTESIAN_POINT('',(3.35,-0.86,0.75));
#60425=CARTESIAN_POINT('Origin',(3.5,3.325,0.85));
#60426=CARTESIAN_POINT('',(3.5,0.64,0.85));
#60427=CARTESIAN_POINT('',(3.5,0.64,0.622426637189));
#60428=CARTESIAN_POINT('',(3.5,0.36,0.85));
#60429=CARTESIAN_POINT('',(3.5,3.325,0.85));
#60430=CARTESIAN_POINT('',(3.5,0.36,0.622426637189));
#60431=CARTESIAN_POINT('Origin',(3.5,3.325,0.85));
#60432=CARTESIAN_POINT('',(3.5,-0.36,0.85));
#60433=CARTESIAN_POINT('',(3.5,-0.36,0.622426637189));
#60434=CARTESIAN_POINT('',(3.5,-0.64,0.85));
#60435=CARTESIAN_POINT('',(3.5,3.325,0.85));
#60436=CARTESIAN_POINT('',(3.5,-0.64,0.622426637189));
#60437=CARTESIAN_POINT('Origin',(3.35,0.36,0.75));
#60438=CARTESIAN_POINT('',(3.7,0.36,0.75));
#60439=CARTESIAN_POINT('',(3.35,0.36,0.75));
#60440=CARTESIAN_POINT('',(3.7,0.14,0.75));
#60441=CARTESIAN_POINT('',(3.7,0.36,0.75));
#60442=CARTESIAN_POINT('',(3.35,0.14,0.75));
#60443=CARTESIAN_POINT('Origin',(3.35,-0.14,0.75));
#60444=CARTESIAN_POINT('',(3.7,-0.14,0.75));
#60445=CARTESIAN_POINT('',(3.35,-0.14,0.75));
#60446=CARTESIAN_POINT('',(3.7,-0.36,0.75));
#60447=CARTESIAN_POINT('',(3.7,-0.14,0.75));
#60448=CARTESIAN_POINT('',(3.35,-0.36,0.75));
#60449=CARTESIAN_POINT('Origin',(3.5,3.325,0.85));
#60450=CARTESIAN_POINT('',(3.5,0.14,0.85));
#60451=CARTESIAN_POINT('',(3.5,0.14,0.622426637189));
#60452=CARTESIAN_POINT('',(3.5,-0.14,0.85));
#60453=CARTESIAN_POINT('',(3.5,3.325,0.85));
#60454=CARTESIAN_POINT('',(3.5,-0.14,0.622426637189));
#60455=CARTESIAN_POINT('Origin',(3.5,-3.325,0.85));
#60456=CARTESIAN_POINT('',(3.325,-3.5,0.85));
#60457=CARTESIAN_POINT('',(3.5,-3.325,0.85));
#60458=CARTESIAN_POINT('',(3.325,-3.5,0.75));
#60459=CARTESIAN_POINT('Origin',(3.325,-3.5,0.85));
#60460=CARTESIAN_POINT('',(2.86,-3.5,0.85));
#60461=CARTESIAN_POINT('',(3.325,-3.5,0.85));
#60462=CARTESIAN_POINT('',(2.86,-3.5,0.622426637189));
#60463=CARTESIAN_POINT('Origin',(3.325,-3.5,0.85));
#60464=CARTESIAN_POINT('',(-2.86,-3.5,0.85));
#60465=CARTESIAN_POINT('',(-2.86,-3.5,0.622426637189));
#60466=CARTESIAN_POINT('',(-3.325,-3.5,0.85));
#60467=CARTESIAN_POINT('',(3.325,-3.5,0.85));
#60468=CARTESIAN_POINT('',(-3.325,-3.5,0.75));
#60469=CARTESIAN_POINT('Origin',(2.86,-3.35,0.75));
#60470=CARTESIAN_POINT('',(2.86,-3.7,0.75));
#60471=CARTESIAN_POINT('',(2.86,-3.35,0.75));
#60472=CARTESIAN_POINT('',(2.64,-3.7,0.75));
#60473=CARTESIAN_POINT('',(2.86,-3.7,0.75));
#60474=CARTESIAN_POINT('',(2.64,-3.35,0.75));
#60475=CARTESIAN_POINT('Origin',(-2.64,-3.35,0.75));
#60476=CARTESIAN_POINT('',(-2.64,-3.7,0.75));
#60477=CARTESIAN_POINT('',(-2.64,-3.35,0.75));
#60478=CARTESIAN_POINT('',(-2.86,-3.7,0.75));
#60479=CARTESIAN_POINT('',(-2.64,-3.7,0.75));
#60480=CARTESIAN_POINT('',(-2.86,-3.35,0.75));
#60481=CARTESIAN_POINT('Origin',(3.325,-3.5,0.85));
#60482=CARTESIAN_POINT('',(2.64,-3.5,0.85));
#60483=CARTESIAN_POINT('',(2.64,-3.5,0.622426637189));
#60484=CARTESIAN_POINT('',(2.36,-3.5,0.85));
#60485=CARTESIAN_POINT('',(3.325,-3.5,0.85));
#60486=CARTESIAN_POINT('',(2.36,-3.5,0.622426637189));
#60487=CARTESIAN_POINT('Origin',(3.325,-3.5,0.85));
#60488=CARTESIAN_POINT('',(-2.36,-3.5,0.85));
#60489=CARTESIAN_POINT('',(-2.36,-3.5,0.622426637189));
#60490=CARTESIAN_POINT('',(-2.64,-3.5,0.85));
#60491=CARTESIAN_POINT('',(3.325,-3.5,0.85));
#60492=CARTESIAN_POINT('',(-2.64,-3.5,0.622426637189));
#60493=CARTESIAN_POINT('Origin',(2.36,-3.35,0.75));
#60494=CARTESIAN_POINT('',(2.36,-3.7,0.75));
#60495=CARTESIAN_POINT('',(2.36,-3.35,0.75));
#60496=CARTESIAN_POINT('',(2.14,-3.7,0.75));
#60497=CARTESIAN_POINT('',(2.36,-3.7,0.75));
#60498=CARTESIAN_POINT('',(2.14,-3.35,0.75));
#60499=CARTESIAN_POINT('Origin',(-2.14,-3.35,0.75));
#60500=CARTESIAN_POINT('',(-2.14,-3.7,0.75));
#60501=CARTESIAN_POINT('',(-2.14,-3.35,0.75));
#60502=CARTESIAN_POINT('',(-2.36,-3.7,0.75));
#60503=CARTESIAN_POINT('',(-2.14,-3.7,0.75));
#60504=CARTESIAN_POINT('',(-2.36,-3.35,0.75));
#60505=CARTESIAN_POINT('Origin',(3.325,-3.5,0.85));
#60506=CARTESIAN_POINT('',(2.14,-3.5,0.85));
#60507=CARTESIAN_POINT('',(2.14,-3.5,0.622426637189));
#60508=CARTESIAN_POINT('',(1.86,-3.5,0.85));
#60509=CARTESIAN_POINT('',(3.325,-3.5,0.85));
#60510=CARTESIAN_POINT('',(1.86,-3.5,0.622426637189));
#60511=CARTESIAN_POINT('Origin',(3.325,-3.5,0.85));
#60512=CARTESIAN_POINT('',(-1.86,-3.5,0.85));
#60513=CARTESIAN_POINT('',(-1.86,-3.5,0.622426637189));
#60514=CARTESIAN_POINT('',(-2.14,-3.5,0.85));
#60515=CARTESIAN_POINT('',(3.325,-3.5,0.85));
#60516=CARTESIAN_POINT('',(-2.14,-3.5,0.622426637189));
#60517=CARTESIAN_POINT('Origin',(1.86,-3.35,0.75));
#60518=CARTESIAN_POINT('',(1.86,-3.7,0.75));
#60519=CARTESIAN_POINT('',(1.86,-3.35,0.75));
#60520=CARTESIAN_POINT('',(1.64,-3.7,0.75));
#60521=CARTESIAN_POINT('',(1.86,-3.7,0.75));
#60522=CARTESIAN_POINT('',(1.64,-3.35,0.75));
#60523=CARTESIAN_POINT('Origin',(-1.64,-3.35,0.75));
#60524=CARTESIAN_POINT('',(-1.64,-3.7,0.75));
#60525=CARTESIAN_POINT('',(-1.64,-3.35,0.75));
#60526=CARTESIAN_POINT('',(-1.86,-3.7,0.75));
#60527=CARTESIAN_POINT('',(-1.64,-3.7,0.75));
#60528=CARTESIAN_POINT('',(-1.86,-3.35,0.75));
#60529=CARTESIAN_POINT('Origin',(3.325,-3.5,0.85));
#60530=CARTESIAN_POINT('',(1.64,-3.5,0.85));
#60531=CARTESIAN_POINT('',(1.64,-3.5,0.622426637189));
#60532=CARTESIAN_POINT('',(1.36,-3.5,0.85));
#60533=CARTESIAN_POINT('',(3.325,-3.5,0.85));
#60534=CARTESIAN_POINT('',(1.36,-3.5,0.622426637189));
#60535=CARTESIAN_POINT('Origin',(3.325,-3.5,0.85));
#60536=CARTESIAN_POINT('',(-1.36,-3.5,0.85));
#60537=CARTESIAN_POINT('',(-1.36,-3.5,0.622426637189));
#60538=CARTESIAN_POINT('',(-1.64,-3.5,0.85));
#60539=CARTESIAN_POINT('',(3.325,-3.5,0.85));
#60540=CARTESIAN_POINT('',(-1.64,-3.5,0.622426637189));
#60541=CARTESIAN_POINT('Origin',(1.36,-3.35,0.75));
#60542=CARTESIAN_POINT('',(1.36,-3.7,0.75));
#60543=CARTESIAN_POINT('',(1.36,-3.35,0.75));
#60544=CARTESIAN_POINT('',(1.14,-3.7,0.75));
#60545=CARTESIAN_POINT('',(1.36,-3.7,0.75));
#60546=CARTESIAN_POINT('',(1.14,-3.35,0.75));
#60547=CARTESIAN_POINT('Origin',(-1.14,-3.35,0.75));
#60548=CARTESIAN_POINT('',(-1.14,-3.7,0.75));
#60549=CARTESIAN_POINT('',(-1.14,-3.35,0.75));
#60550=CARTESIAN_POINT('',(-1.36,-3.7,0.75));
#60551=CARTESIAN_POINT('',(-1.14,-3.7,0.75));
#60552=CARTESIAN_POINT('',(-1.36,-3.35,0.75));
#60553=CARTESIAN_POINT('Origin',(3.325,-3.5,0.85));
#60554=CARTESIAN_POINT('',(1.14,-3.5,0.85));
#60555=CARTESIAN_POINT('',(1.14,-3.5,0.622426637189));
#60556=CARTESIAN_POINT('',(0.86,-3.5,0.85));
#60557=CARTESIAN_POINT('',(3.325,-3.5,0.85));
#60558=CARTESIAN_POINT('',(0.86,-3.5,0.622426637189));
#60559=CARTESIAN_POINT('Origin',(3.325,-3.5,0.85));
#60560=CARTESIAN_POINT('',(-0.86,-3.5,0.85));
#60561=CARTESIAN_POINT('',(-0.86,-3.5,0.622426637189));
#60562=CARTESIAN_POINT('',(-1.14,-3.5,0.85));
#60563=CARTESIAN_POINT('',(3.325,-3.5,0.85));
#60564=CARTESIAN_POINT('',(-1.14,-3.5,0.622426637189));
#60565=CARTESIAN_POINT('Origin',(0.86,-3.35,0.75));
#60566=CARTESIAN_POINT('',(0.86,-3.7,0.75));
#60567=CARTESIAN_POINT('',(0.86,-3.35,0.75));
#60568=CARTESIAN_POINT('',(0.64,-3.7,0.75));
#60569=CARTESIAN_POINT('',(0.86,-3.7,0.75));
#60570=CARTESIAN_POINT('',(0.64,-3.35,0.75));
#60571=CARTESIAN_POINT('Origin',(-0.64,-3.35,0.75));
#60572=CARTESIAN_POINT('',(-0.64,-3.7,0.75));
#60573=CARTESIAN_POINT('',(-0.64,-3.35,0.75));
#60574=CARTESIAN_POINT('',(-0.86,-3.7,0.75));
#60575=CARTESIAN_POINT('',(-0.64,-3.7,0.75));
#60576=CARTESIAN_POINT('',(-0.86,-3.35,0.75));
#60577=CARTESIAN_POINT('Origin',(3.325,-3.5,0.85));
#60578=CARTESIAN_POINT('',(0.64,-3.5,0.85));
#60579=CARTESIAN_POINT('',(0.64,-3.5,0.622426637189));
#60580=CARTESIAN_POINT('',(0.36,-3.5,0.85));
#60581=CARTESIAN_POINT('',(3.325,-3.5,0.85));
#60582=CARTESIAN_POINT('',(0.36,-3.5,0.622426637189));
#60583=CARTESIAN_POINT('Origin',(3.325,-3.5,0.85));
#60584=CARTESIAN_POINT('',(-0.36,-3.5,0.85));
#60585=CARTESIAN_POINT('',(-0.36,-3.5,0.622426637189));
#60586=CARTESIAN_POINT('',(-0.64,-3.5,0.85));
#60587=CARTESIAN_POINT('',(3.325,-3.5,0.85));
#60588=CARTESIAN_POINT('',(-0.64,-3.5,0.622426637189));
#60589=CARTESIAN_POINT('Origin',(0.36,-3.35,0.75));
#60590=CARTESIAN_POINT('',(0.36,-3.7,0.75));
#60591=CARTESIAN_POINT('',(0.36,-3.35,0.75));
#60592=CARTESIAN_POINT('',(0.14,-3.7,0.75));
#60593=CARTESIAN_POINT('',(0.36,-3.7,0.75));
#60594=CARTESIAN_POINT('',(0.14,-3.35,0.75));
#60595=CARTESIAN_POINT('Origin',(-0.14,-3.35,0.75));
#60596=CARTESIAN_POINT('',(-0.14,-3.7,0.75));
#60597=CARTESIAN_POINT('',(-0.14,-3.35,0.75));
#60598=CARTESIAN_POINT('',(-0.36,-3.7,0.75));
#60599=CARTESIAN_POINT('',(-0.14,-3.7,0.75));
#60600=CARTESIAN_POINT('',(-0.36,-3.35,0.75));
#60601=CARTESIAN_POINT('Origin',(3.325,-3.5,0.85));
#60602=CARTESIAN_POINT('',(0.14,-3.5,0.85));
#60603=CARTESIAN_POINT('',(0.14,-3.5,0.622426637189));
#60604=CARTESIAN_POINT('',(-0.14,-3.5,0.85));
#60605=CARTESIAN_POINT('',(3.325,-3.5,0.85));
#60606=CARTESIAN_POINT('',(-0.14,-3.5,0.622426637189));
#60607=CARTESIAN_POINT('Origin',(-3.325,-3.5,0.85));
#60608=CARTESIAN_POINT('',(-3.5,-3.325,0.85));
#60609=CARTESIAN_POINT('',(-3.325,-3.5,0.85));
#60610=CARTESIAN_POINT('',(-3.5,-3.325,0.75));
#60611=CARTESIAN_POINT('Origin',(-3.5,-3.325,0.85));
#60612=CARTESIAN_POINT('',(-3.5,-2.86,0.85));
#60613=CARTESIAN_POINT('',(-3.5,-3.325,0.85));
#60614=CARTESIAN_POINT('',(-3.5,-2.86,0.622426637189));
#60615=CARTESIAN_POINT('Origin',(-3.35,-2.86,0.75));
#60616=CARTESIAN_POINT('',(-3.7,-2.86,0.75));
#60617=CARTESIAN_POINT('',(-3.35,-2.86,0.75));
#60618=CARTESIAN_POINT('',(-3.7,-2.64,0.75));
#60619=CARTESIAN_POINT('',(-3.7,-2.86,0.75));
#60620=CARTESIAN_POINT('',(-3.35,-2.64,0.75));
#60621=CARTESIAN_POINT('Origin',(-3.35,2.64,0.75));
#60622=CARTESIAN_POINT('',(-3.7,2.64,0.75));
#60623=CARTESIAN_POINT('',(-3.35,2.64,0.75));
#60624=CARTESIAN_POINT('',(-3.7,2.86,0.75));
#60625=CARTESIAN_POINT('',(-3.7,2.64,0.75));
#60626=CARTESIAN_POINT('',(-3.35,2.86,0.75));
#60627=CARTESIAN_POINT('Origin',(-3.5,-3.325,0.85));
#60628=CARTESIAN_POINT('',(-3.5,-2.64,0.85));
#60629=CARTESIAN_POINT('',(-3.5,-2.64,0.622426637189));
#60630=CARTESIAN_POINT('',(-3.5,-2.36,0.85));
#60631=CARTESIAN_POINT('',(-3.5,-3.325,0.85));
#60632=CARTESIAN_POINT('',(-3.5,-2.36,0.622426637189));
#60633=CARTESIAN_POINT('Origin',(-3.5,-3.325,0.85));
#60634=CARTESIAN_POINT('',(-3.5,2.36,0.85));
#60635=CARTESIAN_POINT('',(-3.5,2.36,0.622426637189));
#60636=CARTESIAN_POINT('',(-3.5,2.64,0.85));
#60637=CARTESIAN_POINT('',(-3.5,-3.325,0.85));
#60638=CARTESIAN_POINT('',(-3.5,2.64,0.622426637189));
#60639=CARTESIAN_POINT('Origin',(-3.35,-2.36,0.75));
#60640=CARTESIAN_POINT('',(-3.7,-2.36,0.75));
#60641=CARTESIAN_POINT('',(-3.35,-2.36,0.75));
#60642=CARTESIAN_POINT('',(-3.7,-2.14,0.75));
#60643=CARTESIAN_POINT('',(-3.7,-2.36,0.75));
#60644=CARTESIAN_POINT('',(-3.35,-2.14,0.75));
#60645=CARTESIAN_POINT('Origin',(-3.35,2.14,0.75));
#60646=CARTESIAN_POINT('',(-3.7,2.14,0.75));
#60647=CARTESIAN_POINT('',(-3.35,2.14,0.75));
#60648=CARTESIAN_POINT('',(-3.7,2.36,0.75));
#60649=CARTESIAN_POINT('',(-3.7,2.14,0.75));
#60650=CARTESIAN_POINT('',(-3.35,2.36,0.75));
#60651=CARTESIAN_POINT('Origin',(-3.5,-3.325,0.85));
#60652=CARTESIAN_POINT('',(-3.5,-2.14,0.85));
#60653=CARTESIAN_POINT('',(-3.5,-2.14,0.622426637189));
#60654=CARTESIAN_POINT('',(-3.5,-1.86,0.85));
#60655=CARTESIAN_POINT('',(-3.5,-3.325,0.85));
#60656=CARTESIAN_POINT('',(-3.5,-1.86,0.622426637189));
#60657=CARTESIAN_POINT('Origin',(-3.5,-3.325,0.85));
#60658=CARTESIAN_POINT('',(-3.5,1.86,0.85));
#60659=CARTESIAN_POINT('',(-3.5,1.86,0.622426637189));
#60660=CARTESIAN_POINT('',(-3.5,2.14,0.85));
#60661=CARTESIAN_POINT('',(-3.5,-3.325,0.85));
#60662=CARTESIAN_POINT('',(-3.5,2.14,0.622426637189));
#60663=CARTESIAN_POINT('Origin',(-3.35,-1.86,0.75));
#60664=CARTESIAN_POINT('',(-3.7,-1.86,0.75));
#60665=CARTESIAN_POINT('',(-3.35,-1.86,0.75));
#60666=CARTESIAN_POINT('',(-3.7,-1.64,0.75));
#60667=CARTESIAN_POINT('',(-3.7,-1.86,0.75));
#60668=CARTESIAN_POINT('',(-3.35,-1.64,0.75));
#60669=CARTESIAN_POINT('Origin',(-3.35,1.64,0.75));
#60670=CARTESIAN_POINT('',(-3.7,1.64,0.75));
#60671=CARTESIAN_POINT('',(-3.35,1.64,0.75));
#60672=CARTESIAN_POINT('',(-3.7,1.86,0.75));
#60673=CARTESIAN_POINT('',(-3.7,1.64,0.75));
#60674=CARTESIAN_POINT('',(-3.35,1.86,0.75));
#60675=CARTESIAN_POINT('Origin',(-3.5,-3.325,0.85));
#60676=CARTESIAN_POINT('',(-3.5,-1.64,0.85));
#60677=CARTESIAN_POINT('',(-3.5,-1.64,0.622426637189));
#60678=CARTESIAN_POINT('',(-3.5,-1.36,0.85));
#60679=CARTESIAN_POINT('',(-3.5,-3.325,0.85));
#60680=CARTESIAN_POINT('',(-3.5,-1.36,0.622426637189));
#60681=CARTESIAN_POINT('Origin',(-3.5,-3.325,0.85));
#60682=CARTESIAN_POINT('',(-3.5,1.36,0.85));
#60683=CARTESIAN_POINT('',(-3.5,1.36,0.622426637189));
#60684=CARTESIAN_POINT('',(-3.5,1.64,0.85));
#60685=CARTESIAN_POINT('',(-3.5,-3.325,0.85));
#60686=CARTESIAN_POINT('',(-3.5,1.64,0.622426637189));
#60687=CARTESIAN_POINT('Origin',(-3.35,-1.36,0.75));
#60688=CARTESIAN_POINT('',(-3.7,-1.36,0.75));
#60689=CARTESIAN_POINT('',(-3.35,-1.36,0.75));
#60690=CARTESIAN_POINT('',(-3.7,-1.14,0.75));
#60691=CARTESIAN_POINT('',(-3.7,-1.36,0.75));
#60692=CARTESIAN_POINT('',(-3.35,-1.14,0.75));
#60693=CARTESIAN_POINT('Origin',(-3.35,1.14,0.75));
#60694=CARTESIAN_POINT('',(-3.7,1.14,0.75));
#60695=CARTESIAN_POINT('',(-3.35,1.14,0.75));
#60696=CARTESIAN_POINT('',(-3.7,1.36,0.75));
#60697=CARTESIAN_POINT('',(-3.7,1.14,0.75));
#60698=CARTESIAN_POINT('',(-3.35,1.36,0.75));
#60699=CARTESIAN_POINT('Origin',(-3.5,-3.325,0.85));
#60700=CARTESIAN_POINT('',(-3.5,-1.14,0.85));
#60701=CARTESIAN_POINT('',(-3.5,-1.14,0.622426637189));
#60702=CARTESIAN_POINT('',(-3.5,-0.86,0.85));
#60703=CARTESIAN_POINT('',(-3.5,-3.325,0.85));
#60704=CARTESIAN_POINT('',(-3.5,-0.86,0.622426637189));
#60705=CARTESIAN_POINT('Origin',(-3.5,-3.325,0.85));
#60706=CARTESIAN_POINT('',(-3.5,0.86,0.85));
#60707=CARTESIAN_POINT('',(-3.5,0.86,0.622426637189));
#60708=CARTESIAN_POINT('',(-3.5,1.14,0.85));
#60709=CARTESIAN_POINT('',(-3.5,-3.325,0.85));
#60710=CARTESIAN_POINT('',(-3.5,1.14,0.622426637189));
#60711=CARTESIAN_POINT('Origin',(-3.35,-0.86,0.75));
#60712=CARTESIAN_POINT('',(-3.7,-0.86,0.75));
#60713=CARTESIAN_POINT('',(-3.35,-0.86,0.75));
#60714=CARTESIAN_POINT('',(-3.7,-0.64,0.75));
#60715=CARTESIAN_POINT('',(-3.7,-0.86,0.75));
#60716=CARTESIAN_POINT('',(-3.35,-0.64,0.75));
#60717=CARTESIAN_POINT('Origin',(-3.35,0.64,0.75));
#60718=CARTESIAN_POINT('',(-3.7,0.64,0.75));
#60719=CARTESIAN_POINT('',(-3.35,0.64,0.75));
#60720=CARTESIAN_POINT('',(-3.7,0.86,0.75));
#60721=CARTESIAN_POINT('',(-3.7,0.64,0.75));
#60722=CARTESIAN_POINT('',(-3.35,0.86,0.75));
#60723=CARTESIAN_POINT('Origin',(-3.5,-3.325,0.85));
#60724=CARTESIAN_POINT('',(-3.5,-0.64,0.85));
#60725=CARTESIAN_POINT('',(-3.5,-0.64,0.622426637189));
#60726=CARTESIAN_POINT('',(-3.5,-0.36,0.85));
#60727=CARTESIAN_POINT('',(-3.5,-3.325,0.85));
#60728=CARTESIAN_POINT('',(-3.5,-0.36,0.622426637189));
#60729=CARTESIAN_POINT('Origin',(-3.5,-3.325,0.85));
#60730=CARTESIAN_POINT('',(-3.5,0.36,0.85));
#60731=CARTESIAN_POINT('',(-3.5,0.36,0.622426637189));
#60732=CARTESIAN_POINT('',(-3.5,0.64,0.85));
#60733=CARTESIAN_POINT('',(-3.5,-3.325,0.85));
#60734=CARTESIAN_POINT('',(-3.5,0.64,0.622426637189));
#60735=CARTESIAN_POINT('Origin',(-3.35,-0.36,0.75));
#60736=CARTESIAN_POINT('',(-3.7,-0.36,0.75));
#60737=CARTESIAN_POINT('',(-3.35,-0.36,0.75));
#60738=CARTESIAN_POINT('',(-3.7,-0.14,0.75));
#60739=CARTESIAN_POINT('',(-3.7,-0.36,0.75));
#60740=CARTESIAN_POINT('',(-3.35,-0.14,0.75));
#60741=CARTESIAN_POINT('Origin',(-3.35,0.14,0.75));
#60742=CARTESIAN_POINT('',(-3.7,0.14,0.75));
#60743=CARTESIAN_POINT('',(-3.35,0.14,0.75));
#60744=CARTESIAN_POINT('',(-3.7,0.36,0.75));
#60745=CARTESIAN_POINT('',(-3.7,0.14,0.75));
#60746=CARTESIAN_POINT('',(-3.35,0.36,0.75));
#60747=CARTESIAN_POINT('Origin',(-3.5,-3.325,0.85));
#60748=CARTESIAN_POINT('',(-3.5,-0.14,0.85));
#60749=CARTESIAN_POINT('',(-3.5,-0.14,0.622426637189));
#60750=CARTESIAN_POINT('',(-3.5,0.14,0.85));
#60751=CARTESIAN_POINT('',(-3.5,-3.325,0.85));
#60752=CARTESIAN_POINT('',(-3.5,0.14,0.622426637189));
#60753=CARTESIAN_POINT('Origin',(3.4125,3.4125,0.85));
#60754=CARTESIAN_POINT('',(3.5,3.325,0.85));
#60755=CARTESIAN_POINT('Origin',(2.64,3.7,0.65));
#60756=CARTESIAN_POINT('',(2.64,3.9,0.65));
#60757=CARTESIAN_POINT('Origin',(2.64,3.9,0.2));
#60758=CARTESIAN_POINT('',(2.64,3.9,0.2));
#60759=CARTESIAN_POINT('Origin',(2.64,4.,0.2));
#60760=CARTESIAN_POINT('',(2.64,4.,0.1));
#60761=CARTESIAN_POINT('Origin',(2.64,4.5,0.1));
#60762=CARTESIAN_POINT('',(2.64,4.5,0.1));
#60763=CARTESIAN_POINT('Origin',(2.64,4.5,-8.326672684689E-17));
#60764=CARTESIAN_POINT('',(2.64,4.5,-8.326672684689E-17));
#60765=CARTESIAN_POINT('Origin',(2.64,4.,-8.326672684689E-17));
#60766=CARTESIAN_POINT('',(2.64,4.,-8.326672684689E-17));
#60767=CARTESIAN_POINT('Origin',(2.64,4.,0.2));
#60768=CARTESIAN_POINT('',(2.64,3.8,0.2));
#60769=CARTESIAN_POINT('Origin',(2.64,3.8,0.65));
#60770=CARTESIAN_POINT('Origin',(2.14,3.7,0.65));
#60771=CARTESIAN_POINT('',(2.14,3.9,0.65));
#60772=CARTESIAN_POINT('Origin',(2.14,3.9,0.2));
#60773=CARTESIAN_POINT('',(2.14,3.9,0.2));
#60774=CARTESIAN_POINT('Origin',(2.14,4.,0.2));
#60775=CARTESIAN_POINT('',(2.14,4.,0.1));
#60776=CARTESIAN_POINT('Origin',(2.14,4.5,0.1));
#60777=CARTESIAN_POINT('',(2.14,4.5,0.1));
#60778=CARTESIAN_POINT('Origin',(2.14,4.5,-8.326672684689E-17));
#60779=CARTESIAN_POINT('',(2.14,4.5,-8.326672684689E-17));
#60780=CARTESIAN_POINT('Origin',(2.14,4.,-8.326672684689E-17));
#60781=CARTESIAN_POINT('',(2.14,4.,-8.326672684689E-17));
#60782=CARTESIAN_POINT('Origin',(2.14,4.,0.2));
#60783=CARTESIAN_POINT('',(2.14,3.8,0.2));
#60784=CARTESIAN_POINT('Origin',(2.14,3.8,0.65));
#60785=CARTESIAN_POINT('Origin',(-1.86,3.7,0.65));
#60786=CARTESIAN_POINT('',(-1.86,3.9,0.65));
#60787=CARTESIAN_POINT('Origin',(-1.86,3.9,0.2));
#60788=CARTESIAN_POINT('',(-1.86,3.9,0.2));
#60789=CARTESIAN_POINT('Origin',(-1.86,4.,0.2));
#60790=CARTESIAN_POINT('',(-1.86,4.,0.1));
#60791=CARTESIAN_POINT('Origin',(-1.86,4.5,0.1));
#60792=CARTESIAN_POINT('',(-1.86,4.5,0.1));
#60793=CARTESIAN_POINT('Origin',(-1.86,4.5,-8.326672684689E-17));
#60794=CARTESIAN_POINT('',(-1.86,4.5,-8.326672684689E-17));
#60795=CARTESIAN_POINT('Origin',(-1.86,4.,-8.326672684689E-17));
#60796=CARTESIAN_POINT('',(-1.86,4.,-8.326672684689E-17));
#60797=CARTESIAN_POINT('Origin',(-1.86,4.,0.2));
#60798=CARTESIAN_POINT('',(-1.86,3.8,0.2));
#60799=CARTESIAN_POINT('Origin',(-1.86,3.8,0.65));
#60800=CARTESIAN_POINT('Origin',(1.64,3.7,0.65));
#60801=CARTESIAN_POINT('',(1.64,3.9,0.65));
#60802=CARTESIAN_POINT('Origin',(1.64,3.9,0.2));
#60803=CARTESIAN_POINT('',(1.64,3.9,0.2));
#60804=CARTESIAN_POINT('Origin',(1.64,4.,0.2));
#60805=CARTESIAN_POINT('',(1.64,4.,0.1));
#60806=CARTESIAN_POINT('Origin',(1.64,4.5,0.1));
#60807=CARTESIAN_POINT('',(1.64,4.5,0.1));
#60808=CARTESIAN_POINT('Origin',(1.64,4.5,-8.326672684689E-17));
#60809=CARTESIAN_POINT('',(1.64,4.5,-8.326672684689E-17));
#60810=CARTESIAN_POINT('Origin',(1.64,4.,-8.326672684689E-17));
#60811=CARTESIAN_POINT('',(1.64,4.,-8.326672684689E-17));
#60812=CARTESIAN_POINT('Origin',(1.64,4.,0.2));
#60813=CARTESIAN_POINT('',(1.64,3.8,0.2));
#60814=CARTESIAN_POINT('Origin',(1.64,3.8,0.65));
#60815=CARTESIAN_POINT('Origin',(-1.36,3.7,0.65));
#60816=CARTESIAN_POINT('',(-1.36,3.9,0.65));
#60817=CARTESIAN_POINT('Origin',(-1.36,3.9,0.2));
#60818=CARTESIAN_POINT('',(-1.36,3.9,0.2));
#60819=CARTESIAN_POINT('Origin',(-1.36,4.,0.2));
#60820=CARTESIAN_POINT('',(-1.36,4.,0.1));
#60821=CARTESIAN_POINT('Origin',(-1.36,4.5,0.1));
#60822=CARTESIAN_POINT('',(-1.36,4.5,0.1));
#60823=CARTESIAN_POINT('Origin',(-1.36,4.5,-8.326672684689E-17));
#60824=CARTESIAN_POINT('',(-1.36,4.5,-8.326672684689E-17));
#60825=CARTESIAN_POINT('Origin',(-1.36,4.,-8.326672684689E-17));
#60826=CARTESIAN_POINT('',(-1.36,4.,-8.326672684689E-17));
#60827=CARTESIAN_POINT('Origin',(-1.36,4.,0.2));
#60828=CARTESIAN_POINT('',(-1.36,3.8,0.2));
#60829=CARTESIAN_POINT('Origin',(-1.36,3.8,0.65));
#60830=CARTESIAN_POINT('Origin',(1.14,3.7,0.65));
#60831=CARTESIAN_POINT('',(1.14,3.9,0.65));
#60832=CARTESIAN_POINT('Origin',(1.14,3.9,0.2));
#60833=CARTESIAN_POINT('',(1.14,3.9,0.2));
#60834=CARTESIAN_POINT('Origin',(1.14,4.,0.2));
#60835=CARTESIAN_POINT('',(1.14,4.,0.1));
#60836=CARTESIAN_POINT('Origin',(1.14,4.5,0.1));
#60837=CARTESIAN_POINT('',(1.14,4.5,0.1));
#60838=CARTESIAN_POINT('Origin',(1.14,4.5,-8.326672684689E-17));
#60839=CARTESIAN_POINT('',(1.14,4.5,-8.326672684689E-17));
#60840=CARTESIAN_POINT('Origin',(1.14,4.,-8.326672684689E-17));
#60841=CARTESIAN_POINT('',(1.14,4.,-8.326672684689E-17));
#60842=CARTESIAN_POINT('Origin',(1.14,4.,0.2));
#60843=CARTESIAN_POINT('',(1.14,3.8,0.2));
#60844=CARTESIAN_POINT('Origin',(1.14,3.8,0.65));
#60845=CARTESIAN_POINT('Origin',(-0.86,3.7,0.65));
#60846=CARTESIAN_POINT('',(-0.86,3.9,0.65));
#60847=CARTESIAN_POINT('Origin',(-0.86,3.9,0.2));
#60848=CARTESIAN_POINT('',(-0.86,3.9,0.2));
#60849=CARTESIAN_POINT('Origin',(-0.86,4.,0.2));
#60850=CARTESIAN_POINT('',(-0.86,4.,0.1));
#60851=CARTESIAN_POINT('Origin',(-0.86,4.5,0.1));
#60852=CARTESIAN_POINT('',(-0.86,4.5,0.1));
#60853=CARTESIAN_POINT('Origin',(-0.86,4.5,-8.326672684689E-17));
#60854=CARTESIAN_POINT('',(-0.86,4.5,-8.326672684689E-17));
#60855=CARTESIAN_POINT('Origin',(-0.86,4.,-8.326672684689E-17));
#60856=CARTESIAN_POINT('',(-0.86,4.,-8.326672684689E-17));
#60857=CARTESIAN_POINT('Origin',(-0.86,4.,0.2));
#60858=CARTESIAN_POINT('',(-0.86,3.8,0.2));
#60859=CARTESIAN_POINT('Origin',(-0.86,3.8,0.65));
#60860=CARTESIAN_POINT('Origin',(0.64,3.7,0.65));
#60861=CARTESIAN_POINT('',(0.64,3.9,0.65));
#60862=CARTESIAN_POINT('Origin',(0.64,3.9,0.2));
#60863=CARTESIAN_POINT('',(0.64,3.9,0.2));
#60864=CARTESIAN_POINT('Origin',(0.64,4.,0.2));
#60865=CARTESIAN_POINT('',(0.64,4.,0.1));
#60866=CARTESIAN_POINT('Origin',(0.64,4.5,0.1));
#60867=CARTESIAN_POINT('',(0.64,4.5,0.1));
#60868=CARTESIAN_POINT('Origin',(0.64,4.5,-8.326672684689E-17));
#60869=CARTESIAN_POINT('',(0.64,4.5,-8.326672684689E-17));
#60870=CARTESIAN_POINT('Origin',(0.64,4.,-8.326672684689E-17));
#60871=CARTESIAN_POINT('',(0.64,4.,-8.326672684689E-17));
#60872=CARTESIAN_POINT('Origin',(0.64,4.,0.2));
#60873=CARTESIAN_POINT('',(0.64,3.8,0.2));
#60874=CARTESIAN_POINT('Origin',(0.64,3.8,0.65));
#60875=CARTESIAN_POINT('Origin',(-0.36,3.7,0.65));
#60876=CARTESIAN_POINT('',(-0.36,3.9,0.65));
#60877=CARTESIAN_POINT('Origin',(-0.36,3.9,0.2));
#60878=CARTESIAN_POINT('',(-0.36,3.9,0.2));
#60879=CARTESIAN_POINT('Origin',(-0.36,4.,0.2));
#60880=CARTESIAN_POINT('',(-0.36,4.,0.1));
#60881=CARTESIAN_POINT('Origin',(-0.36,4.5,0.1));
#60882=CARTESIAN_POINT('',(-0.36,4.5,0.1));
#60883=CARTESIAN_POINT('Origin',(-0.36,4.5,-8.326672684689E-17));
#60884=CARTESIAN_POINT('',(-0.36,4.5,-8.326672684689E-17));
#60885=CARTESIAN_POINT('Origin',(-0.36,4.,-8.326672684689E-17));
#60886=CARTESIAN_POINT('',(-0.36,4.,-8.326672684689E-17));
#60887=CARTESIAN_POINT('Origin',(-0.36,4.,0.2));
#60888=CARTESIAN_POINT('',(-0.36,3.8,0.2));
#60889=CARTESIAN_POINT('Origin',(-0.36,3.8,0.65));
#60890=CARTESIAN_POINT('Origin',(0.14,3.7,0.65));
#60891=CARTESIAN_POINT('',(0.14,3.9,0.65));
#60892=CARTESIAN_POINT('Origin',(0.14,3.9,0.2));
#60893=CARTESIAN_POINT('',(0.14,3.9,0.2));
#60894=CARTESIAN_POINT('Origin',(0.14,4.,0.2));
#60895=CARTESIAN_POINT('',(0.14,4.,0.1));
#60896=CARTESIAN_POINT('Origin',(0.14,4.5,0.1));
#60897=CARTESIAN_POINT('',(0.14,4.5,0.1));
#60898=CARTESIAN_POINT('Origin',(0.14,4.5,-8.326672684689E-17));
#60899=CARTESIAN_POINT('',(0.14,4.5,-8.326672684689E-17));
#60900=CARTESIAN_POINT('Origin',(0.14,4.,-8.326672684689E-17));
#60901=CARTESIAN_POINT('',(0.14,4.,-8.326672684689E-17));
#60902=CARTESIAN_POINT('Origin',(0.14,4.,0.2));
#60903=CARTESIAN_POINT('',(0.14,3.8,0.2));
#60904=CARTESIAN_POINT('Origin',(0.14,3.8,0.65));
#60905=CARTESIAN_POINT('Origin',(-3.5,0.,0.85));
#60906=CARTESIAN_POINT('',(-3.425,2.86,0.85));
#60907=CARTESIAN_POINT('',(-3.7,2.86,0.85));
#60908=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#60909=CARTESIAN_POINT('Origin',(-3.900244542703,2.86,0.394853274378));
#60910=CARTESIAN_POINT('',(-3.8,2.86,0.65));
#60911=CARTESIAN_POINT('Origin',(-3.7,2.86,0.65));
#60912=CARTESIAN_POINT('',(-3.8,2.86,0.2));
#60913=CARTESIAN_POINT('',(-3.8,2.86,0.65));
#60914=CARTESIAN_POINT('',(-4.,2.86,-8.326672684689E-17));
#60915=CARTESIAN_POINT('Origin',(-4.,2.86,0.2));
#60916=CARTESIAN_POINT('',(-4.5,2.86,-8.326672684689E-17));
#60917=CARTESIAN_POINT('',(-4.,2.86,-8.326672684689E-17));
#60918=CARTESIAN_POINT('',(-4.5,2.86,0.1));
#60919=CARTESIAN_POINT('',(-4.5,2.86,-8.326672684689E-17));
#60920=CARTESIAN_POINT('',(-4.,2.86,0.1));
#60921=CARTESIAN_POINT('',(-4.5,2.86,0.1));
#60922=CARTESIAN_POINT('',(-3.9,2.86,0.2));
#60923=CARTESIAN_POINT('Origin',(-4.,2.86,0.2));
#60924=CARTESIAN_POINT('',(-3.9,2.86,0.65));
#60925=CARTESIAN_POINT('',(-3.9,2.86,0.2));
#60926=CARTESIAN_POINT('',(-3.7,2.86,0.85));
#60927=CARTESIAN_POINT('Origin',(-3.7,2.86,0.65));
#60928=CARTESIAN_POINT('',(-3.7,2.86,0.85));
#60929=CARTESIAN_POINT('Origin',(3.425,5.55111512312578E-16,0.85));
#60930=CARTESIAN_POINT('',(3.425,-3.2875,0.85));
#60931=CARTESIAN_POINT('',(3.29520753108642,-3.22255493031221,1.46069604886098));
#60932=CARTESIAN_POINT('',(3.425,-3.2875,0.85));
#60933=CARTESIAN_POINT('',(3.425,-2.86,0.85));
#60934=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60935=CARTESIAN_POINT('',(3.425,-2.64,0.85));
#60936=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60937=CARTESIAN_POINT('',(3.425,-2.36,0.85));
#60938=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60939=CARTESIAN_POINT('',(3.425,-2.14,0.85));
#60940=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60941=CARTESIAN_POINT('',(3.425,-1.86,0.85));
#60942=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60943=CARTESIAN_POINT('',(3.425,-1.64,0.85));
#60944=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60945=CARTESIAN_POINT('',(3.425,-1.36,0.85));
#60946=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60947=CARTESIAN_POINT('',(3.425,-1.14,0.85));
#60948=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60949=CARTESIAN_POINT('',(3.425,-0.86,0.85));
#60950=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60951=CARTESIAN_POINT('',(3.425,-0.64,0.85));
#60952=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60953=CARTESIAN_POINT('',(3.425,-0.36,0.85));
#60954=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60955=CARTESIAN_POINT('',(3.425,-0.14,0.85));
#60956=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60957=CARTESIAN_POINT('',(3.425,0.14,0.85));
#60958=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60959=CARTESIAN_POINT('',(3.425,0.36,0.85));
#60960=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60961=CARTESIAN_POINT('',(3.425,0.64,0.85));
#60962=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60963=CARTESIAN_POINT('',(3.425,0.86,0.85));
#60964=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60965=CARTESIAN_POINT('',(3.425,1.14,0.85));
#60966=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60967=CARTESIAN_POINT('',(3.425,1.36,0.85));
#60968=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60969=CARTESIAN_POINT('',(3.425,1.64,0.85));
#60970=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60971=CARTESIAN_POINT('',(3.425,1.86,0.85));
#60972=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60973=CARTESIAN_POINT('',(3.425,2.14,0.85));
#60974=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60975=CARTESIAN_POINT('',(3.425,2.36,0.85));
#60976=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60977=CARTESIAN_POINT('',(3.425,2.64,0.85));
#60978=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60979=CARTESIAN_POINT('',(3.425,2.86,0.85));
#60980=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60981=CARTESIAN_POINT('',(3.425,3.2875,0.85));
#60982=CARTESIAN_POINT('',(3.29525641329096,3.22262820664601,1.46039558454095));
#60983=CARTESIAN_POINT('Origin',(3.07621566680996,3.37249798679302,1.45000000000281));
#60984=CARTESIAN_POINT('',(3.246349107541,3.2023644410037,1.50000000000003));
#60985=CARTESIAN_POINT('',(3.20236462034547,3.24634903325384,1.5));
#60986=CARTESIAN_POINT('Origin',(3.24634928992381,3.20236400155564,1.45000000037313));
#60987=CARTESIAN_POINT('Origin',(-3.37249798679302,3.07621566680996,1.45000000000281));
#60988=CARTESIAN_POINT('',(-3.24634903237612,3.20236462596869,1.5));
#60989=CARTESIAN_POINT('Origin',(-3.24634902441044,3.20236464050988,1.44999999950917));
#60990=CARTESIAN_POINT('',(-3.24634903325384,3.20236462034547,1.5));
#60991=CARTESIAN_POINT('Origin',(-3.218419117457,3.286838234914,1.5));
#60992=CARTESIAN_POINT('',(-3.246349033255,-3.202364620346,1.5));
#60993=CARTESIAN_POINT('',(-3.246349033255,-3.202364620346,1.5));
#60994=CARTESIAN_POINT('',(-3.202364620346,-3.246349033255,1.5));
#60995=CARTESIAN_POINT('',(-3.20236462034547,-3.24634903325384,1.5));
#60996=CARTESIAN_POINT('',(3.202364620346,-3.246349033255,1.5));
#60997=CARTESIAN_POINT('',(3.202364620346,-3.246349033255,1.5));
#60998=CARTESIAN_POINT('',(3.24634903246567,-3.20236462225083,1.5));
#60999=CARTESIAN_POINT('',(3.24634903325384,-3.20236462034547,1.5));
#61000=CARTESIAN_POINT('',(3.246349033255,3.202364620346,1.5));
#61001=CARTESIAN_POINT('',(-2.586838234914,2.336838234914,1.5));
#61002=CARTESIAN_POINT('',(-2.586838234914,2.836838234914,1.5));
#61003=CARTESIAN_POINT('Origin',(-2.586838234914,2.586838234914,1.5));
#61004=CARTESIAN_POINT('Origin',(-2.586838234914,2.586838234914,1.5));
#61005=CARTESIAN_POINT('Origin',(-3.425,-5.55111512312578E-16,0.85));
#61006=CARTESIAN_POINT('',(-3.425,2.64,0.85));
#61007=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61008=CARTESIAN_POINT('',(-3.425,2.36,0.85));
#61009=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61010=CARTESIAN_POINT('',(-3.425,2.14,0.85));
#61011=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61012=CARTESIAN_POINT('',(-3.425,1.86,0.85));
#61013=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61014=CARTESIAN_POINT('',(-3.425,1.64,0.85));
#61015=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61016=CARTESIAN_POINT('',(-3.425,1.36,0.85));
#61017=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61018=CARTESIAN_POINT('',(-3.425,1.14,0.85));
#61019=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61020=CARTESIAN_POINT('',(-3.425,0.86,0.85));
#61021=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61022=CARTESIAN_POINT('',(-3.425,0.64,0.85));
#61023=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61024=CARTESIAN_POINT('',(-3.425,0.36,0.85));
#61025=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61026=CARTESIAN_POINT('',(-3.425,0.14,0.85));
#61027=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61028=CARTESIAN_POINT('',(-3.425,-0.14,0.85));
#61029=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61030=CARTESIAN_POINT('',(-3.425,-0.36,0.85));
#61031=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61032=CARTESIAN_POINT('',(-3.425,-0.64,0.85));
#61033=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61034=CARTESIAN_POINT('',(-3.425,-0.86,0.85));
#61035=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61036=CARTESIAN_POINT('',(-3.425,-1.14,0.85));
#61037=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61038=CARTESIAN_POINT('',(-3.425,-1.36,0.85));
#61039=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61040=CARTESIAN_POINT('',(-3.425,-1.64,0.85));
#61041=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61042=CARTESIAN_POINT('',(-3.425,-1.86,0.85));
#61043=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61044=CARTESIAN_POINT('',(-3.425,-2.14,0.85));
#61045=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61046=CARTESIAN_POINT('',(-3.425,-2.36,0.85));
#61047=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61048=CARTESIAN_POINT('',(-3.425,-2.64,0.85));
#61049=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61050=CARTESIAN_POINT('',(-3.425,-2.86,0.85));
#61051=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61052=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61053=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61054=CARTESIAN_POINT('',(-3.29520753108989,-3.22255493030403,1.46069604886098));
#61055=CARTESIAN_POINT('',(-3.425,-3.2875,0.85));
#61056=CARTESIAN_POINT('',(-3.29525641329096,-3.22262820664601,1.46039558454095));
#61057=CARTESIAN_POINT('Origin',(3.5,0.,0.85));
#61058=CARTESIAN_POINT('',(3.7,2.86,0.85));
#61059=CARTESIAN_POINT('Origin',(3.900244542703,2.86,0.394853274378));
#61060=CARTESIAN_POINT('',(3.7,2.86,0.85));
#61061=CARTESIAN_POINT('',(3.7,2.86,0.85));
#61062=CARTESIAN_POINT('',(3.9,2.86,0.65));
#61063=CARTESIAN_POINT('Origin',(3.7,2.86,0.65));
#61064=CARTESIAN_POINT('',(3.9,2.86,0.2));
#61065=CARTESIAN_POINT('',(3.9,2.86,0.2));
#61066=CARTESIAN_POINT('',(4.,2.86,0.1));
#61067=CARTESIAN_POINT('Origin',(4.,2.86,0.2));
#61068=CARTESIAN_POINT('',(4.5,2.86,0.1));
#61069=CARTESIAN_POINT('',(4.5,2.86,0.1));
#61070=CARTESIAN_POINT('',(4.5,2.86,-8.326672684689E-17));
#61071=CARTESIAN_POINT('',(4.5,2.86,-8.326672684689E-17));
#61072=CARTESIAN_POINT('',(4.,2.86,-8.326672684689E-17));
#61073=CARTESIAN_POINT('',(4.,2.86,-8.326672684689E-17));
#61074=CARTESIAN_POINT('',(3.8,2.86,0.2));
#61075=CARTESIAN_POINT('Origin',(4.,2.86,0.2));
#61076=CARTESIAN_POINT('',(3.8,2.86,0.65));
#61077=CARTESIAN_POINT('',(3.8,2.86,0.65));
#61078=CARTESIAN_POINT('Origin',(3.7,2.86,0.65));
#61079=CARTESIAN_POINT('Origin',(3.900244542703,-2.86,0.394853274378));
#61080=CARTESIAN_POINT('',(3.8,-2.86,0.65));
#61081=CARTESIAN_POINT('Origin',(3.7,-2.86,0.65));
#61082=CARTESIAN_POINT('',(3.8,-2.86,0.2));
#61083=CARTESIAN_POINT('',(3.8,-2.86,0.65));
#61084=CARTESIAN_POINT('',(4.,-2.86,-8.326672684689E-17));
#61085=CARTESIAN_POINT('Origin',(4.,-2.86,0.2));
#61086=CARTESIAN_POINT('',(4.5,-2.86,-8.326672684689E-17));
#61087=CARTESIAN_POINT('',(4.,-2.86,-8.326672684689E-17));
#61088=CARTESIAN_POINT('',(4.5,-2.86,0.1));
#61089=CARTESIAN_POINT('',(4.5,-2.86,-8.326672684689E-17));
#61090=CARTESIAN_POINT('',(4.,-2.86,0.1));
#61091=CARTESIAN_POINT('',(4.5,-2.86,0.1));
#61092=CARTESIAN_POINT('',(3.9,-2.86,0.2));
#61093=CARTESIAN_POINT('Origin',(4.,-2.86,0.2));
#61094=CARTESIAN_POINT('',(3.9,-2.86,0.65));
#61095=CARTESIAN_POINT('',(3.9,-2.86,0.2));
#61096=CARTESIAN_POINT('',(3.7,-2.86,0.85));
#61097=CARTESIAN_POINT('Origin',(3.7,-2.86,0.65));
#61098=CARTESIAN_POINT('',(3.7,-2.86,0.85));
#61099=CARTESIAN_POINT('Origin',(3.5,0.,0.85));
#61100=CARTESIAN_POINT('',(3.7,-2.86,0.85));
#61101=CARTESIAN_POINT('',(3.5,-3.325,0.85));
#61102=CARTESIAN_POINT('Origin',(3.7,2.86,0.65));
#61103=CARTESIAN_POINT('',(3.8,2.64,0.65));
#61104=CARTESIAN_POINT('',(3.8,2.86,0.65));
#61105=CARTESIAN_POINT('Origin',(3.7,2.64,0.65));
#61106=CARTESIAN_POINT('Origin',(3.900244542703,2.64,0.394853274378));
#61107=CARTESIAN_POINT('',(3.8,2.64,0.2));
#61108=CARTESIAN_POINT('',(3.8,2.64,0.65));
#61109=CARTESIAN_POINT('',(4.,2.64,-8.326672684689E-17));
#61110=CARTESIAN_POINT('Origin',(4.,2.64,0.2));
#61111=CARTESIAN_POINT('',(4.5,2.64,-8.326672684689E-17));
#61112=CARTESIAN_POINT('',(4.,2.64,-8.326672684689E-17));
#61113=CARTESIAN_POINT('',(4.5,2.64,0.1));
#61114=CARTESIAN_POINT('',(4.5,2.64,-8.326672684689E-17));
#61115=CARTESIAN_POINT('',(4.,2.64,0.1));
#61116=CARTESIAN_POINT('',(4.5,2.64,0.1));
#61117=CARTESIAN_POINT('',(3.9,2.64,0.2));
#61118=CARTESIAN_POINT('Origin',(4.,2.64,0.2));
#61119=CARTESIAN_POINT('',(3.9,2.64,0.65));
#61120=CARTESIAN_POINT('',(3.9,2.64,0.2));
#61121=CARTESIAN_POINT('',(3.7,2.64,0.85));
#61122=CARTESIAN_POINT('Origin',(3.7,2.64,0.65));
#61123=CARTESIAN_POINT('',(3.7,2.64,0.85));
#61124=CARTESIAN_POINT('Origin',(3.900244542703,-2.64,0.394853274378));
#61125=CARTESIAN_POINT('',(3.7,-2.64,0.85));
#61126=CARTESIAN_POINT('',(3.7,-2.64,0.85));
#61127=CARTESIAN_POINT('',(3.9,-2.64,0.65));
#61128=CARTESIAN_POINT('Origin',(3.7,-2.64,0.65));
#61129=CARTESIAN_POINT('',(3.9,-2.64,0.2));
#61130=CARTESIAN_POINT('',(3.9,-2.64,0.2));
#61131=CARTESIAN_POINT('',(4.,-2.64,0.1));
#61132=CARTESIAN_POINT('Origin',(4.,-2.64,0.2));
#61133=CARTESIAN_POINT('',(4.5,-2.64,0.1));
#61134=CARTESIAN_POINT('',(4.5,-2.64,0.1));
#61135=CARTESIAN_POINT('',(4.5,-2.64,-8.326672684689E-17));
#61136=CARTESIAN_POINT('',(4.5,-2.64,-8.326672684689E-17));
#61137=CARTESIAN_POINT('',(4.,-2.64,-8.326672684689E-17));
#61138=CARTESIAN_POINT('',(4.,-2.64,-8.326672684689E-17));
#61139=CARTESIAN_POINT('',(3.8,-2.64,0.2));
#61140=CARTESIAN_POINT('Origin',(4.,-2.64,0.2));
#61141=CARTESIAN_POINT('',(3.8,-2.64,0.65));
#61142=CARTESIAN_POINT('',(3.8,-2.64,0.65));
#61143=CARTESIAN_POINT('Origin',(3.7,-2.64,0.65));
#61144=CARTESIAN_POINT('Origin',(3.7,-2.64,0.65));
#61145=CARTESIAN_POINT('',(3.8,-2.64,0.65));
#61146=CARTESIAN_POINT('Origin',(3.5,0.,0.85));
#61147=CARTESIAN_POINT('',(3.7,2.64,0.85));
#61148=CARTESIAN_POINT('',(3.7,2.36,0.85));
#61149=CARTESIAN_POINT('Origin',(3.900244542703,2.36,0.394853274378));
#61150=CARTESIAN_POINT('',(3.7,2.36,0.85));
#61151=CARTESIAN_POINT('',(3.7,2.36,0.85));
#61152=CARTESIAN_POINT('',(3.9,2.36,0.65));
#61153=CARTESIAN_POINT('Origin',(3.7,2.36,0.65));
#61154=CARTESIAN_POINT('',(3.9,2.36,0.2));
#61155=CARTESIAN_POINT('',(3.9,2.36,0.2));
#61156=CARTESIAN_POINT('',(4.,2.36,0.1));
#61157=CARTESIAN_POINT('Origin',(4.,2.36,0.2));
#61158=CARTESIAN_POINT('',(4.5,2.36,0.1));
#61159=CARTESIAN_POINT('',(4.5,2.36,0.1));
#61160=CARTESIAN_POINT('',(4.5,2.36,-8.326672684689E-17));
#61161=CARTESIAN_POINT('',(4.5,2.36,-8.326672684689E-17));
#61162=CARTESIAN_POINT('',(4.,2.36,-8.326672684689E-17));
#61163=CARTESIAN_POINT('',(4.,2.36,-8.326672684689E-17));
#61164=CARTESIAN_POINT('',(3.8,2.36,0.2));
#61165=CARTESIAN_POINT('Origin',(4.,2.36,0.2));
#61166=CARTESIAN_POINT('',(3.8,2.36,0.65));
#61167=CARTESIAN_POINT('',(3.8,2.36,0.65));
#61168=CARTESIAN_POINT('Origin',(3.7,2.36,0.65));
#61169=CARTESIAN_POINT('Origin',(3.900244542703,-2.36,0.394853274378));
#61170=CARTESIAN_POINT('',(3.8,-2.36,0.65));
#61171=CARTESIAN_POINT('Origin',(3.7,-2.36,0.65));
#61172=CARTESIAN_POINT('',(3.8,-2.36,0.2));
#61173=CARTESIAN_POINT('',(3.8,-2.36,0.65));
#61174=CARTESIAN_POINT('',(4.,-2.36,-8.326672684689E-17));
#61175=CARTESIAN_POINT('Origin',(4.,-2.36,0.2));
#61176=CARTESIAN_POINT('',(4.5,-2.36,-8.326672684689E-17));
#61177=CARTESIAN_POINT('',(4.,-2.36,-8.326672684689E-17));
#61178=CARTESIAN_POINT('',(4.5,-2.36,0.1));
#61179=CARTESIAN_POINT('',(4.5,-2.36,-8.326672684689E-17));
#61180=CARTESIAN_POINT('',(4.,-2.36,0.1));
#61181=CARTESIAN_POINT('',(4.5,-2.36,0.1));
#61182=CARTESIAN_POINT('',(3.9,-2.36,0.2));
#61183=CARTESIAN_POINT('Origin',(4.,-2.36,0.2));
#61184=CARTESIAN_POINT('',(3.9,-2.36,0.65));
#61185=CARTESIAN_POINT('',(3.9,-2.36,0.2));
#61186=CARTESIAN_POINT('',(3.7,-2.36,0.85));
#61187=CARTESIAN_POINT('Origin',(3.7,-2.36,0.65));
#61188=CARTESIAN_POINT('',(3.7,-2.36,0.85));
#61189=CARTESIAN_POINT('Origin',(3.5,0.,0.85));
#61190=CARTESIAN_POINT('',(3.7,-2.36,0.85));
#61191=CARTESIAN_POINT('',(3.7,-2.64,0.85));
#61192=CARTESIAN_POINT('Origin',(3.7,2.36,0.65));
#61193=CARTESIAN_POINT('',(3.8,2.14,0.65));
#61194=CARTESIAN_POINT('',(3.8,2.36,0.65));
#61195=CARTESIAN_POINT('Origin',(3.7,2.14,0.65));
#61196=CARTESIAN_POINT('Origin',(3.900244542703,2.14,0.394853274378));
#61197=CARTESIAN_POINT('',(3.8,2.14,0.2));
#61198=CARTESIAN_POINT('',(3.8,2.14,0.65));
#61199=CARTESIAN_POINT('',(4.,2.14,-8.326672684689E-17));
#61200=CARTESIAN_POINT('Origin',(4.,2.14,0.2));
#61201=CARTESIAN_POINT('',(4.5,2.14,-8.326672684689E-17));
#61202=CARTESIAN_POINT('',(4.,2.14,-8.326672684689E-17));
#61203=CARTESIAN_POINT('',(4.5,2.14,0.1));
#61204=CARTESIAN_POINT('',(4.5,2.14,-8.326672684689E-17));
#61205=CARTESIAN_POINT('',(4.,2.14,0.1));
#61206=CARTESIAN_POINT('',(4.5,2.14,0.1));
#61207=CARTESIAN_POINT('',(3.9,2.14,0.2));
#61208=CARTESIAN_POINT('Origin',(4.,2.14,0.2));
#61209=CARTESIAN_POINT('',(3.9,2.14,0.65));
#61210=CARTESIAN_POINT('',(3.9,2.14,0.2));
#61211=CARTESIAN_POINT('',(3.7,2.14,0.85));
#61212=CARTESIAN_POINT('Origin',(3.7,2.14,0.65));
#61213=CARTESIAN_POINT('',(3.7,2.14,0.85));
#61214=CARTESIAN_POINT('Origin',(3.900244542703,-2.14,0.394853274378));
#61215=CARTESIAN_POINT('',(3.7,-2.14,0.85));
#61216=CARTESIAN_POINT('',(3.7,-2.14,0.85));
#61217=CARTESIAN_POINT('',(3.9,-2.14,0.65));
#61218=CARTESIAN_POINT('Origin',(3.7,-2.14,0.65));
#61219=CARTESIAN_POINT('',(3.9,-2.14,0.2));
#61220=CARTESIAN_POINT('',(3.9,-2.14,0.2));
#61221=CARTESIAN_POINT('',(4.,-2.14,0.1));
#61222=CARTESIAN_POINT('Origin',(4.,-2.14,0.2));
#61223=CARTESIAN_POINT('',(4.5,-2.14,0.1));
#61224=CARTESIAN_POINT('',(4.5,-2.14,0.1));
#61225=CARTESIAN_POINT('',(4.5,-2.14,-8.326672684689E-17));
#61226=CARTESIAN_POINT('',(4.5,-2.14,-8.326672684689E-17));
#61227=CARTESIAN_POINT('',(4.,-2.14,-8.326672684689E-17));
#61228=CARTESIAN_POINT('',(4.,-2.14,-8.326672684689E-17));
#61229=CARTESIAN_POINT('',(3.8,-2.14,0.2));
#61230=CARTESIAN_POINT('Origin',(4.,-2.14,0.2));
#61231=CARTESIAN_POINT('',(3.8,-2.14,0.65));
#61232=CARTESIAN_POINT('',(3.8,-2.14,0.65));
#61233=CARTESIAN_POINT('Origin',(3.7,-2.14,0.65));
#61234=CARTESIAN_POINT('Origin',(3.7,-2.14,0.65));
#61235=CARTESIAN_POINT('',(3.8,-2.14,0.65));
#61236=CARTESIAN_POINT('Origin',(3.5,0.,0.85));
#61237=CARTESIAN_POINT('',(3.7,2.14,0.85));
#61238=CARTESIAN_POINT('',(3.7,1.86,0.85));
#61239=CARTESIAN_POINT('Origin',(3.900244542703,1.86,0.394853274378));
#61240=CARTESIAN_POINT('',(3.7,1.86,0.85));
#61241=CARTESIAN_POINT('',(3.7,1.86,0.85));
#61242=CARTESIAN_POINT('',(3.9,1.86,0.65));
#61243=CARTESIAN_POINT('Origin',(3.7,1.86,0.65));
#61244=CARTESIAN_POINT('',(3.9,1.86,0.2));
#61245=CARTESIAN_POINT('',(3.9,1.86,0.2));
#61246=CARTESIAN_POINT('',(4.,1.86,0.1));
#61247=CARTESIAN_POINT('Origin',(4.,1.86,0.2));
#61248=CARTESIAN_POINT('',(4.5,1.86,0.1));
#61249=CARTESIAN_POINT('',(4.5,1.86,0.1));
#61250=CARTESIAN_POINT('',(4.5,1.86,-8.326672684689E-17));
#61251=CARTESIAN_POINT('',(4.5,1.86,-8.326672684689E-17));
#61252=CARTESIAN_POINT('',(4.,1.86,-8.326672684689E-17));
#61253=CARTESIAN_POINT('',(4.,1.86,-8.326672684689E-17));
#61254=CARTESIAN_POINT('',(3.8,1.86,0.2));
#61255=CARTESIAN_POINT('Origin',(4.,1.86,0.2));
#61256=CARTESIAN_POINT('',(3.8,1.86,0.65));
#61257=CARTESIAN_POINT('',(3.8,1.86,0.65));
#61258=CARTESIAN_POINT('Origin',(3.7,1.86,0.65));
#61259=CARTESIAN_POINT('Origin',(3.900244542703,-1.86,0.394853274378));
#61260=CARTESIAN_POINT('',(3.8,-1.86,0.65));
#61261=CARTESIAN_POINT('Origin',(3.7,-1.86,0.65));
#61262=CARTESIAN_POINT('',(3.8,-1.86,0.2));
#61263=CARTESIAN_POINT('',(3.8,-1.86,0.65));
#61264=CARTESIAN_POINT('',(4.,-1.86,-8.326672684689E-17));
#61265=CARTESIAN_POINT('Origin',(4.,-1.86,0.2));
#61266=CARTESIAN_POINT('',(4.5,-1.86,-8.326672684689E-17));
#61267=CARTESIAN_POINT('',(4.,-1.86,-8.326672684689E-17));
#61268=CARTESIAN_POINT('',(4.5,-1.86,0.1));
#61269=CARTESIAN_POINT('',(4.5,-1.86,-8.326672684689E-17));
#61270=CARTESIAN_POINT('',(4.,-1.86,0.1));
#61271=CARTESIAN_POINT('',(4.5,-1.86,0.1));
#61272=CARTESIAN_POINT('',(3.9,-1.86,0.2));
#61273=CARTESIAN_POINT('Origin',(4.,-1.86,0.2));
#61274=CARTESIAN_POINT('',(3.9,-1.86,0.65));
#61275=CARTESIAN_POINT('',(3.9,-1.86,0.2));
#61276=CARTESIAN_POINT('',(3.7,-1.86,0.85));
#61277=CARTESIAN_POINT('Origin',(3.7,-1.86,0.65));
#61278=CARTESIAN_POINT('',(3.7,-1.86,0.85));
#61279=CARTESIAN_POINT('Origin',(3.5,0.,0.85));
#61280=CARTESIAN_POINT('',(3.7,-1.86,0.85));
#61281=CARTESIAN_POINT('',(3.7,-2.14,0.85));
#61282=CARTESIAN_POINT('Origin',(3.7,1.86,0.65));
#61283=CARTESIAN_POINT('',(3.8,1.64,0.65));
#61284=CARTESIAN_POINT('',(3.8,1.86,0.65));
#61285=CARTESIAN_POINT('Origin',(3.7,1.64,0.65));
#61286=CARTESIAN_POINT('Origin',(3.900244542703,1.64,0.394853274378));
#61287=CARTESIAN_POINT('',(3.8,1.64,0.2));
#61288=CARTESIAN_POINT('',(3.8,1.64,0.65));
#61289=CARTESIAN_POINT('',(4.,1.64,-8.326672684689E-17));
#61290=CARTESIAN_POINT('Origin',(4.,1.64,0.2));
#61291=CARTESIAN_POINT('',(4.5,1.64,-8.326672684689E-17));
#61292=CARTESIAN_POINT('',(4.,1.64,-8.326672684689E-17));
#61293=CARTESIAN_POINT('',(4.5,1.64,0.1));
#61294=CARTESIAN_POINT('',(4.5,1.64,-8.326672684689E-17));
#61295=CARTESIAN_POINT('',(4.,1.64,0.1));
#61296=CARTESIAN_POINT('',(4.5,1.64,0.1));
#61297=CARTESIAN_POINT('',(3.9,1.64,0.2));
#61298=CARTESIAN_POINT('Origin',(4.,1.64,0.2));
#61299=CARTESIAN_POINT('',(3.9,1.64,0.65));
#61300=CARTESIAN_POINT('',(3.9,1.64,0.2));
#61301=CARTESIAN_POINT('',(3.7,1.64,0.85));
#61302=CARTESIAN_POINT('Origin',(3.7,1.64,0.65));
#61303=CARTESIAN_POINT('',(3.7,1.64,0.85));
#61304=CARTESIAN_POINT('Origin',(3.900244542703,-1.64,0.394853274378));
#61305=CARTESIAN_POINT('',(3.7,-1.64,0.85));
#61306=CARTESIAN_POINT('',(3.7,-1.64,0.85));
#61307=CARTESIAN_POINT('',(3.9,-1.64,0.65));
#61308=CARTESIAN_POINT('Origin',(3.7,-1.64,0.65));
#61309=CARTESIAN_POINT('',(3.9,-1.64,0.2));
#61310=CARTESIAN_POINT('',(3.9,-1.64,0.2));
#61311=CARTESIAN_POINT('',(4.,-1.64,0.1));
#61312=CARTESIAN_POINT('Origin',(4.,-1.64,0.2));
#61313=CARTESIAN_POINT('',(4.5,-1.64,0.1));
#61314=CARTESIAN_POINT('',(4.5,-1.64,0.1));
#61315=CARTESIAN_POINT('',(4.5,-1.64,-8.326672684689E-17));
#61316=CARTESIAN_POINT('',(4.5,-1.64,-8.326672684689E-17));
#61317=CARTESIAN_POINT('',(4.,-1.64,-8.326672684689E-17));
#61318=CARTESIAN_POINT('',(4.,-1.64,-8.326672684689E-17));
#61319=CARTESIAN_POINT('',(3.8,-1.64,0.2));
#61320=CARTESIAN_POINT('Origin',(4.,-1.64,0.2));
#61321=CARTESIAN_POINT('',(3.8,-1.64,0.65));
#61322=CARTESIAN_POINT('',(3.8,-1.64,0.65));
#61323=CARTESIAN_POINT('Origin',(3.7,-1.64,0.65));
#61324=CARTESIAN_POINT('Origin',(3.7,-1.64,0.65));
#61325=CARTESIAN_POINT('',(3.8,-1.64,0.65));
#61326=CARTESIAN_POINT('Origin',(3.5,0.,0.85));
#61327=CARTESIAN_POINT('',(3.7,1.64,0.85));
#61328=CARTESIAN_POINT('',(3.7,1.36,0.85));
#61329=CARTESIAN_POINT('Origin',(3.900244542703,1.36,0.394853274378));
#61330=CARTESIAN_POINT('',(3.7,1.36,0.85));
#61331=CARTESIAN_POINT('',(3.7,1.36,0.85));
#61332=CARTESIAN_POINT('',(3.9,1.36,0.65));
#61333=CARTESIAN_POINT('Origin',(3.7,1.36,0.65));
#61334=CARTESIAN_POINT('',(3.9,1.36,0.2));
#61335=CARTESIAN_POINT('',(3.9,1.36,0.2));
#61336=CARTESIAN_POINT('',(4.,1.36,0.1));
#61337=CARTESIAN_POINT('Origin',(4.,1.36,0.2));
#61338=CARTESIAN_POINT('',(4.5,1.36,0.1));
#61339=CARTESIAN_POINT('',(4.5,1.36,0.1));
#61340=CARTESIAN_POINT('',(4.5,1.36,-8.326672684689E-17));
#61341=CARTESIAN_POINT('',(4.5,1.36,-8.326672684689E-17));
#61342=CARTESIAN_POINT('',(4.,1.36,-8.326672684689E-17));
#61343=CARTESIAN_POINT('',(4.,1.36,-8.326672684689E-17));
#61344=CARTESIAN_POINT('',(3.8,1.36,0.2));
#61345=CARTESIAN_POINT('Origin',(4.,1.36,0.2));
#61346=CARTESIAN_POINT('',(3.8,1.36,0.65));
#61347=CARTESIAN_POINT('',(3.8,1.36,0.65));
#61348=CARTESIAN_POINT('Origin',(3.7,1.36,0.65));
#61349=CARTESIAN_POINT('Origin',(3.900244542703,-1.36,0.394853274378));
#61350=CARTESIAN_POINT('',(3.8,-1.36,0.65));
#61351=CARTESIAN_POINT('Origin',(3.7,-1.36,0.65));
#61352=CARTESIAN_POINT('',(3.8,-1.36,0.2));
#61353=CARTESIAN_POINT('',(3.8,-1.36,0.65));
#61354=CARTESIAN_POINT('',(4.,-1.36,-8.326672684689E-17));
#61355=CARTESIAN_POINT('Origin',(4.,-1.36,0.2));
#61356=CARTESIAN_POINT('',(4.5,-1.36,-8.326672684689E-17));
#61357=CARTESIAN_POINT('',(4.,-1.36,-8.326672684689E-17));
#61358=CARTESIAN_POINT('',(4.5,-1.36,0.1));
#61359=CARTESIAN_POINT('',(4.5,-1.36,-8.326672684689E-17));
#61360=CARTESIAN_POINT('',(4.,-1.36,0.1));
#61361=CARTESIAN_POINT('',(4.5,-1.36,0.1));
#61362=CARTESIAN_POINT('',(3.9,-1.36,0.2));
#61363=CARTESIAN_POINT('Origin',(4.,-1.36,0.2));
#61364=CARTESIAN_POINT('',(3.9,-1.36,0.65));
#61365=CARTESIAN_POINT('',(3.9,-1.36,0.2));
#61366=CARTESIAN_POINT('',(3.7,-1.36,0.85));
#61367=CARTESIAN_POINT('Origin',(3.7,-1.36,0.65));
#61368=CARTESIAN_POINT('',(3.7,-1.36,0.85));
#61369=CARTESIAN_POINT('Origin',(3.5,0.,0.85));
#61370=CARTESIAN_POINT('',(3.7,-1.36,0.85));
#61371=CARTESIAN_POINT('',(3.7,-1.64,0.85));
#61372=CARTESIAN_POINT('Origin',(3.7,1.36,0.65));
#61373=CARTESIAN_POINT('',(3.8,1.14,0.65));
#61374=CARTESIAN_POINT('',(3.8,1.36,0.65));
#61375=CARTESIAN_POINT('Origin',(3.7,1.14,0.65));
#61376=CARTESIAN_POINT('Origin',(3.900244542703,1.14,0.394853274378));
#61377=CARTESIAN_POINT('',(3.8,1.14,0.2));
#61378=CARTESIAN_POINT('',(3.8,1.14,0.65));
#61379=CARTESIAN_POINT('',(4.,1.14,-8.326672684689E-17));
#61380=CARTESIAN_POINT('Origin',(4.,1.14,0.2));
#61381=CARTESIAN_POINT('',(4.5,1.14,-8.326672684689E-17));
#61382=CARTESIAN_POINT('',(4.,1.14,-8.326672684689E-17));
#61383=CARTESIAN_POINT('',(4.5,1.14,0.1));
#61384=CARTESIAN_POINT('',(4.5,1.14,-8.326672684689E-17));
#61385=CARTESIAN_POINT('',(4.,1.14,0.1));
#61386=CARTESIAN_POINT('',(4.5,1.14,0.1));
#61387=CARTESIAN_POINT('',(3.9,1.14,0.2));
#61388=CARTESIAN_POINT('Origin',(4.,1.14,0.2));
#61389=CARTESIAN_POINT('',(3.9,1.14,0.65));
#61390=CARTESIAN_POINT('',(3.9,1.14,0.2));
#61391=CARTESIAN_POINT('',(3.7,1.14,0.85));
#61392=CARTESIAN_POINT('Origin',(3.7,1.14,0.65));
#61393=CARTESIAN_POINT('',(3.7,1.14,0.85));
#61394=CARTESIAN_POINT('Origin',(3.900244542703,-1.14,0.394853274378));
#61395=CARTESIAN_POINT('',(3.7,-1.14,0.85));
#61396=CARTESIAN_POINT('',(3.7,-1.14,0.85));
#61397=CARTESIAN_POINT('',(3.9,-1.14,0.65));
#61398=CARTESIAN_POINT('Origin',(3.7,-1.14,0.65));
#61399=CARTESIAN_POINT('',(3.9,-1.14,0.2));
#61400=CARTESIAN_POINT('',(3.9,-1.14,0.2));
#61401=CARTESIAN_POINT('',(4.,-1.14,0.1));
#61402=CARTESIAN_POINT('Origin',(4.,-1.14,0.2));
#61403=CARTESIAN_POINT('',(4.5,-1.14,0.1));
#61404=CARTESIAN_POINT('',(4.5,-1.14,0.1));
#61405=CARTESIAN_POINT('',(4.5,-1.14,-8.326672684689E-17));
#61406=CARTESIAN_POINT('',(4.5,-1.14,-8.326672684689E-17));
#61407=CARTESIAN_POINT('',(4.,-1.14,-8.326672684689E-17));
#61408=CARTESIAN_POINT('',(4.,-1.14,-8.326672684689E-17));
#61409=CARTESIAN_POINT('',(3.8,-1.14,0.2));
#61410=CARTESIAN_POINT('Origin',(4.,-1.14,0.2));
#61411=CARTESIAN_POINT('',(3.8,-1.14,0.65));
#61412=CARTESIAN_POINT('',(3.8,-1.14,0.65));
#61413=CARTESIAN_POINT('Origin',(3.7,-1.14,0.65));
#61414=CARTESIAN_POINT('Origin',(3.7,-1.14,0.65));
#61415=CARTESIAN_POINT('',(3.8,-1.14,0.65));
#61416=CARTESIAN_POINT('Origin',(3.5,0.,0.85));
#61417=CARTESIAN_POINT('',(3.7,1.14,0.85));
#61418=CARTESIAN_POINT('',(3.7,0.86,0.85));
#61419=CARTESIAN_POINT('Origin',(3.900244542703,0.86,0.394853274378));
#61420=CARTESIAN_POINT('',(3.7,0.86,0.85));
#61421=CARTESIAN_POINT('',(3.7,0.86,0.85));
#61422=CARTESIAN_POINT('',(3.9,0.86,0.65));
#61423=CARTESIAN_POINT('Origin',(3.7,0.86,0.65));
#61424=CARTESIAN_POINT('',(3.9,0.86,0.2));
#61425=CARTESIAN_POINT('',(3.9,0.86,0.2));
#61426=CARTESIAN_POINT('',(4.,0.86,0.1));
#61427=CARTESIAN_POINT('Origin',(4.,0.86,0.2));
#61428=CARTESIAN_POINT('',(4.5,0.86,0.1));
#61429=CARTESIAN_POINT('',(4.5,0.86,0.1));
#61430=CARTESIAN_POINT('',(4.5,0.86,-8.326672684689E-17));
#61431=CARTESIAN_POINT('',(4.5,0.86,-8.326672684689E-17));
#61432=CARTESIAN_POINT('',(4.,0.86,-8.326672684689E-17));
#61433=CARTESIAN_POINT('',(4.,0.86,-8.326672684689E-17));
#61434=CARTESIAN_POINT('',(3.8,0.86,0.2));
#61435=CARTESIAN_POINT('Origin',(4.,0.86,0.2));
#61436=CARTESIAN_POINT('',(3.8,0.86,0.65));
#61437=CARTESIAN_POINT('',(3.8,0.86,0.65));
#61438=CARTESIAN_POINT('Origin',(3.7,0.86,0.65));
#61439=CARTESIAN_POINT('Origin',(3.900244542703,-0.86,0.394853274378));
#61440=CARTESIAN_POINT('',(3.8,-0.86,0.65));
#61441=CARTESIAN_POINT('Origin',(3.7,-0.86,0.65));
#61442=CARTESIAN_POINT('',(3.8,-0.86,0.2));
#61443=CARTESIAN_POINT('',(3.8,-0.86,0.65));
#61444=CARTESIAN_POINT('',(4.,-0.86,-8.326672684689E-17));
#61445=CARTESIAN_POINT('Origin',(4.,-0.86,0.2));
#61446=CARTESIAN_POINT('',(4.5,-0.86,-8.326672684689E-17));
#61447=CARTESIAN_POINT('',(4.,-0.86,-8.326672684689E-17));
#61448=CARTESIAN_POINT('',(4.5,-0.86,0.1));
#61449=CARTESIAN_POINT('',(4.5,-0.86,-8.326672684689E-17));
#61450=CARTESIAN_POINT('',(4.,-0.86,0.1));
#61451=CARTESIAN_POINT('',(4.5,-0.86,0.1));
#61452=CARTESIAN_POINT('',(3.9,-0.86,0.2));
#61453=CARTESIAN_POINT('Origin',(4.,-0.86,0.2));
#61454=CARTESIAN_POINT('',(3.9,-0.86,0.65));
#61455=CARTESIAN_POINT('',(3.9,-0.86,0.2));
#61456=CARTESIAN_POINT('',(3.7,-0.86,0.85));
#61457=CARTESIAN_POINT('Origin',(3.7,-0.86,0.65));
#61458=CARTESIAN_POINT('',(3.7,-0.86,0.85));
#61459=CARTESIAN_POINT('Origin',(3.5,0.,0.85));
#61460=CARTESIAN_POINT('',(3.7,-0.86,0.85));
#61461=CARTESIAN_POINT('',(3.7,-1.14,0.85));
#61462=CARTESIAN_POINT('Origin',(3.7,0.86,0.65));
#61463=CARTESIAN_POINT('',(3.8,0.64,0.65));
#61464=CARTESIAN_POINT('',(3.8,0.86,0.65));
#61465=CARTESIAN_POINT('Origin',(3.7,0.64,0.65));
#61466=CARTESIAN_POINT('Origin',(3.900244542703,0.64,0.394853274378));
#61467=CARTESIAN_POINT('',(3.8,0.64,0.2));
#61468=CARTESIAN_POINT('',(3.8,0.64,0.65));
#61469=CARTESIAN_POINT('',(4.,0.64,-8.326672684689E-17));
#61470=CARTESIAN_POINT('Origin',(4.,0.64,0.2));
#61471=CARTESIAN_POINT('',(4.5,0.64,-8.326672684689E-17));
#61472=CARTESIAN_POINT('',(4.,0.64,-8.326672684689E-17));
#61473=CARTESIAN_POINT('',(4.5,0.64,0.1));
#61474=CARTESIAN_POINT('',(4.5,0.64,-8.326672684689E-17));
#61475=CARTESIAN_POINT('',(4.,0.64,0.1));
#61476=CARTESIAN_POINT('',(4.5,0.64,0.1));
#61477=CARTESIAN_POINT('',(3.9,0.64,0.2));
#61478=CARTESIAN_POINT('Origin',(4.,0.64,0.2));
#61479=CARTESIAN_POINT('',(3.9,0.64,0.65));
#61480=CARTESIAN_POINT('',(3.9,0.64,0.2));
#61481=CARTESIAN_POINT('',(3.7,0.64,0.85));
#61482=CARTESIAN_POINT('Origin',(3.7,0.64,0.65));
#61483=CARTESIAN_POINT('',(3.7,0.64,0.85));
#61484=CARTESIAN_POINT('Origin',(3.900244542703,-0.64,0.394853274378));
#61485=CARTESIAN_POINT('',(3.7,-0.64,0.85));
#61486=CARTESIAN_POINT('',(3.7,-0.64,0.85));
#61487=CARTESIAN_POINT('',(3.9,-0.64,0.65));
#61488=CARTESIAN_POINT('Origin',(3.7,-0.64,0.65));
#61489=CARTESIAN_POINT('',(3.9,-0.64,0.2));
#61490=CARTESIAN_POINT('',(3.9,-0.64,0.2));
#61491=CARTESIAN_POINT('',(4.,-0.64,0.1));
#61492=CARTESIAN_POINT('Origin',(4.,-0.64,0.2));
#61493=CARTESIAN_POINT('',(4.5,-0.64,0.1));
#61494=CARTESIAN_POINT('',(4.5,-0.64,0.1));
#61495=CARTESIAN_POINT('',(4.5,-0.64,-8.326672684689E-17));
#61496=CARTESIAN_POINT('',(4.5,-0.64,-8.326672684689E-17));
#61497=CARTESIAN_POINT('',(4.,-0.64,-8.326672684689E-17));
#61498=CARTESIAN_POINT('',(4.,-0.64,-8.326672684689E-17));
#61499=CARTESIAN_POINT('',(3.8,-0.64,0.2));
#61500=CARTESIAN_POINT('Origin',(4.,-0.64,0.2));
#61501=CARTESIAN_POINT('',(3.8,-0.64,0.65));
#61502=CARTESIAN_POINT('',(3.8,-0.64,0.65));
#61503=CARTESIAN_POINT('Origin',(3.7,-0.64,0.65));
#61504=CARTESIAN_POINT('Origin',(3.7,-0.64,0.65));
#61505=CARTESIAN_POINT('',(3.8,-0.64,0.65));
#61506=CARTESIAN_POINT('Origin',(3.5,0.,0.85));
#61507=CARTESIAN_POINT('',(3.7,0.64,0.85));
#61508=CARTESIAN_POINT('',(3.7,0.36,0.85));
#61509=CARTESIAN_POINT('Origin',(3.900244542703,0.36,0.394853274378));
#61510=CARTESIAN_POINT('',(3.7,0.36,0.85));
#61511=CARTESIAN_POINT('',(3.7,0.36,0.85));
#61512=CARTESIAN_POINT('',(3.9,0.36,0.65));
#61513=CARTESIAN_POINT('Origin',(3.7,0.36,0.65));
#61514=CARTESIAN_POINT('',(3.9,0.36,0.2));
#61515=CARTESIAN_POINT('',(3.9,0.36,0.2));
#61516=CARTESIAN_POINT('',(4.,0.36,0.1));
#61517=CARTESIAN_POINT('Origin',(4.,0.36,0.2));
#61518=CARTESIAN_POINT('',(4.5,0.36,0.1));
#61519=CARTESIAN_POINT('',(4.5,0.36,0.1));
#61520=CARTESIAN_POINT('',(4.5,0.36,-8.326672684689E-17));
#61521=CARTESIAN_POINT('',(4.5,0.36,-8.326672684689E-17));
#61522=CARTESIAN_POINT('',(4.,0.36,-8.326672684689E-17));
#61523=CARTESIAN_POINT('',(4.,0.36,-8.326672684689E-17));
#61524=CARTESIAN_POINT('',(3.8,0.36,0.2));
#61525=CARTESIAN_POINT('Origin',(4.,0.36,0.2));
#61526=CARTESIAN_POINT('',(3.8,0.36,0.65));
#61527=CARTESIAN_POINT('',(3.8,0.36,0.65));
#61528=CARTESIAN_POINT('Origin',(3.7,0.36,0.65));
#61529=CARTESIAN_POINT('Origin',(3.900244542703,-0.36,0.394853274378));
#61530=CARTESIAN_POINT('',(3.8,-0.36,0.65));
#61531=CARTESIAN_POINT('Origin',(3.7,-0.36,0.65));
#61532=CARTESIAN_POINT('',(3.8,-0.36,0.2));
#61533=CARTESIAN_POINT('',(3.8,-0.36,0.65));
#61534=CARTESIAN_POINT('',(4.,-0.36,-8.326672684689E-17));
#61535=CARTESIAN_POINT('Origin',(4.,-0.36,0.2));
#61536=CARTESIAN_POINT('',(4.5,-0.36,-8.326672684689E-17));
#61537=CARTESIAN_POINT('',(4.,-0.36,-8.326672684689E-17));
#61538=CARTESIAN_POINT('',(4.5,-0.36,0.1));
#61539=CARTESIAN_POINT('',(4.5,-0.36,-8.326672684689E-17));
#61540=CARTESIAN_POINT('',(4.,-0.36,0.1));
#61541=CARTESIAN_POINT('',(4.5,-0.36,0.1));
#61542=CARTESIAN_POINT('',(3.9,-0.36,0.2));
#61543=CARTESIAN_POINT('Origin',(4.,-0.36,0.2));
#61544=CARTESIAN_POINT('',(3.9,-0.36,0.65));
#61545=CARTESIAN_POINT('',(3.9,-0.36,0.2));
#61546=CARTESIAN_POINT('',(3.7,-0.36,0.85));
#61547=CARTESIAN_POINT('Origin',(3.7,-0.36,0.65));
#61548=CARTESIAN_POINT('',(3.7,-0.36,0.85));
#61549=CARTESIAN_POINT('Origin',(3.5,0.,0.85));
#61550=CARTESIAN_POINT('',(3.7,-0.36,0.85));
#61551=CARTESIAN_POINT('',(3.7,-0.64,0.85));
#61552=CARTESIAN_POINT('Origin',(3.7,0.36,0.65));
#61553=CARTESIAN_POINT('',(3.8,0.14,0.65));
#61554=CARTESIAN_POINT('',(3.8,0.36,0.65));
#61555=CARTESIAN_POINT('Origin',(3.7,0.14,0.65));
#61556=CARTESIAN_POINT('Origin',(3.900244542703,0.14,0.394853274378));
#61557=CARTESIAN_POINT('',(3.8,0.14,0.2));
#61558=CARTESIAN_POINT('',(3.8,0.14,0.65));
#61559=CARTESIAN_POINT('',(4.,0.14,-8.326672684689E-17));
#61560=CARTESIAN_POINT('Origin',(4.,0.14,0.2));
#61561=CARTESIAN_POINT('',(4.5,0.14,-8.326672684689E-17));
#61562=CARTESIAN_POINT('',(4.,0.14,-8.326672684689E-17));
#61563=CARTESIAN_POINT('',(4.5,0.14,0.1));
#61564=CARTESIAN_POINT('',(4.5,0.14,-8.326672684689E-17));
#61565=CARTESIAN_POINT('',(4.,0.14,0.1));
#61566=CARTESIAN_POINT('',(4.5,0.14,0.1));
#61567=CARTESIAN_POINT('',(3.9,0.14,0.2));
#61568=CARTESIAN_POINT('Origin',(4.,0.14,0.2));
#61569=CARTESIAN_POINT('',(3.9,0.14,0.65));
#61570=CARTESIAN_POINT('',(3.9,0.14,0.2));
#61571=CARTESIAN_POINT('',(3.7,0.14,0.85));
#61572=CARTESIAN_POINT('Origin',(3.7,0.14,0.65));
#61573=CARTESIAN_POINT('',(3.7,0.14,0.85));
#61574=CARTESIAN_POINT('Origin',(3.900244542703,-0.14,0.394853274378));
#61575=CARTESIAN_POINT('',(3.7,-0.14,0.85));
#61576=CARTESIAN_POINT('',(3.7,-0.14,0.85));
#61577=CARTESIAN_POINT('',(3.9,-0.14,0.65));
#61578=CARTESIAN_POINT('Origin',(3.7,-0.14,0.65));
#61579=CARTESIAN_POINT('',(3.9,-0.14,0.2));
#61580=CARTESIAN_POINT('',(3.9,-0.14,0.2));
#61581=CARTESIAN_POINT('',(4.,-0.14,0.1));
#61582=CARTESIAN_POINT('Origin',(4.,-0.14,0.2));
#61583=CARTESIAN_POINT('',(4.5,-0.14,0.1));
#61584=CARTESIAN_POINT('',(4.5,-0.14,0.1));
#61585=CARTESIAN_POINT('',(4.5,-0.14,-8.326672684689E-17));
#61586=CARTESIAN_POINT('',(4.5,-0.14,-8.326672684689E-17));
#61587=CARTESIAN_POINT('',(4.,-0.14,-8.326672684689E-17));
#61588=CARTESIAN_POINT('',(4.,-0.14,-8.326672684689E-17));
#61589=CARTESIAN_POINT('',(3.8,-0.14,0.2));
#61590=CARTESIAN_POINT('Origin',(4.,-0.14,0.2));
#61591=CARTESIAN_POINT('',(3.8,-0.14,0.65));
#61592=CARTESIAN_POINT('',(3.8,-0.14,0.65));
#61593=CARTESIAN_POINT('Origin',(3.7,-0.14,0.65));
#61594=CARTESIAN_POINT('Origin',(3.7,-0.14,0.65));
#61595=CARTESIAN_POINT('',(3.8,-0.14,0.65));
#61596=CARTESIAN_POINT('Origin',(3.5,0.,0.85));
#61597=CARTESIAN_POINT('',(3.7,0.14,0.85));
#61598=CARTESIAN_POINT('',(3.7,-0.14,0.85));
#61599=CARTESIAN_POINT('Origin',(3.4125,-3.4125,0.85));
#61600=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#61601=CARTESIAN_POINT('',(3.425,-3.2875,0.85));
#61602=CARTESIAN_POINT('',(3.325,-3.5,0.85));
#61603=CARTESIAN_POINT('Origin',(0.,-3.5,0.85));
#61604=CARTESIAN_POINT('',(2.86,-3.425,0.85));
#61605=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#61606=CARTESIAN_POINT('',(2.86,-3.7,0.85));
#61607=CARTESIAN_POINT('Origin',(2.86,-3.900244542703,0.394853274378));
#61608=CARTESIAN_POINT('',(2.86,-3.7,0.85));
#61609=CARTESIAN_POINT('',(2.86,-3.7,0.85));
#61610=CARTESIAN_POINT('',(2.86,-3.9,0.65));
#61611=CARTESIAN_POINT('Origin',(2.86,-3.7,0.65));
#61612=CARTESIAN_POINT('',(2.86,-3.9,0.2));
#61613=CARTESIAN_POINT('',(2.86,-3.9,0.2));
#61614=CARTESIAN_POINT('',(2.86,-4.,0.1));
#61615=CARTESIAN_POINT('Origin',(2.86,-4.,0.2));
#61616=CARTESIAN_POINT('',(2.86,-4.5,0.1));
#61617=CARTESIAN_POINT('',(2.86,-4.5,0.1));
#61618=CARTESIAN_POINT('',(2.86,-4.5,-8.326672684689E-17));
#61619=CARTESIAN_POINT('',(2.86,-4.5,-8.326672684689E-17));
#61620=CARTESIAN_POINT('',(2.86,-4.,-8.326672684689E-17));
#61621=CARTESIAN_POINT('',(2.86,-4.,-8.326672684689E-17));
#61622=CARTESIAN_POINT('',(2.86,-3.8,0.2));
#61623=CARTESIAN_POINT('Origin',(2.86,-4.,0.2));
#61624=CARTESIAN_POINT('',(2.86,-3.8,0.65));
#61625=CARTESIAN_POINT('',(2.86,-3.8,0.65));
#61626=CARTESIAN_POINT('Origin',(2.86,-3.7,0.65));
#61627=CARTESIAN_POINT('Origin',(-2.86,-3.900244542703,0.394853274378));
#61628=CARTESIAN_POINT('',(-2.86,-3.8,0.65));
#61629=CARTESIAN_POINT('Origin',(-2.86,-3.7,0.65));
#61630=CARTESIAN_POINT('',(-2.86,-3.8,0.2));
#61631=CARTESIAN_POINT('',(-2.86,-3.8,0.65));
#61632=CARTESIAN_POINT('',(-2.86,-4.,-8.326672684689E-17));
#61633=CARTESIAN_POINT('Origin',(-2.86,-4.,0.2));
#61634=CARTESIAN_POINT('',(-2.86,-4.5,-8.326672684689E-17));
#61635=CARTESIAN_POINT('',(-2.86,-4.,-8.326672684689E-17));
#61636=CARTESIAN_POINT('',(-2.86,-4.5,0.1));
#61637=CARTESIAN_POINT('',(-2.86,-4.5,-8.326672684689E-17));
#61638=CARTESIAN_POINT('',(-2.86,-4.,0.1));
#61639=CARTESIAN_POINT('',(-2.86,-4.5,0.1));
#61640=CARTESIAN_POINT('',(-2.86,-3.9,0.2));
#61641=CARTESIAN_POINT('Origin',(-2.86,-4.,0.2));
#61642=CARTESIAN_POINT('',(-2.86,-3.9,0.65));
#61643=CARTESIAN_POINT('',(-2.86,-3.9,0.2));
#61644=CARTESIAN_POINT('',(-2.86,-3.7,0.85));
#61645=CARTESIAN_POINT('Origin',(-2.86,-3.7,0.65));
#61646=CARTESIAN_POINT('',(-2.86,-3.7,0.85));
#61647=CARTESIAN_POINT('Origin',(0.,-3.5,0.85));
#61648=CARTESIAN_POINT('',(-2.86,-3.425,0.85));
#61649=CARTESIAN_POINT('',(-2.86,-3.7,0.85));
#61650=CARTESIAN_POINT('',(-3.2875,-3.425,0.85));
#61651=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#61652=CARTESIAN_POINT('',(-3.325,-3.5,0.85));
#61653=CARTESIAN_POINT('Origin',(2.86,-3.7,0.65));
#61654=CARTESIAN_POINT('',(2.64,-3.8,0.65));
#61655=CARTESIAN_POINT('',(2.86,-3.8,0.65));
#61656=CARTESIAN_POINT('Origin',(2.64,-3.7,0.65));
#61657=CARTESIAN_POINT('Origin',(2.64,-3.900244542703,0.394853274378));
#61658=CARTESIAN_POINT('',(2.64,-3.8,0.2));
#61659=CARTESIAN_POINT('',(2.64,-3.8,0.65));
#61660=CARTESIAN_POINT('',(2.64,-4.,-8.326672684689E-17));
#61661=CARTESIAN_POINT('Origin',(2.64,-4.,0.2));
#61662=CARTESIAN_POINT('',(2.64,-4.5,-8.326672684689E-17));
#61663=CARTESIAN_POINT('',(2.64,-4.,-8.326672684689E-17));
#61664=CARTESIAN_POINT('',(2.64,-4.5,0.1));
#61665=CARTESIAN_POINT('',(2.64,-4.5,-8.326672684689E-17));
#61666=CARTESIAN_POINT('',(2.64,-4.,0.1));
#61667=CARTESIAN_POINT('',(2.64,-4.5,0.1));
#61668=CARTESIAN_POINT('',(2.64,-3.9,0.2));
#61669=CARTESIAN_POINT('Origin',(2.64,-4.,0.2));
#61670=CARTESIAN_POINT('',(2.64,-3.9,0.65));
#61671=CARTESIAN_POINT('',(2.64,-3.9,0.2));
#61672=CARTESIAN_POINT('',(2.64,-3.7,0.85));
#61673=CARTESIAN_POINT('Origin',(2.64,-3.7,0.65));
#61674=CARTESIAN_POINT('',(2.64,-3.7,0.85));
#61675=CARTESIAN_POINT('Origin',(-2.64,-3.900244542703,0.394853274378));
#61676=CARTESIAN_POINT('',(-2.64,-3.7,0.85));
#61677=CARTESIAN_POINT('',(-2.64,-3.7,0.85));
#61678=CARTESIAN_POINT('',(-2.64,-3.9,0.65));
#61679=CARTESIAN_POINT('Origin',(-2.64,-3.7,0.65));
#61680=CARTESIAN_POINT('',(-2.64,-3.9,0.2));
#61681=CARTESIAN_POINT('',(-2.64,-3.9,0.2));
#61682=CARTESIAN_POINT('',(-2.64,-4.,0.1));
#61683=CARTESIAN_POINT('Origin',(-2.64,-4.,0.2));
#61684=CARTESIAN_POINT('',(-2.64,-4.5,0.1));
#61685=CARTESIAN_POINT('',(-2.64,-4.5,0.1));
#61686=CARTESIAN_POINT('',(-2.64,-4.5,-8.326672684689E-17));
#61687=CARTESIAN_POINT('',(-2.64,-4.5,-8.326672684689E-17));
#61688=CARTESIAN_POINT('',(-2.64,-4.,-8.326672684689E-17));
#61689=CARTESIAN_POINT('',(-2.64,-4.,-8.326672684689E-17));
#61690=CARTESIAN_POINT('',(-2.64,-3.8,0.2));
#61691=CARTESIAN_POINT('Origin',(-2.64,-4.,0.2));
#61692=CARTESIAN_POINT('',(-2.64,-3.8,0.65));
#61693=CARTESIAN_POINT('',(-2.64,-3.8,0.65));
#61694=CARTESIAN_POINT('Origin',(-2.64,-3.7,0.65));
#61695=CARTESIAN_POINT('Origin',(-2.64,-3.7,0.65));
#61696=CARTESIAN_POINT('',(-2.64,-3.8,0.65));
#61697=CARTESIAN_POINT('Origin',(0.,-3.5,0.85));
#61698=CARTESIAN_POINT('',(2.64,-3.425,0.85));
#61699=CARTESIAN_POINT('',(2.64,-3.7,0.85));
#61700=CARTESIAN_POINT('',(2.36,-3.425,0.85));
#61701=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#61702=CARTESIAN_POINT('',(2.36,-3.7,0.85));
#61703=CARTESIAN_POINT('Origin',(2.36,-3.900244542703,0.394853274378));
#61704=CARTESIAN_POINT('',(2.36,-3.7,0.85));
#61705=CARTESIAN_POINT('',(2.36,-3.7,0.85));
#61706=CARTESIAN_POINT('',(2.36,-3.9,0.65));
#61707=CARTESIAN_POINT('Origin',(2.36,-3.7,0.65));
#61708=CARTESIAN_POINT('',(2.36,-3.9,0.2));
#61709=CARTESIAN_POINT('',(2.36,-3.9,0.2));
#61710=CARTESIAN_POINT('',(2.36,-4.,0.1));
#61711=CARTESIAN_POINT('Origin',(2.36,-4.,0.2));
#61712=CARTESIAN_POINT('',(2.36,-4.5,0.1));
#61713=CARTESIAN_POINT('',(2.36,-4.5,0.1));
#61714=CARTESIAN_POINT('',(2.36,-4.5,-8.326672684689E-17));
#61715=CARTESIAN_POINT('',(2.36,-4.5,-8.326672684689E-17));
#61716=CARTESIAN_POINT('',(2.36,-4.,-8.326672684689E-17));
#61717=CARTESIAN_POINT('',(2.36,-4.,-8.326672684689E-17));
#61718=CARTESIAN_POINT('',(2.36,-3.8,0.2));
#61719=CARTESIAN_POINT('Origin',(2.36,-4.,0.2));
#61720=CARTESIAN_POINT('',(2.36,-3.8,0.65));
#61721=CARTESIAN_POINT('',(2.36,-3.8,0.65));
#61722=CARTESIAN_POINT('Origin',(2.36,-3.7,0.65));
#61723=CARTESIAN_POINT('Origin',(-2.36,-3.900244542703,0.394853274378));
#61724=CARTESIAN_POINT('',(-2.36,-3.8,0.65));
#61725=CARTESIAN_POINT('Origin',(-2.36,-3.7,0.65));
#61726=CARTESIAN_POINT('',(-2.36,-3.8,0.2));
#61727=CARTESIAN_POINT('',(-2.36,-3.8,0.65));
#61728=CARTESIAN_POINT('',(-2.36,-4.,-8.326672684689E-17));
#61729=CARTESIAN_POINT('Origin',(-2.36,-4.,0.2));
#61730=CARTESIAN_POINT('',(-2.36,-4.5,-8.326672684689E-17));
#61731=CARTESIAN_POINT('',(-2.36,-4.,-8.326672684689E-17));
#61732=CARTESIAN_POINT('',(-2.36,-4.5,0.1));
#61733=CARTESIAN_POINT('',(-2.36,-4.5,-8.326672684689E-17));
#61734=CARTESIAN_POINT('',(-2.36,-4.,0.1));
#61735=CARTESIAN_POINT('',(-2.36,-4.5,0.1));
#61736=CARTESIAN_POINT('',(-2.36,-3.9,0.2));
#61737=CARTESIAN_POINT('Origin',(-2.36,-4.,0.2));
#61738=CARTESIAN_POINT('',(-2.36,-3.9,0.65));
#61739=CARTESIAN_POINT('',(-2.36,-3.9,0.2));
#61740=CARTESIAN_POINT('',(-2.36,-3.7,0.85));
#61741=CARTESIAN_POINT('Origin',(-2.36,-3.7,0.65));
#61742=CARTESIAN_POINT('',(-2.36,-3.7,0.85));
#61743=CARTESIAN_POINT('Origin',(0.,-3.5,0.85));
#61744=CARTESIAN_POINT('',(-2.36,-3.425,0.85));
#61745=CARTESIAN_POINT('',(-2.36,-3.7,0.85));
#61746=CARTESIAN_POINT('',(-2.64,-3.425,0.85));
#61747=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#61748=CARTESIAN_POINT('',(-2.64,-3.7,0.85));
#61749=CARTESIAN_POINT('Origin',(2.36,-3.7,0.65));
#61750=CARTESIAN_POINT('',(2.14,-3.8,0.65));
#61751=CARTESIAN_POINT('',(2.36,-3.8,0.65));
#61752=CARTESIAN_POINT('Origin',(2.14,-3.7,0.65));
#61753=CARTESIAN_POINT('Origin',(2.14,-3.900244542703,0.394853274378));
#61754=CARTESIAN_POINT('',(2.14,-3.8,0.2));
#61755=CARTESIAN_POINT('',(2.14,-3.8,0.65));
#61756=CARTESIAN_POINT('',(2.14,-4.,-8.326672684689E-17));
#61757=CARTESIAN_POINT('Origin',(2.14,-4.,0.2));
#61758=CARTESIAN_POINT('',(2.14,-4.5,-8.326672684689E-17));
#61759=CARTESIAN_POINT('',(2.14,-4.,-8.326672684689E-17));
#61760=CARTESIAN_POINT('',(2.14,-4.5,0.1));
#61761=CARTESIAN_POINT('',(2.14,-4.5,-8.326672684689E-17));
#61762=CARTESIAN_POINT('',(2.14,-4.,0.1));
#61763=CARTESIAN_POINT('',(2.14,-4.5,0.1));
#61764=CARTESIAN_POINT('',(2.14,-3.9,0.2));
#61765=CARTESIAN_POINT('Origin',(2.14,-4.,0.2));
#61766=CARTESIAN_POINT('',(2.14,-3.9,0.65));
#61767=CARTESIAN_POINT('',(2.14,-3.9,0.2));
#61768=CARTESIAN_POINT('',(2.14,-3.7,0.85));
#61769=CARTESIAN_POINT('Origin',(2.14,-3.7,0.65));
#61770=CARTESIAN_POINT('',(2.14,-3.7,0.85));
#61771=CARTESIAN_POINT('Origin',(-2.14,-3.900244542703,0.394853274378));
#61772=CARTESIAN_POINT('',(-2.14,-3.7,0.85));
#61773=CARTESIAN_POINT('',(-2.14,-3.7,0.85));
#61774=CARTESIAN_POINT('',(-2.14,-3.9,0.65));
#61775=CARTESIAN_POINT('Origin',(-2.14,-3.7,0.65));
#61776=CARTESIAN_POINT('',(-2.14,-3.9,0.2));
#61777=CARTESIAN_POINT('',(-2.14,-3.9,0.2));
#61778=CARTESIAN_POINT('',(-2.14,-4.,0.1));
#61779=CARTESIAN_POINT('Origin',(-2.14,-4.,0.2));
#61780=CARTESIAN_POINT('',(-2.14,-4.5,0.1));
#61781=CARTESIAN_POINT('',(-2.14,-4.5,0.1));
#61782=CARTESIAN_POINT('',(-2.14,-4.5,-8.326672684689E-17));
#61783=CARTESIAN_POINT('',(-2.14,-4.5,-8.326672684689E-17));
#61784=CARTESIAN_POINT('',(-2.14,-4.,-8.326672684689E-17));
#61785=CARTESIAN_POINT('',(-2.14,-4.,-8.326672684689E-17));
#61786=CARTESIAN_POINT('',(-2.14,-3.8,0.2));
#61787=CARTESIAN_POINT('Origin',(-2.14,-4.,0.2));
#61788=CARTESIAN_POINT('',(-2.14,-3.8,0.65));
#61789=CARTESIAN_POINT('',(-2.14,-3.8,0.65));
#61790=CARTESIAN_POINT('Origin',(-2.14,-3.7,0.65));
#61791=CARTESIAN_POINT('Origin',(-2.14,-3.7,0.65));
#61792=CARTESIAN_POINT('',(-2.14,-3.8,0.65));
#61793=CARTESIAN_POINT('Origin',(0.,-3.5,0.85));
#61794=CARTESIAN_POINT('',(2.14,-3.425,0.85));
#61795=CARTESIAN_POINT('',(2.14,-3.7,0.85));
#61796=CARTESIAN_POINT('',(1.86,-3.425,0.85));
#61797=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#61798=CARTESIAN_POINT('',(1.86,-3.7,0.85));
#61799=CARTESIAN_POINT('Origin',(1.86,-3.900244542703,0.394853274378));
#61800=CARTESIAN_POINT('',(1.86,-3.7,0.85));
#61801=CARTESIAN_POINT('',(1.86,-3.7,0.85));
#61802=CARTESIAN_POINT('',(1.86,-3.9,0.65));
#61803=CARTESIAN_POINT('Origin',(1.86,-3.7,0.65));
#61804=CARTESIAN_POINT('',(1.86,-3.9,0.2));
#61805=CARTESIAN_POINT('',(1.86,-3.9,0.2));
#61806=CARTESIAN_POINT('',(1.86,-4.,0.1));
#61807=CARTESIAN_POINT('Origin',(1.86,-4.,0.2));
#61808=CARTESIAN_POINT('',(1.86,-4.5,0.1));
#61809=CARTESIAN_POINT('',(1.86,-4.5,0.1));
#61810=CARTESIAN_POINT('',(1.86,-4.5,-8.326672684689E-17));
#61811=CARTESIAN_POINT('',(1.86,-4.5,-8.326672684689E-17));
#61812=CARTESIAN_POINT('',(1.86,-4.,-8.326672684689E-17));
#61813=CARTESIAN_POINT('',(1.86,-4.,-8.326672684689E-17));
#61814=CARTESIAN_POINT('',(1.86,-3.8,0.2));
#61815=CARTESIAN_POINT('Origin',(1.86,-4.,0.2));
#61816=CARTESIAN_POINT('',(1.86,-3.8,0.65));
#61817=CARTESIAN_POINT('',(1.86,-3.8,0.65));
#61818=CARTESIAN_POINT('Origin',(1.86,-3.7,0.65));
#61819=CARTESIAN_POINT('Origin',(-1.86,-3.900244542703,0.394853274378));
#61820=CARTESIAN_POINT('',(-1.86,-3.8,0.65));
#61821=CARTESIAN_POINT('Origin',(-1.86,-3.7,0.65));
#61822=CARTESIAN_POINT('',(-1.86,-3.8,0.2));
#61823=CARTESIAN_POINT('',(-1.86,-3.8,0.65));
#61824=CARTESIAN_POINT('',(-1.86,-4.,-8.326672684689E-17));
#61825=CARTESIAN_POINT('Origin',(-1.86,-4.,0.2));
#61826=CARTESIAN_POINT('',(-1.86,-4.5,-8.326672684689E-17));
#61827=CARTESIAN_POINT('',(-1.86,-4.,-8.326672684689E-17));
#61828=CARTESIAN_POINT('',(-1.86,-4.5,0.1));
#61829=CARTESIAN_POINT('',(-1.86,-4.5,-8.326672684689E-17));
#61830=CARTESIAN_POINT('',(-1.86,-4.,0.1));
#61831=CARTESIAN_POINT('',(-1.86,-4.5,0.1));
#61832=CARTESIAN_POINT('',(-1.86,-3.9,0.2));
#61833=CARTESIAN_POINT('Origin',(-1.86,-4.,0.2));
#61834=CARTESIAN_POINT('',(-1.86,-3.9,0.65));
#61835=CARTESIAN_POINT('',(-1.86,-3.9,0.2));
#61836=CARTESIAN_POINT('',(-1.86,-3.7,0.85));
#61837=CARTESIAN_POINT('Origin',(-1.86,-3.7,0.65));
#61838=CARTESIAN_POINT('',(-1.86,-3.7,0.85));
#61839=CARTESIAN_POINT('Origin',(0.,-3.5,0.85));
#61840=CARTESIAN_POINT('',(-1.86,-3.425,0.85));
#61841=CARTESIAN_POINT('',(-1.86,-3.7,0.85));
#61842=CARTESIAN_POINT('',(-2.14,-3.425,0.85));
#61843=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#61844=CARTESIAN_POINT('',(-2.14,-3.7,0.85));
#61845=CARTESIAN_POINT('Origin',(1.86,-3.7,0.65));
#61846=CARTESIAN_POINT('',(1.64,-3.8,0.65));
#61847=CARTESIAN_POINT('',(1.86,-3.8,0.65));
#61848=CARTESIAN_POINT('Origin',(1.64,-3.7,0.65));
#61849=CARTESIAN_POINT('Origin',(1.64,-3.900244542703,0.394853274378));
#61850=CARTESIAN_POINT('',(1.64,-3.8,0.2));
#61851=CARTESIAN_POINT('',(1.64,-3.8,0.65));
#61852=CARTESIAN_POINT('',(1.64,-4.,-8.326672684689E-17));
#61853=CARTESIAN_POINT('Origin',(1.64,-4.,0.2));
#61854=CARTESIAN_POINT('',(1.64,-4.5,-8.326672684689E-17));
#61855=CARTESIAN_POINT('',(1.64,-4.,-8.326672684689E-17));
#61856=CARTESIAN_POINT('',(1.64,-4.5,0.1));
#61857=CARTESIAN_POINT('',(1.64,-4.5,-8.326672684689E-17));
#61858=CARTESIAN_POINT('',(1.64,-4.,0.1));
#61859=CARTESIAN_POINT('',(1.64,-4.5,0.1));
#61860=CARTESIAN_POINT('',(1.64,-3.9,0.2));
#61861=CARTESIAN_POINT('Origin',(1.64,-4.,0.2));
#61862=CARTESIAN_POINT('',(1.64,-3.9,0.65));
#61863=CARTESIAN_POINT('',(1.64,-3.9,0.2));
#61864=CARTESIAN_POINT('',(1.64,-3.7,0.85));
#61865=CARTESIAN_POINT('Origin',(1.64,-3.7,0.65));
#61866=CARTESIAN_POINT('',(1.64,-3.7,0.85));
#61867=CARTESIAN_POINT('Origin',(-1.64,-3.900244542703,0.394853274378));
#61868=CARTESIAN_POINT('',(-1.64,-3.7,0.85));
#61869=CARTESIAN_POINT('',(-1.64,-3.7,0.85));
#61870=CARTESIAN_POINT('',(-1.64,-3.9,0.65));
#61871=CARTESIAN_POINT('Origin',(-1.64,-3.7,0.65));
#61872=CARTESIAN_POINT('',(-1.64,-3.9,0.2));
#61873=CARTESIAN_POINT('',(-1.64,-3.9,0.2));
#61874=CARTESIAN_POINT('',(-1.64,-4.,0.1));
#61875=CARTESIAN_POINT('Origin',(-1.64,-4.,0.2));
#61876=CARTESIAN_POINT('',(-1.64,-4.5,0.1));
#61877=CARTESIAN_POINT('',(-1.64,-4.5,0.1));
#61878=CARTESIAN_POINT('',(-1.64,-4.5,-8.326672684689E-17));
#61879=CARTESIAN_POINT('',(-1.64,-4.5,-8.326672684689E-17));
#61880=CARTESIAN_POINT('',(-1.64,-4.,-8.326672684689E-17));
#61881=CARTESIAN_POINT('',(-1.64,-4.,-8.326672684689E-17));
#61882=CARTESIAN_POINT('',(-1.64,-3.8,0.2));
#61883=CARTESIAN_POINT('Origin',(-1.64,-4.,0.2));
#61884=CARTESIAN_POINT('',(-1.64,-3.8,0.65));
#61885=CARTESIAN_POINT('',(-1.64,-3.8,0.65));
#61886=CARTESIAN_POINT('Origin',(-1.64,-3.7,0.65));
#61887=CARTESIAN_POINT('Origin',(-1.64,-3.7,0.65));
#61888=CARTESIAN_POINT('',(-1.64,-3.8,0.65));
#61889=CARTESIAN_POINT('Origin',(0.,-3.5,0.85));
#61890=CARTESIAN_POINT('',(1.64,-3.425,0.85));
#61891=CARTESIAN_POINT('',(1.64,-3.7,0.85));
#61892=CARTESIAN_POINT('',(1.36,-3.425,0.85));
#61893=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#61894=CARTESIAN_POINT('',(1.36,-3.7,0.85));
#61895=CARTESIAN_POINT('Origin',(1.36,-3.900244542703,0.394853274378));
#61896=CARTESIAN_POINT('',(1.36,-3.7,0.85));
#61897=CARTESIAN_POINT('',(1.36,-3.7,0.85));
#61898=CARTESIAN_POINT('',(1.36,-3.9,0.65));
#61899=CARTESIAN_POINT('Origin',(1.36,-3.7,0.65));
#61900=CARTESIAN_POINT('',(1.36,-3.9,0.2));
#61901=CARTESIAN_POINT('',(1.36,-3.9,0.2));
#61902=CARTESIAN_POINT('',(1.36,-4.,0.1));
#61903=CARTESIAN_POINT('Origin',(1.36,-4.,0.2));
#61904=CARTESIAN_POINT('',(1.36,-4.5,0.1));
#61905=CARTESIAN_POINT('',(1.36,-4.5,0.1));
#61906=CARTESIAN_POINT('',(1.36,-4.5,-8.326672684689E-17));
#61907=CARTESIAN_POINT('',(1.36,-4.5,-8.326672684689E-17));
#61908=CARTESIAN_POINT('',(1.36,-4.,-8.326672684689E-17));
#61909=CARTESIAN_POINT('',(1.36,-4.,-8.326672684689E-17));
#61910=CARTESIAN_POINT('',(1.36,-3.8,0.2));
#61911=CARTESIAN_POINT('Origin',(1.36,-4.,0.2));
#61912=CARTESIAN_POINT('',(1.36,-3.8,0.65));
#61913=CARTESIAN_POINT('',(1.36,-3.8,0.65));
#61914=CARTESIAN_POINT('Origin',(1.36,-3.7,0.65));
#61915=CARTESIAN_POINT('Origin',(-1.36,-3.900244542703,0.394853274378));
#61916=CARTESIAN_POINT('',(-1.36,-3.8,0.65));
#61917=CARTESIAN_POINT('Origin',(-1.36,-3.7,0.65));
#61918=CARTESIAN_POINT('',(-1.36,-3.8,0.2));
#61919=CARTESIAN_POINT('',(-1.36,-3.8,0.65));
#61920=CARTESIAN_POINT('',(-1.36,-4.,-8.326672684689E-17));
#61921=CARTESIAN_POINT('Origin',(-1.36,-4.,0.2));
#61922=CARTESIAN_POINT('',(-1.36,-4.5,-8.326672684689E-17));
#61923=CARTESIAN_POINT('',(-1.36,-4.,-8.326672684689E-17));
#61924=CARTESIAN_POINT('',(-1.36,-4.5,0.1));
#61925=CARTESIAN_POINT('',(-1.36,-4.5,-8.326672684689E-17));
#61926=CARTESIAN_POINT('',(-1.36,-4.,0.1));
#61927=CARTESIAN_POINT('',(-1.36,-4.5,0.1));
#61928=CARTESIAN_POINT('',(-1.36,-3.9,0.2));
#61929=CARTESIAN_POINT('Origin',(-1.36,-4.,0.2));
#61930=CARTESIAN_POINT('',(-1.36,-3.9,0.65));
#61931=CARTESIAN_POINT('',(-1.36,-3.9,0.2));
#61932=CARTESIAN_POINT('',(-1.36,-3.7,0.85));
#61933=CARTESIAN_POINT('Origin',(-1.36,-3.7,0.65));
#61934=CARTESIAN_POINT('',(-1.36,-3.7,0.85));
#61935=CARTESIAN_POINT('Origin',(0.,-3.5,0.85));
#61936=CARTESIAN_POINT('',(-1.36,-3.425,0.85));
#61937=CARTESIAN_POINT('',(-1.36,-3.7,0.85));
#61938=CARTESIAN_POINT('',(-1.64,-3.425,0.85));
#61939=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#61940=CARTESIAN_POINT('',(-1.64,-3.7,0.85));
#61941=CARTESIAN_POINT('Origin',(1.36,-3.7,0.65));
#61942=CARTESIAN_POINT('',(1.14,-3.8,0.65));
#61943=CARTESIAN_POINT('',(1.36,-3.8,0.65));
#61944=CARTESIAN_POINT('Origin',(1.14,-3.7,0.65));
#61945=CARTESIAN_POINT('Origin',(1.14,-3.900244542703,0.394853274378));
#61946=CARTESIAN_POINT('',(1.14,-3.8,0.2));
#61947=CARTESIAN_POINT('',(1.14,-3.8,0.65));
#61948=CARTESIAN_POINT('',(1.14,-4.,-8.326672684689E-17));
#61949=CARTESIAN_POINT('Origin',(1.14,-4.,0.2));
#61950=CARTESIAN_POINT('',(1.14,-4.5,-8.326672684689E-17));
#61951=CARTESIAN_POINT('',(1.14,-4.,-8.326672684689E-17));
#61952=CARTESIAN_POINT('',(1.14,-4.5,0.1));
#61953=CARTESIAN_POINT('',(1.14,-4.5,-8.326672684689E-17));
#61954=CARTESIAN_POINT('',(1.14,-4.,0.1));
#61955=CARTESIAN_POINT('',(1.14,-4.5,0.1));
#61956=CARTESIAN_POINT('',(1.14,-3.9,0.2));
#61957=CARTESIAN_POINT('Origin',(1.14,-4.,0.2));
#61958=CARTESIAN_POINT('',(1.14,-3.9,0.65));
#61959=CARTESIAN_POINT('',(1.14,-3.9,0.2));
#61960=CARTESIAN_POINT('',(1.14,-3.7,0.85));
#61961=CARTESIAN_POINT('Origin',(1.14,-3.7,0.65));
#61962=CARTESIAN_POINT('',(1.14,-3.7,0.85));
#61963=CARTESIAN_POINT('Origin',(-1.14,-3.900244542703,0.394853274378));
#61964=CARTESIAN_POINT('',(-1.14,-3.7,0.85));
#61965=CARTESIAN_POINT('',(-1.14,-3.7,0.85));
#61966=CARTESIAN_POINT('',(-1.14,-3.9,0.65));
#61967=CARTESIAN_POINT('Origin',(-1.14,-3.7,0.65));
#61968=CARTESIAN_POINT('',(-1.14,-3.9,0.2));
#61969=CARTESIAN_POINT('',(-1.14,-3.9,0.2));
#61970=CARTESIAN_POINT('',(-1.14,-4.,0.1));
#61971=CARTESIAN_POINT('Origin',(-1.14,-4.,0.2));
#61972=CARTESIAN_POINT('',(-1.14,-4.5,0.1));
#61973=CARTESIAN_POINT('',(-1.14,-4.5,0.1));
#61974=CARTESIAN_POINT('',(-1.14,-4.5,-8.326672684689E-17));
#61975=CARTESIAN_POINT('',(-1.14,-4.5,-8.326672684689E-17));
#61976=CARTESIAN_POINT('',(-1.14,-4.,-8.326672684689E-17));
#61977=CARTESIAN_POINT('',(-1.14,-4.,-8.326672684689E-17));
#61978=CARTESIAN_POINT('',(-1.14,-3.8,0.2));
#61979=CARTESIAN_POINT('Origin',(-1.14,-4.,0.2));
#61980=CARTESIAN_POINT('',(-1.14,-3.8,0.65));
#61981=CARTESIAN_POINT('',(-1.14,-3.8,0.65));
#61982=CARTESIAN_POINT('Origin',(-1.14,-3.7,0.65));
#61983=CARTESIAN_POINT('Origin',(-1.14,-3.7,0.65));
#61984=CARTESIAN_POINT('',(-1.14,-3.8,0.65));
#61985=CARTESIAN_POINT('Origin',(0.,-3.5,0.85));
#61986=CARTESIAN_POINT('',(1.14,-3.425,0.85));
#61987=CARTESIAN_POINT('',(1.14,-3.7,0.85));
#61988=CARTESIAN_POINT('',(0.86,-3.425,0.85));
#61989=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#61990=CARTESIAN_POINT('',(0.86,-3.7,0.85));
#61991=CARTESIAN_POINT('Origin',(0.86,-3.900244542703,0.394853274378));
#61992=CARTESIAN_POINT('',(0.86,-3.7,0.85));
#61993=CARTESIAN_POINT('',(0.86,-3.7,0.85));
#61994=CARTESIAN_POINT('',(0.86,-3.9,0.65));
#61995=CARTESIAN_POINT('Origin',(0.86,-3.7,0.65));
#61996=CARTESIAN_POINT('',(0.86,-3.9,0.2));
#61997=CARTESIAN_POINT('',(0.86,-3.9,0.2));
#61998=CARTESIAN_POINT('',(0.86,-4.,0.1));
#61999=CARTESIAN_POINT('Origin',(0.86,-4.,0.2));
#62000=CARTESIAN_POINT('',(0.86,-4.5,0.1));
#62001=CARTESIAN_POINT('',(0.86,-4.5,0.1));
#62002=CARTESIAN_POINT('',(0.86,-4.5,-8.326672684689E-17));
#62003=CARTESIAN_POINT('',(0.86,-4.5,-8.326672684689E-17));
#62004=CARTESIAN_POINT('',(0.86,-4.,-8.326672684689E-17));
#62005=CARTESIAN_POINT('',(0.86,-4.,-8.326672684689E-17));
#62006=CARTESIAN_POINT('',(0.86,-3.8,0.2));
#62007=CARTESIAN_POINT('Origin',(0.86,-4.,0.2));
#62008=CARTESIAN_POINT('',(0.86,-3.8,0.65));
#62009=CARTESIAN_POINT('',(0.86,-3.8,0.65));
#62010=CARTESIAN_POINT('Origin',(0.86,-3.7,0.65));
#62011=CARTESIAN_POINT('Origin',(-0.86,-3.900244542703,0.394853274378));
#62012=CARTESIAN_POINT('',(-0.86,-3.8,0.65));
#62013=CARTESIAN_POINT('Origin',(-0.86,-3.7,0.65));
#62014=CARTESIAN_POINT('',(-0.86,-3.8,0.2));
#62015=CARTESIAN_POINT('',(-0.86,-3.8,0.65));
#62016=CARTESIAN_POINT('',(-0.86,-4.,-8.326672684689E-17));
#62017=CARTESIAN_POINT('Origin',(-0.86,-4.,0.2));
#62018=CARTESIAN_POINT('',(-0.86,-4.5,-8.326672684689E-17));
#62019=CARTESIAN_POINT('',(-0.86,-4.,-8.326672684689E-17));
#62020=CARTESIAN_POINT('',(-0.86,-4.5,0.1));
#62021=CARTESIAN_POINT('',(-0.86,-4.5,-8.326672684689E-17));
#62022=CARTESIAN_POINT('',(-0.86,-4.,0.1));
#62023=CARTESIAN_POINT('',(-0.86,-4.5,0.1));
#62024=CARTESIAN_POINT('',(-0.86,-3.9,0.2));
#62025=CARTESIAN_POINT('Origin',(-0.86,-4.,0.2));
#62026=CARTESIAN_POINT('',(-0.86,-3.9,0.65));
#62027=CARTESIAN_POINT('',(-0.86,-3.9,0.2));
#62028=CARTESIAN_POINT('',(-0.86,-3.7,0.85));
#62029=CARTESIAN_POINT('Origin',(-0.86,-3.7,0.65));
#62030=CARTESIAN_POINT('',(-0.86,-3.7,0.85));
#62031=CARTESIAN_POINT('Origin',(0.,-3.5,0.85));
#62032=CARTESIAN_POINT('',(-0.86,-3.425,0.85));
#62033=CARTESIAN_POINT('',(-0.86,-3.7,0.85));
#62034=CARTESIAN_POINT('',(-1.14,-3.425,0.85));
#62035=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#62036=CARTESIAN_POINT('',(-1.14,-3.7,0.85));
#62037=CARTESIAN_POINT('Origin',(0.86,-3.7,0.65));
#62038=CARTESIAN_POINT('',(0.64,-3.8,0.65));
#62039=CARTESIAN_POINT('',(0.86,-3.8,0.65));
#62040=CARTESIAN_POINT('Origin',(0.64,-3.7,0.65));
#62041=CARTESIAN_POINT('Origin',(0.64,-3.900244542703,0.394853274378));
#62042=CARTESIAN_POINT('',(0.64,-3.8,0.2));
#62043=CARTESIAN_POINT('',(0.64,-3.8,0.65));
#62044=CARTESIAN_POINT('',(0.64,-4.,-8.326672684689E-17));
#62045=CARTESIAN_POINT('Origin',(0.64,-4.,0.2));
#62046=CARTESIAN_POINT('',(0.64,-4.5,-8.326672684689E-17));
#62047=CARTESIAN_POINT('',(0.64,-4.,-8.326672684689E-17));
#62048=CARTESIAN_POINT('',(0.64,-4.5,0.1));
#62049=CARTESIAN_POINT('',(0.64,-4.5,-8.326672684689E-17));
#62050=CARTESIAN_POINT('',(0.64,-4.,0.1));
#62051=CARTESIAN_POINT('',(0.64,-4.5,0.1));
#62052=CARTESIAN_POINT('',(0.64,-3.9,0.2));
#62053=CARTESIAN_POINT('Origin',(0.64,-4.,0.2));
#62054=CARTESIAN_POINT('',(0.64,-3.9,0.65));
#62055=CARTESIAN_POINT('',(0.64,-3.9,0.2));
#62056=CARTESIAN_POINT('',(0.64,-3.7,0.85));
#62057=CARTESIAN_POINT('Origin',(0.64,-3.7,0.65));
#62058=CARTESIAN_POINT('',(0.64,-3.7,0.85));
#62059=CARTESIAN_POINT('Origin',(-0.64,-3.900244542703,0.394853274378));
#62060=CARTESIAN_POINT('',(-0.64,-3.7,0.85));
#62061=CARTESIAN_POINT('',(-0.64,-3.7,0.85));
#62062=CARTESIAN_POINT('',(-0.64,-3.9,0.65));
#62063=CARTESIAN_POINT('Origin',(-0.64,-3.7,0.65));
#62064=CARTESIAN_POINT('',(-0.64,-3.9,0.2));
#62065=CARTESIAN_POINT('',(-0.64,-3.9,0.2));
#62066=CARTESIAN_POINT('',(-0.64,-4.,0.1));
#62067=CARTESIAN_POINT('Origin',(-0.64,-4.,0.2));
#62068=CARTESIAN_POINT('',(-0.64,-4.5,0.1));
#62069=CARTESIAN_POINT('',(-0.64,-4.5,0.1));
#62070=CARTESIAN_POINT('',(-0.64,-4.5,-8.326672684689E-17));
#62071=CARTESIAN_POINT('',(-0.64,-4.5,-8.326672684689E-17));
#62072=CARTESIAN_POINT('',(-0.64,-4.,-8.326672684689E-17));
#62073=CARTESIAN_POINT('',(-0.64,-4.,-8.326672684689E-17));
#62074=CARTESIAN_POINT('',(-0.64,-3.8,0.2));
#62075=CARTESIAN_POINT('Origin',(-0.64,-4.,0.2));
#62076=CARTESIAN_POINT('',(-0.64,-3.8,0.65));
#62077=CARTESIAN_POINT('',(-0.64,-3.8,0.65));
#62078=CARTESIAN_POINT('Origin',(-0.64,-3.7,0.65));
#62079=CARTESIAN_POINT('Origin',(-0.64,-3.7,0.65));
#62080=CARTESIAN_POINT('',(-0.64,-3.8,0.65));
#62081=CARTESIAN_POINT('Origin',(0.,-3.5,0.85));
#62082=CARTESIAN_POINT('',(0.64,-3.425,0.85));
#62083=CARTESIAN_POINT('',(0.64,-3.7,0.85));
#62084=CARTESIAN_POINT('',(0.36,-3.425,0.85));
#62085=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#62086=CARTESIAN_POINT('',(0.36,-3.7,0.85));
#62087=CARTESIAN_POINT('Origin',(0.36,-3.900244542703,0.394853274378));
#62088=CARTESIAN_POINT('',(0.36,-3.7,0.85));
#62089=CARTESIAN_POINT('',(0.36,-3.7,0.85));
#62090=CARTESIAN_POINT('',(0.36,-3.9,0.65));
#62091=CARTESIAN_POINT('Origin',(0.36,-3.7,0.65));
#62092=CARTESIAN_POINT('',(0.36,-3.9,0.2));
#62093=CARTESIAN_POINT('',(0.36,-3.9,0.2));
#62094=CARTESIAN_POINT('',(0.36,-4.,0.1));
#62095=CARTESIAN_POINT('Origin',(0.36,-4.,0.2));
#62096=CARTESIAN_POINT('',(0.36,-4.5,0.1));
#62097=CARTESIAN_POINT('',(0.36,-4.5,0.1));
#62098=CARTESIAN_POINT('',(0.36,-4.5,-8.326672684689E-17));
#62099=CARTESIAN_POINT('',(0.36,-4.5,-8.326672684689E-17));
#62100=CARTESIAN_POINT('',(0.36,-4.,-8.326672684689E-17));
#62101=CARTESIAN_POINT('',(0.36,-4.,-8.326672684689E-17));
#62102=CARTESIAN_POINT('',(0.36,-3.8,0.2));
#62103=CARTESIAN_POINT('Origin',(0.36,-4.,0.2));
#62104=CARTESIAN_POINT('',(0.36,-3.8,0.65));
#62105=CARTESIAN_POINT('',(0.36,-3.8,0.65));
#62106=CARTESIAN_POINT('Origin',(0.36,-3.7,0.65));
#62107=CARTESIAN_POINT('Origin',(-0.36,-3.900244542703,0.394853274378));
#62108=CARTESIAN_POINT('',(-0.36,-3.8,0.65));
#62109=CARTESIAN_POINT('Origin',(-0.36,-3.7,0.65));
#62110=CARTESIAN_POINT('',(-0.36,-3.8,0.2));
#62111=CARTESIAN_POINT('',(-0.36,-3.8,0.65));
#62112=CARTESIAN_POINT('',(-0.36,-4.,-8.326672684689E-17));
#62113=CARTESIAN_POINT('Origin',(-0.36,-4.,0.2));
#62114=CARTESIAN_POINT('',(-0.36,-4.5,-8.326672684689E-17));
#62115=CARTESIAN_POINT('',(-0.36,-4.,-8.326672684689E-17));
#62116=CARTESIAN_POINT('',(-0.36,-4.5,0.1));
#62117=CARTESIAN_POINT('',(-0.36,-4.5,-8.326672684689E-17));
#62118=CARTESIAN_POINT('',(-0.36,-4.,0.1));
#62119=CARTESIAN_POINT('',(-0.36,-4.5,0.1));
#62120=CARTESIAN_POINT('',(-0.36,-3.9,0.2));
#62121=CARTESIAN_POINT('Origin',(-0.36,-4.,0.2));
#62122=CARTESIAN_POINT('',(-0.36,-3.9,0.65));
#62123=CARTESIAN_POINT('',(-0.36,-3.9,0.2));
#62124=CARTESIAN_POINT('',(-0.36,-3.7,0.85));
#62125=CARTESIAN_POINT('Origin',(-0.36,-3.7,0.65));
#62126=CARTESIAN_POINT('',(-0.36,-3.7,0.85));
#62127=CARTESIAN_POINT('Origin',(0.,-3.5,0.85));
#62128=CARTESIAN_POINT('',(-0.36,-3.425,0.85));
#62129=CARTESIAN_POINT('',(-0.36,-3.7,0.85));
#62130=CARTESIAN_POINT('',(-0.64,-3.425,0.85));
#62131=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#62132=CARTESIAN_POINT('',(-0.64,-3.7,0.85));
#62133=CARTESIAN_POINT('Origin',(0.36,-3.7,0.65));
#62134=CARTESIAN_POINT('',(0.14,-3.8,0.65));
#62135=CARTESIAN_POINT('',(0.36,-3.8,0.65));
#62136=CARTESIAN_POINT('Origin',(0.14,-3.7,0.65));
#62137=CARTESIAN_POINT('Origin',(0.14,-3.900244542703,0.394853274378));
#62138=CARTESIAN_POINT('',(0.14,-3.8,0.2));
#62139=CARTESIAN_POINT('',(0.14,-3.8,0.65));
#62140=CARTESIAN_POINT('',(0.14,-4.,-8.326672684689E-17));
#62141=CARTESIAN_POINT('Origin',(0.14,-4.,0.2));
#62142=CARTESIAN_POINT('',(0.14,-4.5,-8.326672684689E-17));
#62143=CARTESIAN_POINT('',(0.14,-4.,-8.326672684689E-17));
#62144=CARTESIAN_POINT('',(0.14,-4.5,0.1));
#62145=CARTESIAN_POINT('',(0.14,-4.5,-8.326672684689E-17));
#62146=CARTESIAN_POINT('',(0.14,-4.,0.1));
#62147=CARTESIAN_POINT('',(0.14,-4.5,0.1));
#62148=CARTESIAN_POINT('',(0.14,-3.9,0.2));
#62149=CARTESIAN_POINT('Origin',(0.14,-4.,0.2));
#62150=CARTESIAN_POINT('',(0.14,-3.9,0.65));
#62151=CARTESIAN_POINT('',(0.14,-3.9,0.2));
#62152=CARTESIAN_POINT('',(0.14,-3.7,0.85));
#62153=CARTESIAN_POINT('Origin',(0.14,-3.7,0.65));
#62154=CARTESIAN_POINT('',(0.14,-3.7,0.85));
#62155=CARTESIAN_POINT('Origin',(-0.14,-3.900244542703,0.394853274378));
#62156=CARTESIAN_POINT('',(-0.14,-3.7,0.85));
#62157=CARTESIAN_POINT('',(-0.14,-3.7,0.85));
#62158=CARTESIAN_POINT('',(-0.14,-3.9,0.65));
#62159=CARTESIAN_POINT('Origin',(-0.14,-3.7,0.65));
#62160=CARTESIAN_POINT('',(-0.14,-3.9,0.2));
#62161=CARTESIAN_POINT('',(-0.14,-3.9,0.2));
#62162=CARTESIAN_POINT('',(-0.14,-4.,0.1));
#62163=CARTESIAN_POINT('Origin',(-0.14,-4.,0.2));
#62164=CARTESIAN_POINT('',(-0.14,-4.5,0.1));
#62165=CARTESIAN_POINT('',(-0.14,-4.5,0.1));
#62166=CARTESIAN_POINT('',(-0.14,-4.5,-8.326672684689E-17));
#62167=CARTESIAN_POINT('',(-0.14,-4.5,-8.326672684689E-17));
#62168=CARTESIAN_POINT('',(-0.14,-4.,-8.326672684689E-17));
#62169=CARTESIAN_POINT('',(-0.14,-4.,-8.326672684689E-17));
#62170=CARTESIAN_POINT('',(-0.14,-3.8,0.2));
#62171=CARTESIAN_POINT('Origin',(-0.14,-4.,0.2));
#62172=CARTESIAN_POINT('',(-0.14,-3.8,0.65));
#62173=CARTESIAN_POINT('',(-0.14,-3.8,0.65));
#62174=CARTESIAN_POINT('Origin',(-0.14,-3.7,0.65));
#62175=CARTESIAN_POINT('Origin',(-0.14,-3.7,0.65));
#62176=CARTESIAN_POINT('',(-0.14,-3.8,0.65));
#62177=CARTESIAN_POINT('Origin',(0.,-3.5,0.85));
#62178=CARTESIAN_POINT('',(0.14,-3.425,0.85));
#62179=CARTESIAN_POINT('',(0.14,-3.7,0.85));
#62180=CARTESIAN_POINT('',(-0.14,-3.425,0.85));
#62181=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#62182=CARTESIAN_POINT('',(-0.14,-3.7,0.85));
#62183=CARTESIAN_POINT('Origin',(-3.4125,-3.4125,0.85));
#62184=CARTESIAN_POINT('',(-3.2875,-3.425,0.85));
#62185=CARTESIAN_POINT('',(-3.5,-3.325,0.85));
#62186=CARTESIAN_POINT('Origin',(-3.5,0.,0.85));
#62187=CARTESIAN_POINT('',(-3.7,-2.86,0.85));
#62188=CARTESIAN_POINT('Origin',(-3.900244542703,-2.86,0.394853274378));
#62189=CARTESIAN_POINT('',(-3.7,-2.86,0.85));
#62190=CARTESIAN_POINT('',(-3.7,-2.86,0.85));
#62191=CARTESIAN_POINT('',(-3.9,-2.86,0.65));
#62192=CARTESIAN_POINT('Origin',(-3.7,-2.86,0.65));
#62193=CARTESIAN_POINT('',(-3.9,-2.86,0.2));
#62194=CARTESIAN_POINT('',(-3.9,-2.86,0.2));
#62195=CARTESIAN_POINT('',(-4.,-2.86,0.1));
#62196=CARTESIAN_POINT('Origin',(-4.,-2.86,0.2));
#62197=CARTESIAN_POINT('',(-4.5,-2.86,0.1));
#62198=CARTESIAN_POINT('',(-4.5,-2.86,0.1));
#62199=CARTESIAN_POINT('',(-4.5,-2.86,-8.326672684689E-17));
#62200=CARTESIAN_POINT('',(-4.5,-2.86,-8.326672684689E-17));
#62201=CARTESIAN_POINT('',(-4.,-2.86,-8.326672684689E-17));
#62202=CARTESIAN_POINT('',(-4.,-2.86,-8.326672684689E-17));
#62203=CARTESIAN_POINT('',(-3.8,-2.86,0.2));
#62204=CARTESIAN_POINT('Origin',(-4.,-2.86,0.2));
#62205=CARTESIAN_POINT('',(-3.8,-2.86,0.65));
#62206=CARTESIAN_POINT('',(-3.8,-2.86,0.65));
#62207=CARTESIAN_POINT('Origin',(-3.7,-2.86,0.65));
#62208=CARTESIAN_POINT('Origin',(-3.7,-2.86,0.65));
#62209=CARTESIAN_POINT('',(-3.8,-2.64,0.65));
#62210=CARTESIAN_POINT('',(-3.8,-2.86,0.65));
#62211=CARTESIAN_POINT('Origin',(-3.7,-2.64,0.65));
#62212=CARTESIAN_POINT('Origin',(-3.900244542703,-2.64,0.394853274378));
#62213=CARTESIAN_POINT('',(-3.8,-2.64,0.2));
#62214=CARTESIAN_POINT('',(-3.8,-2.64,0.65));
#62215=CARTESIAN_POINT('',(-4.,-2.64,-8.326672684689E-17));
#62216=CARTESIAN_POINT('Origin',(-4.,-2.64,0.2));
#62217=CARTESIAN_POINT('',(-4.5,-2.64,-8.326672684689E-17));
#62218=CARTESIAN_POINT('',(-4.,-2.64,-8.326672684689E-17));
#62219=CARTESIAN_POINT('',(-4.5,-2.64,0.1));
#62220=CARTESIAN_POINT('',(-4.5,-2.64,-8.326672684689E-17));
#62221=CARTESIAN_POINT('',(-4.,-2.64,0.1));
#62222=CARTESIAN_POINT('',(-4.5,-2.64,0.1));
#62223=CARTESIAN_POINT('',(-3.9,-2.64,0.2));
#62224=CARTESIAN_POINT('Origin',(-4.,-2.64,0.2));
#62225=CARTESIAN_POINT('',(-3.9,-2.64,0.65));
#62226=CARTESIAN_POINT('',(-3.9,-2.64,0.2));
#62227=CARTESIAN_POINT('',(-3.7,-2.64,0.85));
#62228=CARTESIAN_POINT('Origin',(-3.7,-2.64,0.65));
#62229=CARTESIAN_POINT('',(-3.7,-2.64,0.85));
#62230=CARTESIAN_POINT('Origin',(-3.900244542703,2.64,0.394853274378));
#62231=CARTESIAN_POINT('',(-3.7,2.64,0.85));
#62232=CARTESIAN_POINT('',(-3.7,2.64,0.85));
#62233=CARTESIAN_POINT('',(-3.9,2.64,0.65));
#62234=CARTESIAN_POINT('Origin',(-3.7,2.64,0.65));
#62235=CARTESIAN_POINT('',(-3.9,2.64,0.2));
#62236=CARTESIAN_POINT('',(-3.9,2.64,0.2));
#62237=CARTESIAN_POINT('',(-4.,2.64,0.1));
#62238=CARTESIAN_POINT('Origin',(-4.,2.64,0.2));
#62239=CARTESIAN_POINT('',(-4.5,2.64,0.1));
#62240=CARTESIAN_POINT('',(-4.5,2.64,0.1));
#62241=CARTESIAN_POINT('',(-4.5,2.64,-8.326672684689E-17));
#62242=CARTESIAN_POINT('',(-4.5,2.64,-8.326672684689E-17));
#62243=CARTESIAN_POINT('',(-4.,2.64,-8.326672684689E-17));
#62244=CARTESIAN_POINT('',(-4.,2.64,-8.326672684689E-17));
#62245=CARTESIAN_POINT('',(-3.8,2.64,0.2));
#62246=CARTESIAN_POINT('Origin',(-4.,2.64,0.2));
#62247=CARTESIAN_POINT('',(-3.8,2.64,0.65));
#62248=CARTESIAN_POINT('',(-3.8,2.64,0.65));
#62249=CARTESIAN_POINT('Origin',(-3.7,2.64,0.65));
#62250=CARTESIAN_POINT('Origin',(-3.7,2.64,0.65));
#62251=CARTESIAN_POINT('',(-3.8,2.64,0.65));
#62252=CARTESIAN_POINT('Origin',(-3.5,0.,0.85));
#62253=CARTESIAN_POINT('',(-3.7,-2.64,0.85));
#62254=CARTESIAN_POINT('',(-3.7,-2.36,0.85));
#62255=CARTESIAN_POINT('Origin',(-3.900244542703,-2.36,0.394853274378));
#62256=CARTESIAN_POINT('',(-3.7,-2.36,0.85));
#62257=CARTESIAN_POINT('',(-3.7,-2.36,0.85));
#62258=CARTESIAN_POINT('',(-3.9,-2.36,0.65));
#62259=CARTESIAN_POINT('Origin',(-3.7,-2.36,0.65));
#62260=CARTESIAN_POINT('',(-3.9,-2.36,0.2));
#62261=CARTESIAN_POINT('',(-3.9,-2.36,0.2));
#62262=CARTESIAN_POINT('',(-4.,-2.36,0.1));
#62263=CARTESIAN_POINT('Origin',(-4.,-2.36,0.2));
#62264=CARTESIAN_POINT('',(-4.5,-2.36,0.1));
#62265=CARTESIAN_POINT('',(-4.5,-2.36,0.1));
#62266=CARTESIAN_POINT('',(-4.5,-2.36,-8.326672684689E-17));
#62267=CARTESIAN_POINT('',(-4.5,-2.36,-8.326672684689E-17));
#62268=CARTESIAN_POINT('',(-4.,-2.36,-8.326672684689E-17));
#62269=CARTESIAN_POINT('',(-4.,-2.36,-8.326672684689E-17));
#62270=CARTESIAN_POINT('',(-3.8,-2.36,0.2));
#62271=CARTESIAN_POINT('Origin',(-4.,-2.36,0.2));
#62272=CARTESIAN_POINT('',(-3.8,-2.36,0.65));
#62273=CARTESIAN_POINT('',(-3.8,-2.36,0.65));
#62274=CARTESIAN_POINT('Origin',(-3.7,-2.36,0.65));
#62275=CARTESIAN_POINT('Origin',(-3.900244542703,2.36,0.394853274378));
#62276=CARTESIAN_POINT('',(-3.8,2.36,0.65));
#62277=CARTESIAN_POINT('Origin',(-3.7,2.36,0.65));
#62278=CARTESIAN_POINT('',(-3.8,2.36,0.2));
#62279=CARTESIAN_POINT('',(-3.8,2.36,0.65));
#62280=CARTESIAN_POINT('',(-4.,2.36,-8.326672684689E-17));
#62281=CARTESIAN_POINT('Origin',(-4.,2.36,0.2));
#62282=CARTESIAN_POINT('',(-4.5,2.36,-8.326672684689E-17));
#62283=CARTESIAN_POINT('',(-4.,2.36,-8.326672684689E-17));
#62284=CARTESIAN_POINT('',(-4.5,2.36,0.1));
#62285=CARTESIAN_POINT('',(-4.5,2.36,-8.326672684689E-17));
#62286=CARTESIAN_POINT('',(-4.,2.36,0.1));
#62287=CARTESIAN_POINT('',(-4.5,2.36,0.1));
#62288=CARTESIAN_POINT('',(-3.9,2.36,0.2));
#62289=CARTESIAN_POINT('Origin',(-4.,2.36,0.2));
#62290=CARTESIAN_POINT('',(-3.9,2.36,0.65));
#62291=CARTESIAN_POINT('',(-3.9,2.36,0.2));
#62292=CARTESIAN_POINT('',(-3.7,2.36,0.85));
#62293=CARTESIAN_POINT('Origin',(-3.7,2.36,0.65));
#62294=CARTESIAN_POINT('',(-3.7,2.36,0.85));
#62295=CARTESIAN_POINT('Origin',(-3.5,0.,0.85));
#62296=CARTESIAN_POINT('',(-3.7,2.36,0.85));
#62297=CARTESIAN_POINT('',(-3.7,2.64,0.85));
#62298=CARTESIAN_POINT('Origin',(-3.7,-2.36,0.65));
#62299=CARTESIAN_POINT('',(-3.8,-2.14,0.65));
#62300=CARTESIAN_POINT('',(-3.8,-2.36,0.65));
#62301=CARTESIAN_POINT('Origin',(-3.7,-2.14,0.65));
#62302=CARTESIAN_POINT('Origin',(-3.900244542703,-2.14,0.394853274378));
#62303=CARTESIAN_POINT('',(-3.8,-2.14,0.2));
#62304=CARTESIAN_POINT('',(-3.8,-2.14,0.65));
#62305=CARTESIAN_POINT('',(-4.,-2.14,-8.326672684689E-17));
#62306=CARTESIAN_POINT('Origin',(-4.,-2.14,0.2));
#62307=CARTESIAN_POINT('',(-4.5,-2.14,-8.326672684689E-17));
#62308=CARTESIAN_POINT('',(-4.,-2.14,-8.326672684689E-17));
#62309=CARTESIAN_POINT('',(-4.5,-2.14,0.1));
#62310=CARTESIAN_POINT('',(-4.5,-2.14,-8.326672684689E-17));
#62311=CARTESIAN_POINT('',(-4.,-2.14,0.1));
#62312=CARTESIAN_POINT('',(-4.5,-2.14,0.1));
#62313=CARTESIAN_POINT('',(-3.9,-2.14,0.2));
#62314=CARTESIAN_POINT('Origin',(-4.,-2.14,0.2));
#62315=CARTESIAN_POINT('',(-3.9,-2.14,0.65));
#62316=CARTESIAN_POINT('',(-3.9,-2.14,0.2));
#62317=CARTESIAN_POINT('',(-3.7,-2.14,0.85));
#62318=CARTESIAN_POINT('Origin',(-3.7,-2.14,0.65));
#62319=CARTESIAN_POINT('',(-3.7,-2.14,0.85));
#62320=CARTESIAN_POINT('Origin',(-3.900244542703,2.14,0.394853274378));
#62321=CARTESIAN_POINT('',(-3.7,2.14,0.85));
#62322=CARTESIAN_POINT('',(-3.7,2.14,0.85));
#62323=CARTESIAN_POINT('',(-3.9,2.14,0.65));
#62324=CARTESIAN_POINT('Origin',(-3.7,2.14,0.65));
#62325=CARTESIAN_POINT('',(-3.9,2.14,0.2));
#62326=CARTESIAN_POINT('',(-3.9,2.14,0.2));
#62327=CARTESIAN_POINT('',(-4.,2.14,0.1));
#62328=CARTESIAN_POINT('Origin',(-4.,2.14,0.2));
#62329=CARTESIAN_POINT('',(-4.5,2.14,0.1));
#62330=CARTESIAN_POINT('',(-4.5,2.14,0.1));
#62331=CARTESIAN_POINT('',(-4.5,2.14,-8.326672684689E-17));
#62332=CARTESIAN_POINT('',(-4.5,2.14,-8.326672684689E-17));
#62333=CARTESIAN_POINT('',(-4.,2.14,-8.326672684689E-17));
#62334=CARTESIAN_POINT('',(-4.,2.14,-8.326672684689E-17));
#62335=CARTESIAN_POINT('',(-3.8,2.14,0.2));
#62336=CARTESIAN_POINT('Origin',(-4.,2.14,0.2));
#62337=CARTESIAN_POINT('',(-3.8,2.14,0.65));
#62338=CARTESIAN_POINT('',(-3.8,2.14,0.65));
#62339=CARTESIAN_POINT('Origin',(-3.7,2.14,0.65));
#62340=CARTESIAN_POINT('Origin',(-3.7,2.14,0.65));
#62341=CARTESIAN_POINT('',(-3.8,2.14,0.65));
#62342=CARTESIAN_POINT('Origin',(-3.5,0.,0.85));
#62343=CARTESIAN_POINT('',(-3.7,-2.14,0.85));
#62344=CARTESIAN_POINT('',(-3.7,-1.86,0.85));
#62345=CARTESIAN_POINT('Origin',(-3.900244542703,-1.86,0.394853274378));
#62346=CARTESIAN_POINT('',(-3.7,-1.86,0.85));
#62347=CARTESIAN_POINT('',(-3.7,-1.86,0.85));
#62348=CARTESIAN_POINT('',(-3.9,-1.86,0.65));
#62349=CARTESIAN_POINT('Origin',(-3.7,-1.86,0.65));
#62350=CARTESIAN_POINT('',(-3.9,-1.86,0.2));
#62351=CARTESIAN_POINT('',(-3.9,-1.86,0.2));
#62352=CARTESIAN_POINT('',(-4.,-1.86,0.1));
#62353=CARTESIAN_POINT('Origin',(-4.,-1.86,0.2));
#62354=CARTESIAN_POINT('',(-4.5,-1.86,0.1));
#62355=CARTESIAN_POINT('',(-4.5,-1.86,0.1));
#62356=CARTESIAN_POINT('',(-4.5,-1.86,-8.326672684689E-17));
#62357=CARTESIAN_POINT('',(-4.5,-1.86,-8.326672684689E-17));
#62358=CARTESIAN_POINT('',(-4.,-1.86,-8.326672684689E-17));
#62359=CARTESIAN_POINT('',(-4.,-1.86,-8.326672684689E-17));
#62360=CARTESIAN_POINT('',(-3.8,-1.86,0.2));
#62361=CARTESIAN_POINT('Origin',(-4.,-1.86,0.2));
#62362=CARTESIAN_POINT('',(-3.8,-1.86,0.65));
#62363=CARTESIAN_POINT('',(-3.8,-1.86,0.65));
#62364=CARTESIAN_POINT('Origin',(-3.7,-1.86,0.65));
#62365=CARTESIAN_POINT('Origin',(-3.900244542703,1.86,0.394853274378));
#62366=CARTESIAN_POINT('',(-3.8,1.86,0.65));
#62367=CARTESIAN_POINT('Origin',(-3.7,1.86,0.65));
#62368=CARTESIAN_POINT('',(-3.8,1.86,0.2));
#62369=CARTESIAN_POINT('',(-3.8,1.86,0.65));
#62370=CARTESIAN_POINT('',(-4.,1.86,-8.326672684689E-17));
#62371=CARTESIAN_POINT('Origin',(-4.,1.86,0.2));
#62372=CARTESIAN_POINT('',(-4.5,1.86,-8.326672684689E-17));
#62373=CARTESIAN_POINT('',(-4.,1.86,-8.326672684689E-17));
#62374=CARTESIAN_POINT('',(-4.5,1.86,0.1));
#62375=CARTESIAN_POINT('',(-4.5,1.86,-8.326672684689E-17));
#62376=CARTESIAN_POINT('',(-4.,1.86,0.1));
#62377=CARTESIAN_POINT('',(-4.5,1.86,0.1));
#62378=CARTESIAN_POINT('',(-3.9,1.86,0.2));
#62379=CARTESIAN_POINT('Origin',(-4.,1.86,0.2));
#62380=CARTESIAN_POINT('',(-3.9,1.86,0.65));
#62381=CARTESIAN_POINT('',(-3.9,1.86,0.2));
#62382=CARTESIAN_POINT('',(-3.7,1.86,0.85));
#62383=CARTESIAN_POINT('Origin',(-3.7,1.86,0.65));
#62384=CARTESIAN_POINT('',(-3.7,1.86,0.85));
#62385=CARTESIAN_POINT('Origin',(-3.5,0.,0.85));
#62386=CARTESIAN_POINT('',(-3.7,1.86,0.85));
#62387=CARTESIAN_POINT('',(-3.7,2.14,0.85));
#62388=CARTESIAN_POINT('Origin',(-3.7,-1.86,0.65));
#62389=CARTESIAN_POINT('',(-3.8,-1.64,0.65));
#62390=CARTESIAN_POINT('',(-3.8,-1.86,0.65));
#62391=CARTESIAN_POINT('Origin',(-3.7,-1.64,0.65));
#62392=CARTESIAN_POINT('Origin',(-3.900244542703,-1.64,0.394853274378));
#62393=CARTESIAN_POINT('',(-3.8,-1.64,0.2));
#62394=CARTESIAN_POINT('',(-3.8,-1.64,0.65));
#62395=CARTESIAN_POINT('',(-4.,-1.64,-8.326672684689E-17));
#62396=CARTESIAN_POINT('Origin',(-4.,-1.64,0.2));
#62397=CARTESIAN_POINT('',(-4.5,-1.64,-8.326672684689E-17));
#62398=CARTESIAN_POINT('',(-4.,-1.64,-8.326672684689E-17));
#62399=CARTESIAN_POINT('',(-4.5,-1.64,0.1));
#62400=CARTESIAN_POINT('',(-4.5,-1.64,-8.326672684689E-17));
#62401=CARTESIAN_POINT('',(-4.,-1.64,0.1));
#62402=CARTESIAN_POINT('',(-4.5,-1.64,0.1));
#62403=CARTESIAN_POINT('',(-3.9,-1.64,0.2));
#62404=CARTESIAN_POINT('Origin',(-4.,-1.64,0.2));
#62405=CARTESIAN_POINT('',(-3.9,-1.64,0.65));
#62406=CARTESIAN_POINT('',(-3.9,-1.64,0.2));
#62407=CARTESIAN_POINT('',(-3.7,-1.64,0.85));
#62408=CARTESIAN_POINT('Origin',(-3.7,-1.64,0.65));
#62409=CARTESIAN_POINT('',(-3.7,-1.64,0.85));
#62410=CARTESIAN_POINT('Origin',(-3.900244542703,1.64,0.394853274378));
#62411=CARTESIAN_POINT('',(-3.7,1.64,0.85));
#62412=CARTESIAN_POINT('',(-3.7,1.64,0.85));
#62413=CARTESIAN_POINT('',(-3.9,1.64,0.65));
#62414=CARTESIAN_POINT('Origin',(-3.7,1.64,0.65));
#62415=CARTESIAN_POINT('',(-3.9,1.64,0.2));
#62416=CARTESIAN_POINT('',(-3.9,1.64,0.2));
#62417=CARTESIAN_POINT('',(-4.,1.64,0.1));
#62418=CARTESIAN_POINT('Origin',(-4.,1.64,0.2));
#62419=CARTESIAN_POINT('',(-4.5,1.64,0.1));
#62420=CARTESIAN_POINT('',(-4.5,1.64,0.1));
#62421=CARTESIAN_POINT('',(-4.5,1.64,-8.326672684689E-17));
#62422=CARTESIAN_POINT('',(-4.5,1.64,-8.326672684689E-17));
#62423=CARTESIAN_POINT('',(-4.,1.64,-8.326672684689E-17));
#62424=CARTESIAN_POINT('',(-4.,1.64,-8.326672684689E-17));
#62425=CARTESIAN_POINT('',(-3.8,1.64,0.2));
#62426=CARTESIAN_POINT('Origin',(-4.,1.64,0.2));
#62427=CARTESIAN_POINT('',(-3.8,1.64,0.65));
#62428=CARTESIAN_POINT('',(-3.8,1.64,0.65));
#62429=CARTESIAN_POINT('Origin',(-3.7,1.64,0.65));
#62430=CARTESIAN_POINT('Origin',(-3.7,1.64,0.65));
#62431=CARTESIAN_POINT('',(-3.8,1.64,0.65));
#62432=CARTESIAN_POINT('Origin',(-3.5,0.,0.85));
#62433=CARTESIAN_POINT('',(-3.7,-1.64,0.85));
#62434=CARTESIAN_POINT('',(-3.7,-1.36,0.85));
#62435=CARTESIAN_POINT('Origin',(-3.900244542703,-1.36,0.394853274378));
#62436=CARTESIAN_POINT('',(-3.7,-1.36,0.85));
#62437=CARTESIAN_POINT('',(-3.7,-1.36,0.85));
#62438=CARTESIAN_POINT('',(-3.9,-1.36,0.65));
#62439=CARTESIAN_POINT('Origin',(-3.7,-1.36,0.65));
#62440=CARTESIAN_POINT('',(-3.9,-1.36,0.2));
#62441=CARTESIAN_POINT('',(-3.9,-1.36,0.2));
#62442=CARTESIAN_POINT('',(-4.,-1.36,0.1));
#62443=CARTESIAN_POINT('Origin',(-4.,-1.36,0.2));
#62444=CARTESIAN_POINT('',(-4.5,-1.36,0.1));
#62445=CARTESIAN_POINT('',(-4.5,-1.36,0.1));
#62446=CARTESIAN_POINT('',(-4.5,-1.36,-8.326672684689E-17));
#62447=CARTESIAN_POINT('',(-4.5,-1.36,-8.326672684689E-17));
#62448=CARTESIAN_POINT('',(-4.,-1.36,-8.326672684689E-17));
#62449=CARTESIAN_POINT('',(-4.,-1.36,-8.326672684689E-17));
#62450=CARTESIAN_POINT('',(-3.8,-1.36,0.2));
#62451=CARTESIAN_POINT('Origin',(-4.,-1.36,0.2));
#62452=CARTESIAN_POINT('',(-3.8,-1.36,0.65));
#62453=CARTESIAN_POINT('',(-3.8,-1.36,0.65));
#62454=CARTESIAN_POINT('Origin',(-3.7,-1.36,0.65));
#62455=CARTESIAN_POINT('Origin',(-3.900244542703,1.36,0.394853274378));
#62456=CARTESIAN_POINT('',(-3.8,1.36,0.65));
#62457=CARTESIAN_POINT('Origin',(-3.7,1.36,0.65));
#62458=CARTESIAN_POINT('',(-3.8,1.36,0.2));
#62459=CARTESIAN_POINT('',(-3.8,1.36,0.65));
#62460=CARTESIAN_POINT('',(-4.,1.36,-8.326672684689E-17));
#62461=CARTESIAN_POINT('Origin',(-4.,1.36,0.2));
#62462=CARTESIAN_POINT('',(-4.5,1.36,-8.326672684689E-17));
#62463=CARTESIAN_POINT('',(-4.,1.36,-8.326672684689E-17));
#62464=CARTESIAN_POINT('',(-4.5,1.36,0.1));
#62465=CARTESIAN_POINT('',(-4.5,1.36,-8.326672684689E-17));
#62466=CARTESIAN_POINT('',(-4.,1.36,0.1));
#62467=CARTESIAN_POINT('',(-4.5,1.36,0.1));
#62468=CARTESIAN_POINT('',(-3.9,1.36,0.2));
#62469=CARTESIAN_POINT('Origin',(-4.,1.36,0.2));
#62470=CARTESIAN_POINT('',(-3.9,1.36,0.65));
#62471=CARTESIAN_POINT('',(-3.9,1.36,0.2));
#62472=CARTESIAN_POINT('',(-3.7,1.36,0.85));
#62473=CARTESIAN_POINT('Origin',(-3.7,1.36,0.65));
#62474=CARTESIAN_POINT('',(-3.7,1.36,0.85));
#62475=CARTESIAN_POINT('Origin',(-3.5,0.,0.85));
#62476=CARTESIAN_POINT('',(-3.7,1.36,0.85));
#62477=CARTESIAN_POINT('',(-3.7,1.64,0.85));
#62478=CARTESIAN_POINT('Origin',(-3.7,-1.36,0.65));
#62479=CARTESIAN_POINT('',(-3.8,-1.14,0.65));
#62480=CARTESIAN_POINT('',(-3.8,-1.36,0.65));
#62481=CARTESIAN_POINT('Origin',(-3.7,-1.14,0.65));
#62482=CARTESIAN_POINT('Origin',(-3.900244542703,-1.14,0.394853274378));
#62483=CARTESIAN_POINT('',(-3.8,-1.14,0.2));
#62484=CARTESIAN_POINT('',(-3.8,-1.14,0.65));
#62485=CARTESIAN_POINT('',(-4.,-1.14,-8.326672684689E-17));
#62486=CARTESIAN_POINT('Origin',(-4.,-1.14,0.2));
#62487=CARTESIAN_POINT('',(-4.5,-1.14,-8.326672684689E-17));
#62488=CARTESIAN_POINT('',(-4.,-1.14,-8.326672684689E-17));
#62489=CARTESIAN_POINT('',(-4.5,-1.14,0.1));
#62490=CARTESIAN_POINT('',(-4.5,-1.14,-8.326672684689E-17));
#62491=CARTESIAN_POINT('',(-4.,-1.14,0.1));
#62492=CARTESIAN_POINT('',(-4.5,-1.14,0.1));
#62493=CARTESIAN_POINT('',(-3.9,-1.14,0.2));
#62494=CARTESIAN_POINT('Origin',(-4.,-1.14,0.2));
#62495=CARTESIAN_POINT('',(-3.9,-1.14,0.65));
#62496=CARTESIAN_POINT('',(-3.9,-1.14,0.2));
#62497=CARTESIAN_POINT('',(-3.7,-1.14,0.85));
#62498=CARTESIAN_POINT('Origin',(-3.7,-1.14,0.65));
#62499=CARTESIAN_POINT('',(-3.7,-1.14,0.85));
#62500=CARTESIAN_POINT('Origin',(-3.900244542703,1.14,0.394853274378));
#62501=CARTESIAN_POINT('',(-3.7,1.14,0.85));
#62502=CARTESIAN_POINT('',(-3.7,1.14,0.85));
#62503=CARTESIAN_POINT('',(-3.9,1.14,0.65));
#62504=CARTESIAN_POINT('Origin',(-3.7,1.14,0.65));
#62505=CARTESIAN_POINT('',(-3.9,1.14,0.2));
#62506=CARTESIAN_POINT('',(-3.9,1.14,0.2));
#62507=CARTESIAN_POINT('',(-4.,1.14,0.1));
#62508=CARTESIAN_POINT('Origin',(-4.,1.14,0.2));
#62509=CARTESIAN_POINT('',(-4.5,1.14,0.1));
#62510=CARTESIAN_POINT('',(-4.5,1.14,0.1));
#62511=CARTESIAN_POINT('',(-4.5,1.14,-8.326672684689E-17));
#62512=CARTESIAN_POINT('',(-4.5,1.14,-8.326672684689E-17));
#62513=CARTESIAN_POINT('',(-4.,1.14,-8.326672684689E-17));
#62514=CARTESIAN_POINT('',(-4.,1.14,-8.326672684689E-17));
#62515=CARTESIAN_POINT('',(-3.8,1.14,0.2));
#62516=CARTESIAN_POINT('Origin',(-4.,1.14,0.2));
#62517=CARTESIAN_POINT('',(-3.8,1.14,0.65));
#62518=CARTESIAN_POINT('',(-3.8,1.14,0.65));
#62519=CARTESIAN_POINT('Origin',(-3.7,1.14,0.65));
#62520=CARTESIAN_POINT('Origin',(-3.7,1.14,0.65));
#62521=CARTESIAN_POINT('',(-3.8,1.14,0.65));
#62522=CARTESIAN_POINT('Origin',(-3.5,0.,0.85));
#62523=CARTESIAN_POINT('',(-3.7,-1.14,0.85));
#62524=CARTESIAN_POINT('',(-3.7,-0.86,0.85));
#62525=CARTESIAN_POINT('Origin',(-3.900244542703,-0.86,0.394853274378));
#62526=CARTESIAN_POINT('',(-3.7,-0.86,0.85));
#62527=CARTESIAN_POINT('',(-3.7,-0.86,0.85));
#62528=CARTESIAN_POINT('',(-3.9,-0.86,0.65));
#62529=CARTESIAN_POINT('Origin',(-3.7,-0.86,0.65));
#62530=CARTESIAN_POINT('',(-3.9,-0.86,0.2));
#62531=CARTESIAN_POINT('',(-3.9,-0.86,0.2));
#62532=CARTESIAN_POINT('',(-4.,-0.86,0.1));
#62533=CARTESIAN_POINT('Origin',(-4.,-0.86,0.2));
#62534=CARTESIAN_POINT('',(-4.5,-0.86,0.1));
#62535=CARTESIAN_POINT('',(-4.5,-0.86,0.1));
#62536=CARTESIAN_POINT('',(-4.5,-0.86,-8.326672684689E-17));
#62537=CARTESIAN_POINT('',(-4.5,-0.86,-8.326672684689E-17));
#62538=CARTESIAN_POINT('',(-4.,-0.86,-8.326672684689E-17));
#62539=CARTESIAN_POINT('',(-4.,-0.86,-8.326672684689E-17));
#62540=CARTESIAN_POINT('',(-3.8,-0.86,0.2));
#62541=CARTESIAN_POINT('Origin',(-4.,-0.86,0.2));
#62542=CARTESIAN_POINT('',(-3.8,-0.86,0.65));
#62543=CARTESIAN_POINT('',(-3.8,-0.86,0.65));
#62544=CARTESIAN_POINT('Origin',(-3.7,-0.86,0.65));
#62545=CARTESIAN_POINT('Origin',(-3.900244542703,0.86,0.394853274378));
#62546=CARTESIAN_POINT('',(-3.8,0.86,0.65));
#62547=CARTESIAN_POINT('Origin',(-3.7,0.86,0.65));
#62548=CARTESIAN_POINT('',(-3.8,0.86,0.2));
#62549=CARTESIAN_POINT('',(-3.8,0.86,0.65));
#62550=CARTESIAN_POINT('',(-4.,0.86,-8.326672684689E-17));
#62551=CARTESIAN_POINT('Origin',(-4.,0.86,0.2));
#62552=CARTESIAN_POINT('',(-4.5,0.86,-8.326672684689E-17));
#62553=CARTESIAN_POINT('',(-4.,0.86,-8.326672684689E-17));
#62554=CARTESIAN_POINT('',(-4.5,0.86,0.1));
#62555=CARTESIAN_POINT('',(-4.5,0.86,-8.326672684689E-17));
#62556=CARTESIAN_POINT('',(-4.,0.86,0.1));
#62557=CARTESIAN_POINT('',(-4.5,0.86,0.1));
#62558=CARTESIAN_POINT('',(-3.9,0.86,0.2));
#62559=CARTESIAN_POINT('Origin',(-4.,0.86,0.2));
#62560=CARTESIAN_POINT('',(-3.9,0.86,0.65));
#62561=CARTESIAN_POINT('',(-3.9,0.86,0.2));
#62562=CARTESIAN_POINT('',(-3.7,0.86,0.85));
#62563=CARTESIAN_POINT('Origin',(-3.7,0.86,0.65));
#62564=CARTESIAN_POINT('',(-3.7,0.86,0.85));
#62565=CARTESIAN_POINT('Origin',(-3.5,0.,0.85));
#62566=CARTESIAN_POINT('',(-3.7,0.86,0.85));
#62567=CARTESIAN_POINT('',(-3.7,1.14,0.85));
#62568=CARTESIAN_POINT('Origin',(-3.7,-0.86,0.65));
#62569=CARTESIAN_POINT('',(-3.8,-0.64,0.65));
#62570=CARTESIAN_POINT('',(-3.8,-0.86,0.65));
#62571=CARTESIAN_POINT('Origin',(-3.7,-0.64,0.65));
#62572=CARTESIAN_POINT('Origin',(-3.900244542703,-0.64,0.394853274378));
#62573=CARTESIAN_POINT('',(-3.8,-0.64,0.2));
#62574=CARTESIAN_POINT('',(-3.8,-0.64,0.65));
#62575=CARTESIAN_POINT('',(-4.,-0.64,-8.326672684689E-17));
#62576=CARTESIAN_POINT('Origin',(-4.,-0.64,0.2));
#62577=CARTESIAN_POINT('',(-4.5,-0.64,-8.326672684689E-17));
#62578=CARTESIAN_POINT('',(-4.,-0.64,-8.326672684689E-17));
#62579=CARTESIAN_POINT('',(-4.5,-0.64,0.1));
#62580=CARTESIAN_POINT('',(-4.5,-0.64,-8.326672684689E-17));
#62581=CARTESIAN_POINT('',(-4.,-0.64,0.1));
#62582=CARTESIAN_POINT('',(-4.5,-0.64,0.1));
#62583=CARTESIAN_POINT('',(-3.9,-0.64,0.2));
#62584=CARTESIAN_POINT('Origin',(-4.,-0.64,0.2));
#62585=CARTESIAN_POINT('',(-3.9,-0.64,0.65));
#62586=CARTESIAN_POINT('',(-3.9,-0.64,0.2));
#62587=CARTESIAN_POINT('',(-3.7,-0.64,0.85));
#62588=CARTESIAN_POINT('Origin',(-3.7,-0.64,0.65));
#62589=CARTESIAN_POINT('',(-3.7,-0.64,0.85));
#62590=CARTESIAN_POINT('Origin',(-3.900244542703,0.64,0.394853274378));
#62591=CARTESIAN_POINT('',(-3.7,0.64,0.85));
#62592=CARTESIAN_POINT('',(-3.7,0.64,0.85));
#62593=CARTESIAN_POINT('',(-3.9,0.64,0.65));
#62594=CARTESIAN_POINT('Origin',(-3.7,0.64,0.65));
#62595=CARTESIAN_POINT('',(-3.9,0.64,0.2));
#62596=CARTESIAN_POINT('',(-3.9,0.64,0.2));
#62597=CARTESIAN_POINT('',(-4.,0.64,0.1));
#62598=CARTESIAN_POINT('Origin',(-4.,0.64,0.2));
#62599=CARTESIAN_POINT('',(-4.5,0.64,0.1));
#62600=CARTESIAN_POINT('',(-4.5,0.64,0.1));
#62601=CARTESIAN_POINT('',(-4.5,0.64,-8.326672684689E-17));
#62602=CARTESIAN_POINT('',(-4.5,0.64,-8.326672684689E-17));
#62603=CARTESIAN_POINT('',(-4.,0.64,-8.326672684689E-17));
#62604=CARTESIAN_POINT('',(-4.,0.64,-8.326672684689E-17));
#62605=CARTESIAN_POINT('',(-3.8,0.64,0.2));
#62606=CARTESIAN_POINT('Origin',(-4.,0.64,0.2));
#62607=CARTESIAN_POINT('',(-3.8,0.64,0.65));
#62608=CARTESIAN_POINT('',(-3.8,0.64,0.65));
#62609=CARTESIAN_POINT('Origin',(-3.7,0.64,0.65));
#62610=CARTESIAN_POINT('Origin',(-3.7,0.64,0.65));
#62611=CARTESIAN_POINT('',(-3.8,0.64,0.65));
#62612=CARTESIAN_POINT('Origin',(-3.5,0.,0.85));
#62613=CARTESIAN_POINT('',(-3.7,-0.64,0.85));
#62614=CARTESIAN_POINT('',(-3.7,-0.36,0.85));
#62615=CARTESIAN_POINT('Origin',(-3.900244542703,-0.36,0.394853274378));
#62616=CARTESIAN_POINT('',(-3.7,-0.36,0.85));
#62617=CARTESIAN_POINT('',(-3.7,-0.36,0.85));
#62618=CARTESIAN_POINT('',(-3.9,-0.36,0.65));
#62619=CARTESIAN_POINT('Origin',(-3.7,-0.36,0.65));
#62620=CARTESIAN_POINT('',(-3.9,-0.36,0.2));
#62621=CARTESIAN_POINT('',(-3.9,-0.36,0.2));
#62622=CARTESIAN_POINT('',(-4.,-0.36,0.1));
#62623=CARTESIAN_POINT('Origin',(-4.,-0.36,0.2));
#62624=CARTESIAN_POINT('',(-4.5,-0.36,0.1));
#62625=CARTESIAN_POINT('',(-4.5,-0.36,0.1));
#62626=CARTESIAN_POINT('',(-4.5,-0.36,-8.326672684689E-17));
#62627=CARTESIAN_POINT('',(-4.5,-0.36,-8.326672684689E-17));
#62628=CARTESIAN_POINT('',(-4.,-0.36,-8.326672684689E-17));
#62629=CARTESIAN_POINT('',(-4.,-0.36,-8.326672684689E-17));
#62630=CARTESIAN_POINT('',(-3.8,-0.36,0.2));
#62631=CARTESIAN_POINT('Origin',(-4.,-0.36,0.2));
#62632=CARTESIAN_POINT('',(-3.8,-0.36,0.65));
#62633=CARTESIAN_POINT('',(-3.8,-0.36,0.65));
#62634=CARTESIAN_POINT('Origin',(-3.7,-0.36,0.65));
#62635=CARTESIAN_POINT('Origin',(-3.900244542703,0.36,0.394853274378));
#62636=CARTESIAN_POINT('',(-3.8,0.36,0.65));
#62637=CARTESIAN_POINT('Origin',(-3.7,0.36,0.65));
#62638=CARTESIAN_POINT('',(-3.8,0.36,0.2));
#62639=CARTESIAN_POINT('',(-3.8,0.36,0.65));
#62640=CARTESIAN_POINT('',(-4.,0.36,-8.326672684689E-17));
#62641=CARTESIAN_POINT('Origin',(-4.,0.36,0.2));
#62642=CARTESIAN_POINT('',(-4.5,0.36,-8.326672684689E-17));
#62643=CARTESIAN_POINT('',(-4.,0.36,-8.326672684689E-17));
#62644=CARTESIAN_POINT('',(-4.5,0.36,0.1));
#62645=CARTESIAN_POINT('',(-4.5,0.36,-8.326672684689E-17));
#62646=CARTESIAN_POINT('',(-4.,0.36,0.1));
#62647=CARTESIAN_POINT('',(-4.5,0.36,0.1));
#62648=CARTESIAN_POINT('',(-3.9,0.36,0.2));
#62649=CARTESIAN_POINT('Origin',(-4.,0.36,0.2));
#62650=CARTESIAN_POINT('',(-3.9,0.36,0.65));
#62651=CARTESIAN_POINT('',(-3.9,0.36,0.2));
#62652=CARTESIAN_POINT('',(-3.7,0.36,0.85));
#62653=CARTESIAN_POINT('Origin',(-3.7,0.36,0.65));
#62654=CARTESIAN_POINT('',(-3.7,0.36,0.85));
#62655=CARTESIAN_POINT('Origin',(-3.5,0.,0.85));
#62656=CARTESIAN_POINT('',(-3.7,0.36,0.85));
#62657=CARTESIAN_POINT('',(-3.7,0.64,0.85));
#62658=CARTESIAN_POINT('Origin',(-3.7,-0.36,0.65));
#62659=CARTESIAN_POINT('',(-3.8,-0.14,0.65));
#62660=CARTESIAN_POINT('',(-3.8,-0.36,0.65));
#62661=CARTESIAN_POINT('Origin',(-3.7,-0.14,0.65));
#62662=CARTESIAN_POINT('Origin',(-3.900244542703,-0.14,0.394853274378));
#62663=CARTESIAN_POINT('',(-3.8,-0.14,0.2));
#62664=CARTESIAN_POINT('',(-3.8,-0.14,0.65));
#62665=CARTESIAN_POINT('',(-4.,-0.14,-8.326672684689E-17));
#62666=CARTESIAN_POINT('Origin',(-4.,-0.14,0.2));
#62667=CARTESIAN_POINT('',(-4.5,-0.14,-8.326672684689E-17));
#62668=CARTESIAN_POINT('',(-4.,-0.14,-8.326672684689E-17));
#62669=CARTESIAN_POINT('',(-4.5,-0.14,0.1));
#62670=CARTESIAN_POINT('',(-4.5,-0.14,-8.326672684689E-17));
#62671=CARTESIAN_POINT('',(-4.,-0.14,0.1));
#62672=CARTESIAN_POINT('',(-4.5,-0.14,0.1));
#62673=CARTESIAN_POINT('',(-3.9,-0.14,0.2));
#62674=CARTESIAN_POINT('Origin',(-4.,-0.14,0.2));
#62675=CARTESIAN_POINT('',(-3.9,-0.14,0.65));
#62676=CARTESIAN_POINT('',(-3.9,-0.14,0.2));
#62677=CARTESIAN_POINT('',(-3.7,-0.14,0.85));
#62678=CARTESIAN_POINT('Origin',(-3.7,-0.14,0.65));
#62679=CARTESIAN_POINT('',(-3.7,-0.14,0.85));
#62680=CARTESIAN_POINT('Origin',(-3.900244542703,0.14,0.394853274378));
#62681=CARTESIAN_POINT('',(-3.7,0.14,0.85));
#62682=CARTESIAN_POINT('',(-3.7,0.14,0.85));
#62683=CARTESIAN_POINT('',(-3.9,0.14,0.65));
#62684=CARTESIAN_POINT('Origin',(-3.7,0.14,0.65));
#62685=CARTESIAN_POINT('',(-3.9,0.14,0.2));
#62686=CARTESIAN_POINT('',(-3.9,0.14,0.2));
#62687=CARTESIAN_POINT('',(-4.,0.14,0.1));
#62688=CARTESIAN_POINT('Origin',(-4.,0.14,0.2));
#62689=CARTESIAN_POINT('',(-4.5,0.14,0.1));
#62690=CARTESIAN_POINT('',(-4.5,0.14,0.1));
#62691=CARTESIAN_POINT('',(-4.5,0.14,-8.326672684689E-17));
#62692=CARTESIAN_POINT('',(-4.5,0.14,-8.326672684689E-17));
#62693=CARTESIAN_POINT('',(-4.,0.14,-8.326672684689E-17));
#62694=CARTESIAN_POINT('',(-4.,0.14,-8.326672684689E-17));
#62695=CARTESIAN_POINT('',(-3.8,0.14,0.2));
#62696=CARTESIAN_POINT('Origin',(-4.,0.14,0.2));
#62697=CARTESIAN_POINT('',(-3.8,0.14,0.65));
#62698=CARTESIAN_POINT('',(-3.8,0.14,0.65));
#62699=CARTESIAN_POINT('Origin',(-3.7,0.14,0.65));
#62700=CARTESIAN_POINT('Origin',(-3.7,0.14,0.65));
#62701=CARTESIAN_POINT('',(-3.8,0.14,0.65));
#62702=CARTESIAN_POINT('Origin',(-3.5,0.,0.85));
#62703=CARTESIAN_POINT('',(-3.7,-0.14,0.85));
#62704=CARTESIAN_POINT('',(-3.7,0.14,0.85));
#62705=CARTESIAN_POINT('Origin',(-3.7,2.64,0.85));
#62706=CARTESIAN_POINT('',(-3.7,2.64,0.85));
#62707=CARTESIAN_POINT('Origin',(-3.7,2.64,0.65));
#62708=CARTESIAN_POINT('',(-3.9,2.64,0.65));
#62709=CARTESIAN_POINT('Origin',(-3.9,2.64,0.2));
#62710=CARTESIAN_POINT('',(-3.9,2.64,0.2));
#62711=CARTESIAN_POINT('Origin',(-4.,2.64,0.2));
#62712=CARTESIAN_POINT('',(-4.,2.64,0.1));
#62713=CARTESIAN_POINT('Origin',(-4.5,2.64,0.1));
#62714=CARTESIAN_POINT('',(-4.5,2.64,0.1));
#62715=CARTESIAN_POINT('Origin',(-4.5,2.64,-8.326672684689E-17));
#62716=CARTESIAN_POINT('',(-4.5,2.64,-8.326672684689E-17));
#62717=CARTESIAN_POINT('Origin',(-4.,2.64,-8.326672684689E-17));
#62718=CARTESIAN_POINT('',(-4.,2.64,-8.326672684689E-17));
#62719=CARTESIAN_POINT('Origin',(-4.,2.64,0.2));
#62720=CARTESIAN_POINT('',(-3.8,2.64,0.2));
#62721=CARTESIAN_POINT('Origin',(-3.8,2.64,0.65));
#62722=CARTESIAN_POINT('Origin',(3.35625,-3.35625,0.85));
#62723=CARTESIAN_POINT('',(3.222554930304,-3.29520753108991,1.46069604886098));
#62724=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#62725=CARTESIAN_POINT('',(3.29516061479594,-3.22253240815144,1.460996513181));
#62726=CARTESIAN_POINT('Origin',(3.24634903325432,3.574055919098,1.45000000000027));
#62727=CARTESIAN_POINT('Origin',(3.24634902939449,-3.20236462947245,1.44999999990064));
#62728=CARTESIAN_POINT('Origin',(3.7,-2.64,0.85));
#62729=CARTESIAN_POINT('',(3.7,-2.64,0.85));
#62730=CARTESIAN_POINT('Origin',(3.7,2.86,0.85));
#62731=CARTESIAN_POINT('',(3.7,2.86,0.85));
#62732=CARTESIAN_POINT('Origin',(3.7,-2.14,0.85));
#62733=CARTESIAN_POINT('',(3.7,-2.14,0.85));
#62734=CARTESIAN_POINT('Origin',(3.7,2.36,0.85));
#62735=CARTESIAN_POINT('',(3.7,2.36,0.85));
#62736=CARTESIAN_POINT('Origin',(3.7,-1.64,0.85));
#62737=CARTESIAN_POINT('',(3.7,-1.64,0.85));
#62738=CARTESIAN_POINT('Origin',(3.7,1.86,0.85));
#62739=CARTESIAN_POINT('',(3.7,1.86,0.85));
#62740=CARTESIAN_POINT('Origin',(3.7,-1.14,0.85));
#62741=CARTESIAN_POINT('',(3.7,-1.14,0.85));
#62742=CARTESIAN_POINT('Origin',(3.7,1.36,0.85));
#62743=CARTESIAN_POINT('',(3.7,1.36,0.85));
#62744=CARTESIAN_POINT('Origin',(3.7,-0.64,0.85));
#62745=CARTESIAN_POINT('',(3.7,-0.64,0.85));
#62746=CARTESIAN_POINT('Origin',(3.7,0.86,0.85));
#62747=CARTESIAN_POINT('',(3.7,0.86,0.85));
#62748=CARTESIAN_POINT('Origin',(3.7,-0.14,0.85));
#62749=CARTESIAN_POINT('',(3.7,-0.14,0.85));
#62750=CARTESIAN_POINT('Origin',(3.7,0.36,0.85));
#62751=CARTESIAN_POINT('',(3.7,0.36,0.85));
#62752=CARTESIAN_POINT('Origin',(-3.24634903325432,-3.574055919098,1.45000000000027));
#62753=CARTESIAN_POINT('Origin',(-3.24634903267567,-3.20236462009751,1.44999999920814));
#62754=CARTESIAN_POINT('Origin',(-3.07621566680996,-3.37249798679302,1.45000000000281));
#62755=CARTESIAN_POINT('',(-3.22255492025729,-3.29520752813496,1.46069604886098));
#62756=CARTESIAN_POINT('Origin',(-3.20236462038678,-3.24634903375484,1.45000000063416));
#62757=CARTESIAN_POINT('',(-3.22256434098243,-3.29512868196494,1.460996513181));
#62758=CARTESIAN_POINT('Origin',(3.574055919098,-3.24634903325432,1.45000000000027));
#62759=CARTESIAN_POINT('Origin',(3.20236462001,-3.24634903251504,1.44999999890311));
#62760=CARTESIAN_POINT('',(3.22262820664601,-3.29525641329096,1.46039558454095));
#62761=CARTESIAN_POINT('Origin',(3.37249798679302,-3.07621566680996,1.45000000000281));
#62762=CARTESIAN_POINT('Origin',(-2.586838234914,2.586838234914,1.5));
#62763=CARTESIAN_POINT('',(-2.586838234914,2.836838234914,1.4));
#62764=CARTESIAN_POINT('',(-2.586838234914,2.836838234914,1.5));
#62765=CARTESIAN_POINT('',(-2.586838234914,2.336838234914,1.4));
#62766=CARTESIAN_POINT('Origin',(-2.586838234914,2.586838234914,1.4));
#62767=CARTESIAN_POINT('Origin',(-2.586838234914,2.586838234914,1.4));
#62768=CARTESIAN_POINT('Origin',(-3.35625,-3.35625,0.85));
#62769=CARTESIAN_POINT('',(-3.2875,-3.425,0.85));
#62770=CARTESIAN_POINT('Origin',(-3.7,-2.86,0.85));
#62771=CARTESIAN_POINT('',(-3.7,-2.86,0.85));
#62772=CARTESIAN_POINT('Origin',(-3.7,2.14,0.85));
#62773=CARTESIAN_POINT('',(-3.7,2.14,0.85));
#62774=CARTESIAN_POINT('Origin',(-3.7,-2.36,0.85));
#62775=CARTESIAN_POINT('',(-3.7,-2.36,0.85));
#62776=CARTESIAN_POINT('Origin',(-3.7,1.64,0.85));
#62777=CARTESIAN_POINT('',(-3.7,1.64,0.85));
#62778=CARTESIAN_POINT('Origin',(-3.7,-1.86,0.85));
#62779=CARTESIAN_POINT('',(-3.7,-1.86,0.85));
#62780=CARTESIAN_POINT('Origin',(-3.7,1.14,0.85));
#62781=CARTESIAN_POINT('',(-3.7,1.14,0.85));
#62782=CARTESIAN_POINT('Origin',(-3.7,-1.36,0.85));
#62783=CARTESIAN_POINT('',(-3.7,-1.36,0.85));
#62784=CARTESIAN_POINT('Origin',(-3.7,0.64,0.85));
#62785=CARTESIAN_POINT('',(-3.7,0.64,0.85));
#62786=CARTESIAN_POINT('Origin',(-3.7,-0.86,0.85));
#62787=CARTESIAN_POINT('',(-3.7,-0.86,0.85));
#62788=CARTESIAN_POINT('Origin',(-3.7,0.14,0.85));
#62789=CARTESIAN_POINT('',(-3.7,0.14,0.85));
#62790=CARTESIAN_POINT('Origin',(-3.7,-0.36,0.85));
#62791=CARTESIAN_POINT('',(-3.7,-0.36,0.85));
#62792=CARTESIAN_POINT('Origin',(3.7,2.86,0.65));
#62793=CARTESIAN_POINT('',(3.9,2.86,0.65));
#62794=CARTESIAN_POINT('Origin',(3.9,2.86,0.2));
#62795=CARTESIAN_POINT('',(3.9,2.86,0.2));
#62796=CARTESIAN_POINT('Origin',(4.,2.86,0.2));
#62797=CARTESIAN_POINT('',(4.,2.86,0.1));
#62798=CARTESIAN_POINT('Origin',(4.5,2.86,0.1));
#62799=CARTESIAN_POINT('',(4.5,2.86,0.1));
#62800=CARTESIAN_POINT('Origin',(4.5,2.86,-8.326672684689E-17));
#62801=CARTESIAN_POINT('',(4.5,2.86,-8.326672684689E-17));
#62802=CARTESIAN_POINT('Origin',(4.,2.86,-8.326672684689E-17));
#62803=CARTESIAN_POINT('',(4.,2.86,-8.326672684689E-17));
#62804=CARTESIAN_POINT('Origin',(4.,2.86,0.2));
#62805=CARTESIAN_POINT('',(3.8,2.86,0.2));
#62806=CARTESIAN_POINT('Origin',(3.8,2.86,0.65));
#62807=CARTESIAN_POINT('Origin',(3.7,-2.64,0.65));
#62808=CARTESIAN_POINT('',(3.9,-2.64,0.65));
#62809=CARTESIAN_POINT('Origin',(3.9,-2.64,0.2));
#62810=CARTESIAN_POINT('',(3.9,-2.64,0.2));
#62811=CARTESIAN_POINT('Origin',(4.,-2.64,0.2));
#62812=CARTESIAN_POINT('',(4.,-2.64,0.1));
#62813=CARTESIAN_POINT('Origin',(4.5,-2.64,0.1));
#62814=CARTESIAN_POINT('',(4.5,-2.64,0.1));
#62815=CARTESIAN_POINT('Origin',(4.5,-2.64,-8.326672684689E-17));
#62816=CARTESIAN_POINT('',(4.5,-2.64,-8.326672684689E-17));
#62817=CARTESIAN_POINT('Origin',(4.,-2.64,-8.326672684689E-17));
#62818=CARTESIAN_POINT('',(4.,-2.64,-8.326672684689E-17));
#62819=CARTESIAN_POINT('Origin',(4.,-2.64,0.2));
#62820=CARTESIAN_POINT('',(3.8,-2.64,0.2));
#62821=CARTESIAN_POINT('Origin',(3.8,-2.64,0.65));
#62822=CARTESIAN_POINT('Origin',(3.7,2.36,0.65));
#62823=CARTESIAN_POINT('',(3.9,2.36,0.65));
#62824=CARTESIAN_POINT('Origin',(3.9,2.36,0.2));
#62825=CARTESIAN_POINT('',(3.9,2.36,0.2));
#62826=CARTESIAN_POINT('Origin',(4.,2.36,0.2));
#62827=CARTESIAN_POINT('',(4.,2.36,0.1));
#62828=CARTESIAN_POINT('Origin',(4.5,2.36,0.1));
#62829=CARTESIAN_POINT('',(4.5,2.36,0.1));
#62830=CARTESIAN_POINT('Origin',(4.5,2.36,-8.326672684689E-17));
#62831=CARTESIAN_POINT('',(4.5,2.36,-8.326672684689E-17));
#62832=CARTESIAN_POINT('Origin',(4.,2.36,-8.326672684689E-17));
#62833=CARTESIAN_POINT('',(4.,2.36,-8.326672684689E-17));
#62834=CARTESIAN_POINT('Origin',(4.,2.36,0.2));
#62835=CARTESIAN_POINT('',(3.8,2.36,0.2));
#62836=CARTESIAN_POINT('Origin',(3.8,2.36,0.65));
#62837=CARTESIAN_POINT('Origin',(3.7,-2.14,0.65));
#62838=CARTESIAN_POINT('',(3.9,-2.14,0.65));
#62839=CARTESIAN_POINT('Origin',(3.9,-2.14,0.2));
#62840=CARTESIAN_POINT('',(3.9,-2.14,0.2));
#62841=CARTESIAN_POINT('Origin',(4.,-2.14,0.2));
#62842=CARTESIAN_POINT('',(4.,-2.14,0.1));
#62843=CARTESIAN_POINT('Origin',(4.5,-2.14,0.1));
#62844=CARTESIAN_POINT('',(4.5,-2.14,0.1));
#62845=CARTESIAN_POINT('Origin',(4.5,-2.14,-8.326672684689E-17));
#62846=CARTESIAN_POINT('',(4.5,-2.14,-8.326672684689E-17));
#62847=CARTESIAN_POINT('Origin',(4.,-2.14,-8.326672684689E-17));
#62848=CARTESIAN_POINT('',(4.,-2.14,-8.326672684689E-17));
#62849=CARTESIAN_POINT('Origin',(4.,-2.14,0.2));
#62850=CARTESIAN_POINT('',(3.8,-2.14,0.2));
#62851=CARTESIAN_POINT('Origin',(3.8,-2.14,0.65));
#62852=CARTESIAN_POINT('Origin',(3.7,1.86,0.65));
#62853=CARTESIAN_POINT('',(3.9,1.86,0.65));
#62854=CARTESIAN_POINT('Origin',(3.9,1.86,0.2));
#62855=CARTESIAN_POINT('',(3.9,1.86,0.2));
#62856=CARTESIAN_POINT('Origin',(4.,1.86,0.2));
#62857=CARTESIAN_POINT('',(4.,1.86,0.1));
#62858=CARTESIAN_POINT('Origin',(4.5,1.86,0.1));
#62859=CARTESIAN_POINT('',(4.5,1.86,0.1));
#62860=CARTESIAN_POINT('Origin',(4.5,1.86,-8.326672684689E-17));
#62861=CARTESIAN_POINT('',(4.5,1.86,-8.326672684689E-17));
#62862=CARTESIAN_POINT('Origin',(4.,1.86,-8.326672684689E-17));
#62863=CARTESIAN_POINT('',(4.,1.86,-8.326672684689E-17));
#62864=CARTESIAN_POINT('Origin',(4.,1.86,0.2));
#62865=CARTESIAN_POINT('',(3.8,1.86,0.2));
#62866=CARTESIAN_POINT('Origin',(3.8,1.86,0.65));
#62867=CARTESIAN_POINT('Origin',(3.7,-1.64,0.65));
#62868=CARTESIAN_POINT('',(3.9,-1.64,0.65));
#62869=CARTESIAN_POINT('Origin',(3.9,-1.64,0.2));
#62870=CARTESIAN_POINT('',(3.9,-1.64,0.2));
#62871=CARTESIAN_POINT('Origin',(4.,-1.64,0.2));
#62872=CARTESIAN_POINT('',(4.,-1.64,0.1));
#62873=CARTESIAN_POINT('Origin',(4.5,-1.64,0.1));
#62874=CARTESIAN_POINT('',(4.5,-1.64,0.1));
#62875=CARTESIAN_POINT('Origin',(4.5,-1.64,-8.326672684689E-17));
#62876=CARTESIAN_POINT('',(4.5,-1.64,-8.326672684689E-17));
#62877=CARTESIAN_POINT('Origin',(4.,-1.64,-8.326672684689E-17));
#62878=CARTESIAN_POINT('',(4.,-1.64,-8.326672684689E-17));
#62879=CARTESIAN_POINT('Origin',(4.,-1.64,0.2));
#62880=CARTESIAN_POINT('',(3.8,-1.64,0.2));
#62881=CARTESIAN_POINT('Origin',(3.8,-1.64,0.65));
#62882=CARTESIAN_POINT('Origin',(3.7,1.36,0.65));
#62883=CARTESIAN_POINT('',(3.9,1.36,0.65));
#62884=CARTESIAN_POINT('Origin',(3.9,1.36,0.2));
#62885=CARTESIAN_POINT('',(3.9,1.36,0.2));
#62886=CARTESIAN_POINT('Origin',(4.,1.36,0.2));
#62887=CARTESIAN_POINT('',(4.,1.36,0.1));
#62888=CARTESIAN_POINT('Origin',(4.5,1.36,0.1));
#62889=CARTESIAN_POINT('',(4.5,1.36,0.1));
#62890=CARTESIAN_POINT('Origin',(4.5,1.36,-8.326672684689E-17));
#62891=CARTESIAN_POINT('',(4.5,1.36,-8.326672684689E-17));
#62892=CARTESIAN_POINT('Origin',(4.,1.36,-8.326672684689E-17));
#62893=CARTESIAN_POINT('',(4.,1.36,-8.326672684689E-17));
#62894=CARTESIAN_POINT('Origin',(4.,1.36,0.2));
#62895=CARTESIAN_POINT('',(3.8,1.36,0.2));
#62896=CARTESIAN_POINT('Origin',(3.8,1.36,0.65));
#62897=CARTESIAN_POINT('Origin',(3.7,-1.14,0.65));
#62898=CARTESIAN_POINT('',(3.9,-1.14,0.65));
#62899=CARTESIAN_POINT('Origin',(3.9,-1.14,0.2));
#62900=CARTESIAN_POINT('',(3.9,-1.14,0.2));
#62901=CARTESIAN_POINT('Origin',(4.,-1.14,0.2));
#62902=CARTESIAN_POINT('',(4.,-1.14,0.1));
#62903=CARTESIAN_POINT('Origin',(4.5,-1.14,0.1));
#62904=CARTESIAN_POINT('',(4.5,-1.14,0.1));
#62905=CARTESIAN_POINT('Origin',(4.5,-1.14,-8.326672684689E-17));
#62906=CARTESIAN_POINT('',(4.5,-1.14,-8.326672684689E-17));
#62907=CARTESIAN_POINT('Origin',(4.,-1.14,-8.326672684689E-17));
#62908=CARTESIAN_POINT('',(4.,-1.14,-8.326672684689E-17));
#62909=CARTESIAN_POINT('Origin',(4.,-1.14,0.2));
#62910=CARTESIAN_POINT('',(3.8,-1.14,0.2));
#62911=CARTESIAN_POINT('Origin',(3.8,-1.14,0.65));
#62912=CARTESIAN_POINT('Origin',(3.7,0.86,0.65));
#62913=CARTESIAN_POINT('',(3.9,0.86,0.65));
#62914=CARTESIAN_POINT('Origin',(3.9,0.86,0.2));
#62915=CARTESIAN_POINT('',(3.9,0.86,0.2));
#62916=CARTESIAN_POINT('Origin',(4.,0.86,0.2));
#62917=CARTESIAN_POINT('',(4.,0.86,0.1));
#62918=CARTESIAN_POINT('Origin',(4.5,0.86,0.1));
#62919=CARTESIAN_POINT('',(4.5,0.86,0.1));
#62920=CARTESIAN_POINT('Origin',(4.5,0.86,-8.326672684689E-17));
#62921=CARTESIAN_POINT('',(4.5,0.86,-8.326672684689E-17));
#62922=CARTESIAN_POINT('Origin',(4.,0.86,-8.326672684689E-17));
#62923=CARTESIAN_POINT('',(4.,0.86,-8.326672684689E-17));
#62924=CARTESIAN_POINT('Origin',(4.,0.86,0.2));
#62925=CARTESIAN_POINT('',(3.8,0.86,0.2));
#62926=CARTESIAN_POINT('Origin',(3.8,0.86,0.65));
#62927=CARTESIAN_POINT('Origin',(3.7,-0.64,0.65));
#62928=CARTESIAN_POINT('',(3.9,-0.64,0.65));
#62929=CARTESIAN_POINT('Origin',(3.9,-0.64,0.2));
#62930=CARTESIAN_POINT('',(3.9,-0.64,0.2));
#62931=CARTESIAN_POINT('Origin',(4.,-0.64,0.2));
#62932=CARTESIAN_POINT('',(4.,-0.64,0.1));
#62933=CARTESIAN_POINT('Origin',(4.5,-0.64,0.1));
#62934=CARTESIAN_POINT('',(4.5,-0.64,0.1));
#62935=CARTESIAN_POINT('Origin',(4.5,-0.64,-8.326672684689E-17));
#62936=CARTESIAN_POINT('',(4.5,-0.64,-8.326672684689E-17));
#62937=CARTESIAN_POINT('Origin',(4.,-0.64,-8.326672684689E-17));
#62938=CARTESIAN_POINT('',(4.,-0.64,-8.326672684689E-17));
#62939=CARTESIAN_POINT('Origin',(4.,-0.64,0.2));
#62940=CARTESIAN_POINT('',(3.8,-0.64,0.2));
#62941=CARTESIAN_POINT('Origin',(3.8,-0.64,0.65));
#62942=CARTESIAN_POINT('Origin',(3.7,0.36,0.65));
#62943=CARTESIAN_POINT('',(3.9,0.36,0.65));
#62944=CARTESIAN_POINT('Origin',(3.9,0.36,0.2));
#62945=CARTESIAN_POINT('',(3.9,0.36,0.2));
#62946=CARTESIAN_POINT('Origin',(4.,0.36,0.2));
#62947=CARTESIAN_POINT('',(4.,0.36,0.1));
#62948=CARTESIAN_POINT('Origin',(4.5,0.36,0.1));
#62949=CARTESIAN_POINT('',(4.5,0.36,0.1));
#62950=CARTESIAN_POINT('Origin',(4.5,0.36,-8.326672684689E-17));
#62951=CARTESIAN_POINT('',(4.5,0.36,-8.326672684689E-17));
#62952=CARTESIAN_POINT('Origin',(4.,0.36,-8.326672684689E-17));
#62953=CARTESIAN_POINT('',(4.,0.36,-8.326672684689E-17));
#62954=CARTESIAN_POINT('Origin',(4.,0.36,0.2));
#62955=CARTESIAN_POINT('',(3.8,0.36,0.2));
#62956=CARTESIAN_POINT('Origin',(3.8,0.36,0.65));
#62957=CARTESIAN_POINT('Origin',(3.7,-0.14,0.65));
#62958=CARTESIAN_POINT('',(3.9,-0.14,0.65));
#62959=CARTESIAN_POINT('Origin',(3.9,-0.14,0.2));
#62960=CARTESIAN_POINT('',(3.9,-0.14,0.2));
#62961=CARTESIAN_POINT('Origin',(4.,-0.14,0.2));
#62962=CARTESIAN_POINT('',(4.,-0.14,0.1));
#62963=CARTESIAN_POINT('Origin',(4.5,-0.14,0.1));
#62964=CARTESIAN_POINT('',(4.5,-0.14,0.1));
#62965=CARTESIAN_POINT('Origin',(4.5,-0.14,-8.326672684689E-17));
#62966=CARTESIAN_POINT('',(4.5,-0.14,-8.326672684689E-17));
#62967=CARTESIAN_POINT('Origin',(4.,-0.14,-8.326672684689E-17));
#62968=CARTESIAN_POINT('',(4.,-0.14,-8.326672684689E-17));
#62969=CARTESIAN_POINT('Origin',(4.,-0.14,0.2));
#62970=CARTESIAN_POINT('',(3.8,-0.14,0.2));
#62971=CARTESIAN_POINT('Origin',(3.8,-0.14,0.65));
#62972=CARTESIAN_POINT('Origin',(5.55111512312578E-16,-3.425,0.85));
#62973=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#62974=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#62975=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#62976=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#62977=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#62978=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#62979=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#62980=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#62981=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#62982=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#62983=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#62984=CARTESIAN_POINT('',(3.2875,-3.425,0.85));
#62985=CARTESIAN_POINT('Origin',(2.86,-3.7,0.85));
#62986=CARTESIAN_POINT('',(2.86,-3.7,0.85));
#62987=CARTESIAN_POINT('Origin',(2.86,-3.7,0.65));
#62988=CARTESIAN_POINT('',(2.86,-3.9,0.65));
#62989=CARTESIAN_POINT('Origin',(2.86,-3.9,0.2));
#62990=CARTESIAN_POINT('',(2.86,-3.9,0.2));
#62991=CARTESIAN_POINT('Origin',(2.86,-4.,0.2));
#62992=CARTESIAN_POINT('',(2.86,-4.,0.1));
#62993=CARTESIAN_POINT('Origin',(2.86,-4.5,0.1));
#62994=CARTESIAN_POINT('',(2.86,-4.5,0.1));
#62995=CARTESIAN_POINT('Origin',(2.86,-4.5,-8.326672684689E-17));
#62996=CARTESIAN_POINT('',(2.86,-4.5,-8.326672684689E-17));
#62997=CARTESIAN_POINT('Origin',(2.86,-4.,-8.326672684689E-17));
#62998=CARTESIAN_POINT('',(2.86,-4.,-8.326672684689E-17));
#62999=CARTESIAN_POINT('Origin',(2.86,-4.,0.2));
#63000=CARTESIAN_POINT('',(2.86,-3.8,0.2));
#63001=CARTESIAN_POINT('Origin',(2.86,-3.8,0.65));
#63002=CARTESIAN_POINT('Origin',(-2.64,-3.7,0.85));
#63003=CARTESIAN_POINT('',(-2.64,-3.7,0.85));
#63004=CARTESIAN_POINT('Origin',(-2.64,-3.7,0.65));
#63005=CARTESIAN_POINT('',(-2.64,-3.9,0.65));
#63006=CARTESIAN_POINT('Origin',(-2.64,-3.9,0.2));
#63007=CARTESIAN_POINT('',(-2.64,-3.9,0.2));
#63008=CARTESIAN_POINT('Origin',(-2.64,-4.,0.2));
#63009=CARTESIAN_POINT('',(-2.64,-4.,0.1));
#63010=CARTESIAN_POINT('Origin',(-2.64,-4.5,0.1));
#63011=CARTESIAN_POINT('',(-2.64,-4.5,0.1));
#63012=CARTESIAN_POINT('Origin',(-2.64,-4.5,-8.326672684689E-17));
#63013=CARTESIAN_POINT('',(-2.64,-4.5,-8.326672684689E-17));
#63014=CARTESIAN_POINT('Origin',(-2.64,-4.,-8.326672684689E-17));
#63015=CARTESIAN_POINT('',(-2.64,-4.,-8.326672684689E-17));
#63016=CARTESIAN_POINT('Origin',(-2.64,-4.,0.2));
#63017=CARTESIAN_POINT('',(-2.64,-3.8,0.2));
#63018=CARTESIAN_POINT('Origin',(-2.64,-3.8,0.65));
#63019=CARTESIAN_POINT('Origin',(2.36,-3.7,0.85));
#63020=CARTESIAN_POINT('',(2.36,-3.7,0.85));
#63021=CARTESIAN_POINT('Origin',(2.36,-3.7,0.65));
#63022=CARTESIAN_POINT('',(2.36,-3.9,0.65));
#63023=CARTESIAN_POINT('Origin',(2.36,-3.9,0.2));
#63024=CARTESIAN_POINT('',(2.36,-3.9,0.2));
#63025=CARTESIAN_POINT('Origin',(2.36,-4.,0.2));
#63026=CARTESIAN_POINT('',(2.36,-4.,0.1));
#63027=CARTESIAN_POINT('Origin',(2.36,-4.5,0.1));
#63028=CARTESIAN_POINT('',(2.36,-4.5,0.1));
#63029=CARTESIAN_POINT('Origin',(2.36,-4.5,-8.326672684689E-17));
#63030=CARTESIAN_POINT('',(2.36,-4.5,-8.326672684689E-17));
#63031=CARTESIAN_POINT('Origin',(2.36,-4.,-8.326672684689E-17));
#63032=CARTESIAN_POINT('',(2.36,-4.,-8.326672684689E-17));
#63033=CARTESIAN_POINT('Origin',(2.36,-4.,0.2));
#63034=CARTESIAN_POINT('',(2.36,-3.8,0.2));
#63035=CARTESIAN_POINT('Origin',(2.36,-3.8,0.65));
#63036=CARTESIAN_POINT('Origin',(-2.14,-3.7,0.85));
#63037=CARTESIAN_POINT('',(-2.14,-3.7,0.85));
#63038=CARTESIAN_POINT('Origin',(-2.14,-3.7,0.65));
#63039=CARTESIAN_POINT('',(-2.14,-3.9,0.65));
#63040=CARTESIAN_POINT('Origin',(-2.14,-3.9,0.2));
#63041=CARTESIAN_POINT('',(-2.14,-3.9,0.2));
#63042=CARTESIAN_POINT('Origin',(-2.14,-4.,0.2));
#63043=CARTESIAN_POINT('',(-2.14,-4.,0.1));
#63044=CARTESIAN_POINT('Origin',(-2.14,-4.5,0.1));
#63045=CARTESIAN_POINT('',(-2.14,-4.5,0.1));
#63046=CARTESIAN_POINT('Origin',(-2.14,-4.5,-8.326672684689E-17));
#63047=CARTESIAN_POINT('',(-2.14,-4.5,-8.326672684689E-17));
#63048=CARTESIAN_POINT('Origin',(-2.14,-4.,-8.326672684689E-17));
#63049=CARTESIAN_POINT('',(-2.14,-4.,-8.326672684689E-17));
#63050=CARTESIAN_POINT('Origin',(-2.14,-4.,0.2));
#63051=CARTESIAN_POINT('',(-2.14,-3.8,0.2));
#63052=CARTESIAN_POINT('Origin',(-2.14,-3.8,0.65));
#63053=CARTESIAN_POINT('Origin',(1.86,-3.7,0.85));
#63054=CARTESIAN_POINT('',(1.86,-3.7,0.85));
#63055=CARTESIAN_POINT('Origin',(1.86,-3.7,0.65));
#63056=CARTESIAN_POINT('',(1.86,-3.9,0.65));
#63057=CARTESIAN_POINT('Origin',(1.86,-3.9,0.2));
#63058=CARTESIAN_POINT('',(1.86,-3.9,0.2));
#63059=CARTESIAN_POINT('Origin',(1.86,-4.,0.2));
#63060=CARTESIAN_POINT('',(1.86,-4.,0.1));
#63061=CARTESIAN_POINT('Origin',(1.86,-4.5,0.1));
#63062=CARTESIAN_POINT('',(1.86,-4.5,0.1));
#63063=CARTESIAN_POINT('Origin',(1.86,-4.5,-8.326672684689E-17));
#63064=CARTESIAN_POINT('',(1.86,-4.5,-8.326672684689E-17));
#63065=CARTESIAN_POINT('Origin',(1.86,-4.,-8.326672684689E-17));
#63066=CARTESIAN_POINT('',(1.86,-4.,-8.326672684689E-17));
#63067=CARTESIAN_POINT('Origin',(1.86,-4.,0.2));
#63068=CARTESIAN_POINT('',(1.86,-3.8,0.2));
#63069=CARTESIAN_POINT('Origin',(1.86,-3.8,0.65));
#63070=CARTESIAN_POINT('Origin',(-1.64,-3.7,0.85));
#63071=CARTESIAN_POINT('',(-1.64,-3.7,0.85));
#63072=CARTESIAN_POINT('Origin',(-1.64,-3.7,0.65));
#63073=CARTESIAN_POINT('',(-1.64,-3.9,0.65));
#63074=CARTESIAN_POINT('Origin',(-1.64,-3.9,0.2));
#63075=CARTESIAN_POINT('',(-1.64,-3.9,0.2));
#63076=CARTESIAN_POINT('Origin',(-1.64,-4.,0.2));
#63077=CARTESIAN_POINT('',(-1.64,-4.,0.1));
#63078=CARTESIAN_POINT('Origin',(-1.64,-4.5,0.1));
#63079=CARTESIAN_POINT('',(-1.64,-4.5,0.1));
#63080=CARTESIAN_POINT('Origin',(-1.64,-4.5,-8.326672684689E-17));
#63081=CARTESIAN_POINT('',(-1.64,-4.5,-8.326672684689E-17));
#63082=CARTESIAN_POINT('Origin',(-1.64,-4.,-8.326672684689E-17));
#63083=CARTESIAN_POINT('',(-1.64,-4.,-8.326672684689E-17));
#63084=CARTESIAN_POINT('Origin',(-1.64,-4.,0.2));
#63085=CARTESIAN_POINT('',(-1.64,-3.8,0.2));
#63086=CARTESIAN_POINT('Origin',(-1.64,-3.8,0.65));
#63087=CARTESIAN_POINT('Origin',(1.36,-3.7,0.85));
#63088=CARTESIAN_POINT('',(1.36,-3.7,0.85));
#63089=CARTESIAN_POINT('Origin',(1.36,-3.7,0.65));
#63090=CARTESIAN_POINT('',(1.36,-3.9,0.65));
#63091=CARTESIAN_POINT('Origin',(1.36,-3.9,0.2));
#63092=CARTESIAN_POINT('',(1.36,-3.9,0.2));
#63093=CARTESIAN_POINT('Origin',(1.36,-4.,0.2));
#63094=CARTESIAN_POINT('',(1.36,-4.,0.1));
#63095=CARTESIAN_POINT('Origin',(1.36,-4.5,0.1));
#63096=CARTESIAN_POINT('',(1.36,-4.5,0.1));
#63097=CARTESIAN_POINT('Origin',(1.36,-4.5,-8.326672684689E-17));
#63098=CARTESIAN_POINT('',(1.36,-4.5,-8.326672684689E-17));
#63099=CARTESIAN_POINT('Origin',(1.36,-4.,-8.326672684689E-17));
#63100=CARTESIAN_POINT('',(1.36,-4.,-8.326672684689E-17));
#63101=CARTESIAN_POINT('Origin',(1.36,-4.,0.2));
#63102=CARTESIAN_POINT('',(1.36,-3.8,0.2));
#63103=CARTESIAN_POINT('Origin',(1.36,-3.8,0.65));
#63104=CARTESIAN_POINT('Origin',(-1.14,-3.7,0.85));
#63105=CARTESIAN_POINT('',(-1.14,-3.7,0.85));
#63106=CARTESIAN_POINT('Origin',(-1.14,-3.7,0.65));
#63107=CARTESIAN_POINT('',(-1.14,-3.9,0.65));
#63108=CARTESIAN_POINT('Origin',(-1.14,-3.9,0.2));
#63109=CARTESIAN_POINT('',(-1.14,-3.9,0.2));
#63110=CARTESIAN_POINT('Origin',(-1.14,-4.,0.2));
#63111=CARTESIAN_POINT('',(-1.14,-4.,0.1));
#63112=CARTESIAN_POINT('Origin',(-1.14,-4.5,0.1));
#63113=CARTESIAN_POINT('',(-1.14,-4.5,0.1));
#63114=CARTESIAN_POINT('Origin',(-1.14,-4.5,-8.326672684689E-17));
#63115=CARTESIAN_POINT('',(-1.14,-4.5,-8.326672684689E-17));
#63116=CARTESIAN_POINT('Origin',(-1.14,-4.,-8.326672684689E-17));
#63117=CARTESIAN_POINT('',(-1.14,-4.,-8.326672684689E-17));
#63118=CARTESIAN_POINT('Origin',(-1.14,-4.,0.2));
#63119=CARTESIAN_POINT('',(-1.14,-3.8,0.2));
#63120=CARTESIAN_POINT('Origin',(-1.14,-3.8,0.65));
#63121=CARTESIAN_POINT('Origin',(0.86,-3.7,0.85));
#63122=CARTESIAN_POINT('',(0.86,-3.7,0.85));
#63123=CARTESIAN_POINT('Origin',(0.86,-3.7,0.65));
#63124=CARTESIAN_POINT('',(0.86,-3.9,0.65));
#63125=CARTESIAN_POINT('Origin',(0.86,-3.9,0.2));
#63126=CARTESIAN_POINT('',(0.86,-3.9,0.2));
#63127=CARTESIAN_POINT('Origin',(0.86,-4.,0.2));
#63128=CARTESIAN_POINT('',(0.86,-4.,0.1));
#63129=CARTESIAN_POINT('Origin',(0.86,-4.5,0.1));
#63130=CARTESIAN_POINT('',(0.86,-4.5,0.1));
#63131=CARTESIAN_POINT('Origin',(0.86,-4.5,-8.326672684689E-17));
#63132=CARTESIAN_POINT('',(0.86,-4.5,-8.326672684689E-17));
#63133=CARTESIAN_POINT('Origin',(0.86,-4.,-8.326672684689E-17));
#63134=CARTESIAN_POINT('',(0.86,-4.,-8.326672684689E-17));
#63135=CARTESIAN_POINT('Origin',(0.86,-4.,0.2));
#63136=CARTESIAN_POINT('',(0.86,-3.8,0.2));
#63137=CARTESIAN_POINT('Origin',(0.86,-3.8,0.65));
#63138=CARTESIAN_POINT('Origin',(-0.64,-3.7,0.85));
#63139=CARTESIAN_POINT('',(-0.64,-3.7,0.85));
#63140=CARTESIAN_POINT('Origin',(-0.64,-3.7,0.65));
#63141=CARTESIAN_POINT('',(-0.64,-3.9,0.65));
#63142=CARTESIAN_POINT('Origin',(-0.64,-3.9,0.2));
#63143=CARTESIAN_POINT('',(-0.64,-3.9,0.2));
#63144=CARTESIAN_POINT('Origin',(-0.64,-4.,0.2));
#63145=CARTESIAN_POINT('',(-0.64,-4.,0.1));
#63146=CARTESIAN_POINT('Origin',(-0.64,-4.5,0.1));
#63147=CARTESIAN_POINT('',(-0.64,-4.5,0.1));
#63148=CARTESIAN_POINT('Origin',(-0.64,-4.5,-8.326672684689E-17));
#63149=CARTESIAN_POINT('',(-0.64,-4.5,-8.326672684689E-17));
#63150=CARTESIAN_POINT('Origin',(-0.64,-4.,-8.326672684689E-17));
#63151=CARTESIAN_POINT('',(-0.64,-4.,-8.326672684689E-17));
#63152=CARTESIAN_POINT('Origin',(-0.64,-4.,0.2));
#63153=CARTESIAN_POINT('',(-0.64,-3.8,0.2));
#63154=CARTESIAN_POINT('Origin',(-0.64,-3.8,0.65));
#63155=CARTESIAN_POINT('Origin',(0.36,-3.7,0.85));
#63156=CARTESIAN_POINT('',(0.36,-3.7,0.85));
#63157=CARTESIAN_POINT('Origin',(0.36,-3.7,0.65));
#63158=CARTESIAN_POINT('',(0.36,-3.9,0.65));
#63159=CARTESIAN_POINT('Origin',(0.36,-3.9,0.2));
#63160=CARTESIAN_POINT('',(0.36,-3.9,0.2));
#63161=CARTESIAN_POINT('Origin',(0.36,-4.,0.2));
#63162=CARTESIAN_POINT('',(0.36,-4.,0.1));
#63163=CARTESIAN_POINT('Origin',(0.36,-4.5,0.1));
#63164=CARTESIAN_POINT('',(0.36,-4.5,0.1));
#63165=CARTESIAN_POINT('Origin',(0.36,-4.5,-8.326672684689E-17));
#63166=CARTESIAN_POINT('',(0.36,-4.5,-8.326672684689E-17));
#63167=CARTESIAN_POINT('Origin',(0.36,-4.,-8.326672684689E-17));
#63168=CARTESIAN_POINT('',(0.36,-4.,-8.326672684689E-17));
#63169=CARTESIAN_POINT('Origin',(0.36,-4.,0.2));
#63170=CARTESIAN_POINT('',(0.36,-3.8,0.2));
#63171=CARTESIAN_POINT('Origin',(0.36,-3.8,0.65));
#63172=CARTESIAN_POINT('Origin',(-0.14,-3.7,0.85));
#63173=CARTESIAN_POINT('',(-0.14,-3.7,0.85));
#63174=CARTESIAN_POINT('Origin',(-0.14,-3.7,0.65));
#63175=CARTESIAN_POINT('',(-0.14,-3.9,0.65));
#63176=CARTESIAN_POINT('Origin',(-0.14,-3.9,0.2));
#63177=CARTESIAN_POINT('',(-0.14,-3.9,0.2));
#63178=CARTESIAN_POINT('Origin',(-0.14,-4.,0.2));
#63179=CARTESIAN_POINT('',(-0.14,-4.,0.1));
#63180=CARTESIAN_POINT('Origin',(-0.14,-4.5,0.1));
#63181=CARTESIAN_POINT('',(-0.14,-4.5,0.1));
#63182=CARTESIAN_POINT('Origin',(-0.14,-4.5,-8.326672684689E-17));
#63183=CARTESIAN_POINT('',(-0.14,-4.5,-8.326672684689E-17));
#63184=CARTESIAN_POINT('Origin',(-0.14,-4.,-8.326672684689E-17));
#63185=CARTESIAN_POINT('',(-0.14,-4.,-8.326672684689E-17));
#63186=CARTESIAN_POINT('Origin',(-0.14,-4.,0.2));
#63187=CARTESIAN_POINT('',(-0.14,-3.8,0.2));
#63188=CARTESIAN_POINT('Origin',(-0.14,-3.8,0.65));
#63189=CARTESIAN_POINT('Origin',(-3.7,-2.86,0.65));
#63190=CARTESIAN_POINT('',(-3.9,-2.86,0.65));
#63191=CARTESIAN_POINT('Origin',(-3.9,-2.86,0.2));
#63192=CARTESIAN_POINT('',(-3.9,-2.86,0.2));
#63193=CARTESIAN_POINT('Origin',(-4.,-2.86,0.2));
#63194=CARTESIAN_POINT('',(-4.,-2.86,0.1));
#63195=CARTESIAN_POINT('Origin',(-4.5,-2.86,0.1));
#63196=CARTESIAN_POINT('',(-4.5,-2.86,0.1));
#63197=CARTESIAN_POINT('Origin',(-4.5,-2.86,-8.326672684689E-17));
#63198=CARTESIAN_POINT('',(-4.5,-2.86,-8.326672684689E-17));
#63199=CARTESIAN_POINT('Origin',(-4.,-2.86,-8.326672684689E-17));
#63200=CARTESIAN_POINT('',(-4.,-2.86,-8.326672684689E-17));
#63201=CARTESIAN_POINT('Origin',(-4.,-2.86,0.2));
#63202=CARTESIAN_POINT('',(-3.8,-2.86,0.2));
#63203=CARTESIAN_POINT('Origin',(-3.8,-2.86,0.65));
#63204=CARTESIAN_POINT('Origin',(-3.7,-2.36,0.65));
#63205=CARTESIAN_POINT('',(-3.9,-2.36,0.65));
#63206=CARTESIAN_POINT('Origin',(-3.9,-2.36,0.2));
#63207=CARTESIAN_POINT('',(-3.9,-2.36,0.2));
#63208=CARTESIAN_POINT('Origin',(-4.,-2.36,0.2));
#63209=CARTESIAN_POINT('',(-4.,-2.36,0.1));
#63210=CARTESIAN_POINT('Origin',(-4.5,-2.36,0.1));
#63211=CARTESIAN_POINT('',(-4.5,-2.36,0.1));
#63212=CARTESIAN_POINT('Origin',(-4.5,-2.36,-8.326672684689E-17));
#63213=CARTESIAN_POINT('',(-4.5,-2.36,-8.326672684689E-17));
#63214=CARTESIAN_POINT('Origin',(-4.,-2.36,-8.326672684689E-17));
#63215=CARTESIAN_POINT('',(-4.,-2.36,-8.326672684689E-17));
#63216=CARTESIAN_POINT('Origin',(-4.,-2.36,0.2));
#63217=CARTESIAN_POINT('',(-3.8,-2.36,0.2));
#63218=CARTESIAN_POINT('Origin',(-3.8,-2.36,0.65));
#63219=CARTESIAN_POINT('Origin',(-3.7,2.14,0.65));
#63220=CARTESIAN_POINT('',(-3.9,2.14,0.65));
#63221=CARTESIAN_POINT('Origin',(-3.9,2.14,0.2));
#63222=CARTESIAN_POINT('',(-3.9,2.14,0.2));
#63223=CARTESIAN_POINT('Origin',(-4.,2.14,0.2));
#63224=CARTESIAN_POINT('',(-4.,2.14,0.1));
#63225=CARTESIAN_POINT('Origin',(-4.5,2.14,0.1));
#63226=CARTESIAN_POINT('',(-4.5,2.14,0.1));
#63227=CARTESIAN_POINT('Origin',(-4.5,2.14,-8.326672684689E-17));
#63228=CARTESIAN_POINT('',(-4.5,2.14,-8.326672684689E-17));
#63229=CARTESIAN_POINT('Origin',(-4.,2.14,-8.326672684689E-17));
#63230=CARTESIAN_POINT('',(-4.,2.14,-8.326672684689E-17));
#63231=CARTESIAN_POINT('Origin',(-4.,2.14,0.2));
#63232=CARTESIAN_POINT('',(-3.8,2.14,0.2));
#63233=CARTESIAN_POINT('Origin',(-3.8,2.14,0.65));
#63234=CARTESIAN_POINT('Origin',(-3.7,-1.86,0.65));
#63235=CARTESIAN_POINT('',(-3.9,-1.86,0.65));
#63236=CARTESIAN_POINT('Origin',(-3.9,-1.86,0.2));
#63237=CARTESIAN_POINT('',(-3.9,-1.86,0.2));
#63238=CARTESIAN_POINT('Origin',(-4.,-1.86,0.2));
#63239=CARTESIAN_POINT('',(-4.,-1.86,0.1));
#63240=CARTESIAN_POINT('Origin',(-4.5,-1.86,0.1));
#63241=CARTESIAN_POINT('',(-4.5,-1.86,0.1));
#63242=CARTESIAN_POINT('Origin',(-4.5,-1.86,-8.326672684689E-17));
#63243=CARTESIAN_POINT('',(-4.5,-1.86,-8.326672684689E-17));
#63244=CARTESIAN_POINT('Origin',(-4.,-1.86,-8.326672684689E-17));
#63245=CARTESIAN_POINT('',(-4.,-1.86,-8.326672684689E-17));
#63246=CARTESIAN_POINT('Origin',(-4.,-1.86,0.2));
#63247=CARTESIAN_POINT('',(-3.8,-1.86,0.2));
#63248=CARTESIAN_POINT('Origin',(-3.8,-1.86,0.65));
#63249=CARTESIAN_POINT('Origin',(-3.7,1.64,0.65));
#63250=CARTESIAN_POINT('',(-3.9,1.64,0.65));
#63251=CARTESIAN_POINT('Origin',(-3.9,1.64,0.2));
#63252=CARTESIAN_POINT('',(-3.9,1.64,0.2));
#63253=CARTESIAN_POINT('Origin',(-4.,1.64,0.2));
#63254=CARTESIAN_POINT('',(-4.,1.64,0.1));
#63255=CARTESIAN_POINT('Origin',(-4.5,1.64,0.1));
#63256=CARTESIAN_POINT('',(-4.5,1.64,0.1));
#63257=CARTESIAN_POINT('Origin',(-4.5,1.64,-8.326672684689E-17));
#63258=CARTESIAN_POINT('',(-4.5,1.64,-8.326672684689E-17));
#63259=CARTESIAN_POINT('Origin',(-4.,1.64,-8.326672684689E-17));
#63260=CARTESIAN_POINT('',(-4.,1.64,-8.326672684689E-17));
#63261=CARTESIAN_POINT('Origin',(-4.,1.64,0.2));
#63262=CARTESIAN_POINT('',(-3.8,1.64,0.2));
#63263=CARTESIAN_POINT('Origin',(-3.8,1.64,0.65));
#63264=CARTESIAN_POINT('Origin',(-3.7,-1.36,0.65));
#63265=CARTESIAN_POINT('',(-3.9,-1.36,0.65));
#63266=CARTESIAN_POINT('Origin',(-3.9,-1.36,0.2));
#63267=CARTESIAN_POINT('',(-3.9,-1.36,0.2));
#63268=CARTESIAN_POINT('Origin',(-4.,-1.36,0.2));
#63269=CARTESIAN_POINT('',(-4.,-1.36,0.1));
#63270=CARTESIAN_POINT('Origin',(-4.5,-1.36,0.1));
#63271=CARTESIAN_POINT('',(-4.5,-1.36,0.1));
#63272=CARTESIAN_POINT('Origin',(-4.5,-1.36,-8.326672684689E-17));
#63273=CARTESIAN_POINT('',(-4.5,-1.36,-8.326672684689E-17));
#63274=CARTESIAN_POINT('Origin',(-4.,-1.36,-8.326672684689E-17));
#63275=CARTESIAN_POINT('',(-4.,-1.36,-8.326672684689E-17));
#63276=CARTESIAN_POINT('Origin',(-4.,-1.36,0.2));
#63277=CARTESIAN_POINT('',(-3.8,-1.36,0.2));
#63278=CARTESIAN_POINT('Origin',(-3.8,-1.36,0.65));
#63279=CARTESIAN_POINT('Origin',(-3.7,1.14,0.65));
#63280=CARTESIAN_POINT('',(-3.9,1.14,0.65));
#63281=CARTESIAN_POINT('Origin',(-3.9,1.14,0.2));
#63282=CARTESIAN_POINT('',(-3.9,1.14,0.2));
#63283=CARTESIAN_POINT('Origin',(-4.,1.14,0.2));
#63284=CARTESIAN_POINT('',(-4.,1.14,0.1));
#63285=CARTESIAN_POINT('Origin',(-4.5,1.14,0.1));
#63286=CARTESIAN_POINT('',(-4.5,1.14,0.1));
#63287=CARTESIAN_POINT('Origin',(-4.5,1.14,-8.326672684689E-17));
#63288=CARTESIAN_POINT('',(-4.5,1.14,-8.326672684689E-17));
#63289=CARTESIAN_POINT('Origin',(-4.,1.14,-8.326672684689E-17));
#63290=CARTESIAN_POINT('',(-4.,1.14,-8.326672684689E-17));
#63291=CARTESIAN_POINT('Origin',(-4.,1.14,0.2));
#63292=CARTESIAN_POINT('',(-3.8,1.14,0.2));
#63293=CARTESIAN_POINT('Origin',(-3.8,1.14,0.65));
#63294=CARTESIAN_POINT('Origin',(-3.7,-0.86,0.65));
#63295=CARTESIAN_POINT('',(-3.9,-0.86,0.65));
#63296=CARTESIAN_POINT('Origin',(-3.9,-0.86,0.2));
#63297=CARTESIAN_POINT('',(-3.9,-0.86,0.2));
#63298=CARTESIAN_POINT('Origin',(-4.,-0.86,0.2));
#63299=CARTESIAN_POINT('',(-4.,-0.86,0.1));
#63300=CARTESIAN_POINT('Origin',(-4.5,-0.86,0.1));
#63301=CARTESIAN_POINT('',(-4.5,-0.86,0.1));
#63302=CARTESIAN_POINT('Origin',(-4.5,-0.86,-8.326672684689E-17));
#63303=CARTESIAN_POINT('',(-4.5,-0.86,-8.326672684689E-17));
#63304=CARTESIAN_POINT('Origin',(-4.,-0.86,-8.326672684689E-17));
#63305=CARTESIAN_POINT('',(-4.,-0.86,-8.326672684689E-17));
#63306=CARTESIAN_POINT('Origin',(-4.,-0.86,0.2));
#63307=CARTESIAN_POINT('',(-3.8,-0.86,0.2));
#63308=CARTESIAN_POINT('Origin',(-3.8,-0.86,0.65));
#63309=CARTESIAN_POINT('Origin',(-3.7,0.64,0.65));
#63310=CARTESIAN_POINT('',(-3.9,0.64,0.65));
#63311=CARTESIAN_POINT('Origin',(-3.9,0.64,0.2));
#63312=CARTESIAN_POINT('',(-3.9,0.64,0.2));
#63313=CARTESIAN_POINT('Origin',(-4.,0.64,0.2));
#63314=CARTESIAN_POINT('',(-4.,0.64,0.1));
#63315=CARTESIAN_POINT('Origin',(-4.5,0.64,0.1));
#63316=CARTESIAN_POINT('',(-4.5,0.64,0.1));
#63317=CARTESIAN_POINT('Origin',(-4.5,0.64,-8.326672684689E-17));
#63318=CARTESIAN_POINT('',(-4.5,0.64,-8.326672684689E-17));
#63319=CARTESIAN_POINT('Origin',(-4.,0.64,-8.326672684689E-17));
#63320=CARTESIAN_POINT('',(-4.,0.64,-8.326672684689E-17));
#63321=CARTESIAN_POINT('Origin',(-4.,0.64,0.2));
#63322=CARTESIAN_POINT('',(-3.8,0.64,0.2));
#63323=CARTESIAN_POINT('Origin',(-3.8,0.64,0.65));
#63324=CARTESIAN_POINT('Origin',(-3.7,-0.36,0.65));
#63325=CARTESIAN_POINT('',(-3.9,-0.36,0.65));
#63326=CARTESIAN_POINT('Origin',(-3.9,-0.36,0.2));
#63327=CARTESIAN_POINT('',(-3.9,-0.36,0.2));
#63328=CARTESIAN_POINT('Origin',(-4.,-0.36,0.2));
#63329=CARTESIAN_POINT('',(-4.,-0.36,0.1));
#63330=CARTESIAN_POINT('Origin',(-4.5,-0.36,0.1));
#63331=CARTESIAN_POINT('',(-4.5,-0.36,0.1));
#63332=CARTESIAN_POINT('Origin',(-4.5,-0.36,-8.326672684689E-17));
#63333=CARTESIAN_POINT('',(-4.5,-0.36,-8.326672684689E-17));
#63334=CARTESIAN_POINT('Origin',(-4.,-0.36,-8.326672684689E-17));
#63335=CARTESIAN_POINT('',(-4.,-0.36,-8.326672684689E-17));
#63336=CARTESIAN_POINT('Origin',(-4.,-0.36,0.2));
#63337=CARTESIAN_POINT('',(-3.8,-0.36,0.2));
#63338=CARTESIAN_POINT('Origin',(-3.8,-0.36,0.65));
#63339=CARTESIAN_POINT('Origin',(-3.7,0.14,0.65));
#63340=CARTESIAN_POINT('',(-3.9,0.14,0.65));
#63341=CARTESIAN_POINT('Origin',(-3.9,0.14,0.2));
#63342=CARTESIAN_POINT('',(-3.9,0.14,0.2));
#63343=CARTESIAN_POINT('Origin',(-4.,0.14,0.2));
#63344=CARTESIAN_POINT('',(-4.,0.14,0.1));
#63345=CARTESIAN_POINT('Origin',(-4.5,0.14,0.1));
#63346=CARTESIAN_POINT('',(-4.5,0.14,0.1));
#63347=CARTESIAN_POINT('Origin',(-4.5,0.14,-8.326672684689E-17));
#63348=CARTESIAN_POINT('',(-4.5,0.14,-8.326672684689E-17));
#63349=CARTESIAN_POINT('Origin',(-4.,0.14,-8.326672684689E-17));
#63350=CARTESIAN_POINT('',(-4.,0.14,-8.326672684689E-17));
#63351=CARTESIAN_POINT('Origin',(-4.,0.14,0.2));
#63352=CARTESIAN_POINT('',(-3.8,0.14,0.2));
#63353=CARTESIAN_POINT('Origin',(-3.8,0.14,0.65));
#63354=CARTESIAN_POINT('Origin',(-2.586838234914,2.586838234914,1.4));
#63355=CARTESIAN_POINT('',(0.,0.,0.));
#63356=CARTESIAN_POINT('',(0.,0.,0.));
#63357=CARTESIAN_POINT('',(0.,0.,0.));
#63358=CARTESIAN_POINT('Origin',(-1.656540344923,3.156540344923,0.1));
#63359=CARTESIAN_POINT('',(1.656540344923,3.156540344923,0.1));
#63360=CARTESIAN_POINT('',(-1.656540344923,3.156540344923,0.1));
#63361=CARTESIAN_POINT('',(1.656540344923,3.156540344923,0.1));
#63362=CARTESIAN_POINT('',(1.656540344923,-3.156540344923,0.1));
#63363=CARTESIAN_POINT('',(1.656540344923,-3.156540344923,0.1));
#63364=CARTESIAN_POINT('',(-1.656540344923,-3.156540344923,0.1));
#63365=CARTESIAN_POINT('',(-1.656540344923,-3.156540344923,0.1));
#63366=CARTESIAN_POINT('',(-1.656540344923,3.156540344923,0.1));
#63367=CARTESIAN_POINT('Origin',(-1.656540344923,0.,0.1));
#63368=CARTESIAN_POINT('',(-1.75,-3.25,0.765));
#63369=CARTESIAN_POINT('',(-1.656540344923,-3.156540344923,0.1));
#63370=CARTESIAN_POINT('',(-1.75,-2.68345,0.765));
#63371=CARTESIAN_POINT('',(-1.75,3.25,0.765));
#63372=CARTESIAN_POINT('',(-1.75,-1.91675,0.765));
#63373=CARTESIAN_POINT('',(-1.75,3.25,0.765));
#63374=CARTESIAN_POINT('',(-1.75,-0.38335,0.765));
#63375=CARTESIAN_POINT('',(-1.75,3.25,0.765));
#63376=CARTESIAN_POINT('',(-1.75,0.38335,0.765));
#63377=CARTESIAN_POINT('',(-1.75,3.25,0.765));
#63378=CARTESIAN_POINT('',(-1.75,1.91675,0.765));
#63379=CARTESIAN_POINT('',(-1.75,3.25,0.765));
#63380=CARTESIAN_POINT('',(-1.75,2.68345,0.765));
#63381=CARTESIAN_POINT('',(-1.75,3.25,0.765));
#63382=CARTESIAN_POINT('',(-1.75,3.25,0.765));
#63383=CARTESIAN_POINT('',(-1.75,3.25,0.765));
#63384=CARTESIAN_POINT('',(-1.656540344923,3.156540344923,0.1));
#63385=CARTESIAN_POINT('Origin',(0.,-3.156540344923,0.1));
#63386=CARTESIAN_POINT('',(1.75,-3.25,0.765));
#63387=CARTESIAN_POINT('',(1.656540344923,-3.156540344923,0.1));
#63388=CARTESIAN_POINT('',(-1.75,-3.25,0.765));
#63389=CARTESIAN_POINT('Origin',(1.656540344923,0.,0.1));
#63390=CARTESIAN_POINT('',(1.75,3.25,0.765));
#63391=CARTESIAN_POINT('',(1.656540344923,3.156540344923,0.1));
#63392=CARTESIAN_POINT('',(1.75,1.15005,0.765));
#63393=CARTESIAN_POINT('',(1.75,-3.25,0.765));
#63394=CARTESIAN_POINT('',(1.75,-1.15005,0.765));
#63395=CARTESIAN_POINT('',(1.75,-3.25,0.765));
#63396=CARTESIAN_POINT('',(1.75,-3.25,0.765));
#63397=CARTESIAN_POINT('Origin',(0.,3.156540344923,0.1));
#63398=CARTESIAN_POINT('',(1.75,3.25,0.765));
#63399=CARTESIAN_POINT('Origin',(-1.75,3.25,1.035));
#63400=CARTESIAN_POINT('',(-1.75,3.25,1.035));
#63401=CARTESIAN_POINT('',(-1.75,3.25,0.765));
#63402=CARTESIAN_POINT('',(-1.75,2.68345,1.035));
#63403=CARTESIAN_POINT('',(-1.75,2.68345,0.772448497182));
#63404=CARTESIAN_POINT('',(-1.75,3.25,1.035));
#63405=CARTESIAN_POINT('Origin',(-1.75,3.25,1.035));
#63406=CARTESIAN_POINT('',(-1.75,-2.68345,1.035));
#63407=CARTESIAN_POINT('',(-1.75,-2.68345,0.772448497182));
#63408=CARTESIAN_POINT('',(-1.75,-3.25,1.035));
#63409=CARTESIAN_POINT('',(-1.75,-3.25,0.765));
#63410=CARTESIAN_POINT('',(-1.75,3.25,1.035));
#63411=CARTESIAN_POINT('Origin',(-1.72,1.91675,0.765));
#63412=CARTESIAN_POINT('',(-2.25,1.91675,0.765));
#63413=CARTESIAN_POINT('',(-1.72,1.91675,0.765));
#63414=CARTESIAN_POINT('',(-2.25,2.68345,0.765));
#63415=CARTESIAN_POINT('',(-2.25,1.91675,0.765));
#63416=CARTESIAN_POINT('',(-1.72,2.68345,0.765));
#63417=CARTESIAN_POINT('Origin',(-1.72,-2.68345,0.765));
#63418=CARTESIAN_POINT('',(-2.25,-2.68345,0.765));
#63419=CARTESIAN_POINT('',(-1.72,-2.68345,0.765));
#63420=CARTESIAN_POINT('',(-2.25,-1.91675,0.765));
#63421=CARTESIAN_POINT('',(-2.25,-2.68345,0.765));
#63422=CARTESIAN_POINT('',(-1.72,-1.91675,0.765));
#63423=CARTESIAN_POINT('Origin',(-1.75,3.25,1.035));
#63424=CARTESIAN_POINT('',(-1.75,1.91675,1.035));
#63425=CARTESIAN_POINT('',(-1.75,1.91675,0.772448497182));
#63426=CARTESIAN_POINT('',(-1.75,0.38335,1.035));
#63427=CARTESIAN_POINT('',(-1.75,0.38335,0.772448497182));
#63428=CARTESIAN_POINT('',(-1.75,3.25,1.035));
#63429=CARTESIAN_POINT('Origin',(-1.75,3.25,1.035));
#63430=CARTESIAN_POINT('',(-1.75,-0.38335,1.035));
#63431=CARTESIAN_POINT('',(-1.75,-0.38335,0.772448497182));
#63432=CARTESIAN_POINT('',(-1.75,-1.91675,1.035));
#63433=CARTESIAN_POINT('',(-1.75,-1.91675,0.772448497182));
#63434=CARTESIAN_POINT('',(-1.75,3.25,1.035));
#63435=CARTESIAN_POINT('Origin',(-1.72,-0.38335,0.765));
#63436=CARTESIAN_POINT('',(-2.25,-0.38335,0.765));
#63437=CARTESIAN_POINT('',(-1.72,-0.38335,0.765));
#63438=CARTESIAN_POINT('',(-2.25,0.38335,0.765));
#63439=CARTESIAN_POINT('',(-2.25,-0.38335,0.765));
#63440=CARTESIAN_POINT('',(-1.72,0.38335,0.765));
#63441=CARTESIAN_POINT('Origin',(-1.75,-3.25,1.035));
#63442=CARTESIAN_POINT('',(1.75,-3.25,1.035));
#63443=CARTESIAN_POINT('',(1.75,-3.25,0.765));
#63444=CARTESIAN_POINT('',(-1.75,-3.25,1.035));
#63445=CARTESIAN_POINT('Origin',(1.75,-3.25,1.035));
#63446=CARTESIAN_POINT('',(1.75,-1.15005,1.035));
#63447=CARTESIAN_POINT('',(1.75,-1.15005,0.772448497182));
#63448=CARTESIAN_POINT('',(1.75,-3.25,1.035));
#63449=CARTESIAN_POINT('Origin',(1.75,-3.25,1.035));
#63450=CARTESIAN_POINT('',(1.75,1.15005,1.035));
#63451=CARTESIAN_POINT('',(1.75,1.15005,0.772448497182));
#63452=CARTESIAN_POINT('',(1.75,3.25,1.035));
#63453=CARTESIAN_POINT('',(1.75,3.25,0.765));
#63454=CARTESIAN_POINT('',(1.75,-3.25,1.035));
#63455=CARTESIAN_POINT('Origin',(1.985,5.388313142316E-16,0.765));
#63456=CARTESIAN_POINT('',(2.25,1.15005,0.765));
#63457=CARTESIAN_POINT('',(1.72,1.15005,0.765));
#63458=CARTESIAN_POINT('',(2.25,-1.15005,0.765));
#63459=CARTESIAN_POINT('',(2.25,1.15005,0.765));
#63460=CARTESIAN_POINT('',(1.72,-1.15005,0.765));
#63461=CARTESIAN_POINT('Origin',(1.75,3.25,1.035));
#63462=CARTESIAN_POINT('',(1.75,3.25,1.035));
#63463=CARTESIAN_POINT('Origin',(-1.75,0.,1.035));
#63464=CARTESIAN_POINT('',(-1.735,3.235,1.035));
#63465=CARTESIAN_POINT('',(-1.75,3.25,1.035));
#63466=CARTESIAN_POINT('',(-1.735,2.68345,1.035));
#63467=CARTESIAN_POINT('',(-2.25,2.68345,1.035));
#63468=CARTESIAN_POINT('',(-1.735,3.235,1.035));
#63469=CARTESIAN_POINT('Origin',(-2.60537406739,2.68345,0.509896994364));
#63470=CARTESIAN_POINT('',(-2.45,2.68345,0.565));
#63471=CARTESIAN_POINT('Origin',(-2.25,2.68345,0.565));
#63472=CARTESIAN_POINT('',(-2.45,2.68345,0.47));
#63473=CARTESIAN_POINT('',(-2.45,2.68345,0.565));
#63474=CARTESIAN_POINT('',(-2.92,2.68345,1.110223024625E-16));
#63475=CARTESIAN_POINT('Origin',(-2.92,2.68345,0.47));
#63476=CARTESIAN_POINT('',(-3.5,2.68345,1.110223024625E-16));
#63477=CARTESIAN_POINT('',(-2.92,2.68345,1.110223024625E-16));
#63478=CARTESIAN_POINT('',(-3.5,2.68345,0.27));
#63479=CARTESIAN_POINT('',(-3.5,2.68345,1.110223024625E-16));
#63480=CARTESIAN_POINT('',(-2.92,2.68345,0.27));
#63481=CARTESIAN_POINT('',(-3.5,2.68345,0.27));
#63482=CARTESIAN_POINT('',(-2.72,2.68345,0.47));
#63483=CARTESIAN_POINT('Origin',(-2.92,2.68345,0.47));
#63484=CARTESIAN_POINT('',(-2.72,2.68345,0.565));
#63485=CARTESIAN_POINT('',(-2.72,2.68345,0.47));
#63486=CARTESIAN_POINT('',(-2.25,2.68345,1.035));
#63487=CARTESIAN_POINT('Origin',(-2.25,2.68345,0.565));
#63488=CARTESIAN_POINT('',(-2.25,2.68345,1.035));
#63489=CARTESIAN_POINT('Origin',(-2.60537406739,-2.68345,0.509896994364));
#63490=CARTESIAN_POINT('',(-2.25,-2.68345,1.035));
#63491=CARTESIAN_POINT('',(-2.25,-2.68345,1.035));
#63492=CARTESIAN_POINT('',(-2.72,-2.68345,0.565));
#63493=CARTESIAN_POINT('Origin',(-2.25,-2.68345,0.565));
#63494=CARTESIAN_POINT('',(-2.72,-2.68345,0.47));
#63495=CARTESIAN_POINT('',(-2.72,-2.68345,0.47));
#63496=CARTESIAN_POINT('',(-2.92,-2.68345,0.27));
#63497=CARTESIAN_POINT('Origin',(-2.92,-2.68345,0.47));
#63498=CARTESIAN_POINT('',(-3.5,-2.68345,0.27));
#63499=CARTESIAN_POINT('',(-3.5,-2.68345,0.27));
#63500=CARTESIAN_POINT('',(-3.5,-2.68345,1.110223024625E-16));
#63501=CARTESIAN_POINT('',(-3.5,-2.68345,1.110223024625E-16));
#63502=CARTESIAN_POINT('',(-2.92,-2.68345,1.110223024625E-16));
#63503=CARTESIAN_POINT('',(-2.92,-2.68345,1.110223024625E-16));
#63504=CARTESIAN_POINT('',(-2.45,-2.68345,0.47));
#63505=CARTESIAN_POINT('Origin',(-2.92,-2.68345,0.47));
#63506=CARTESIAN_POINT('',(-2.45,-2.68345,0.565));
#63507=CARTESIAN_POINT('',(-2.45,-2.68345,0.565));
#63508=CARTESIAN_POINT('Origin',(-2.25,-2.68345,0.565));
#63509=CARTESIAN_POINT('Origin',(-1.75,0.,1.035));
#63510=CARTESIAN_POINT('',(-1.735,-2.68345,1.035));
#63511=CARTESIAN_POINT('',(-2.25,-2.68345,1.035));
#63512=CARTESIAN_POINT('',(-1.735,-3.235,1.035));
#63513=CARTESIAN_POINT('',(-1.75,-3.25,1.035));
#63514=CARTESIAN_POINT('',(-1.735,3.235,1.035));
#63515=CARTESIAN_POINT('Origin',(-2.60537406739,1.91675,0.509896994364));
#63516=CARTESIAN_POINT('',(-2.25,1.91675,1.035));
#63517=CARTESIAN_POINT('',(-2.25,1.91675,1.035));
#63518=CARTESIAN_POINT('',(-2.72,1.91675,0.565));
#63519=CARTESIAN_POINT('Origin',(-2.25,1.91675,0.565));
#63520=CARTESIAN_POINT('',(-2.72,1.91675,0.47));
#63521=CARTESIAN_POINT('',(-2.72,1.91675,0.47));
#63522=CARTESIAN_POINT('',(-2.92,1.91675,0.27));
#63523=CARTESIAN_POINT('Origin',(-2.92,1.91675,0.47));
#63524=CARTESIAN_POINT('',(-3.5,1.91675,0.27));
#63525=CARTESIAN_POINT('',(-3.5,1.91675,0.27));
#63526=CARTESIAN_POINT('',(-3.5,1.91675,1.110223024625E-16));
#63527=CARTESIAN_POINT('',(-3.5,1.91675,1.110223024625E-16));
#63528=CARTESIAN_POINT('',(-2.92,1.91675,1.110223024625E-16));
#63529=CARTESIAN_POINT('',(-2.92,1.91675,1.110223024625E-16));
#63530=CARTESIAN_POINT('',(-2.45,1.91675,0.47));
#63531=CARTESIAN_POINT('Origin',(-2.92,1.91675,0.47));
#63532=CARTESIAN_POINT('',(-2.45,1.91675,0.565));
#63533=CARTESIAN_POINT('',(-2.45,1.91675,0.565));
#63534=CARTESIAN_POINT('Origin',(-2.25,1.91675,0.565));
#63535=CARTESIAN_POINT('Origin',(-2.25,1.91675,0.565));
#63536=CARTESIAN_POINT('',(-2.45,1.91675,0.565));
#63537=CARTESIAN_POINT('Origin',(-2.25,-2.68345,0.565));
#63538=CARTESIAN_POINT('',(-2.45,-1.91675,0.565));
#63539=CARTESIAN_POINT('',(-2.45,-2.68345,0.565));
#63540=CARTESIAN_POINT('Origin',(-2.25,-1.91675,0.565));
#63541=CARTESIAN_POINT('Origin',(-2.60537406739,-1.91675,0.509896994364));
#63542=CARTESIAN_POINT('',(-2.45,-1.91675,0.47));
#63543=CARTESIAN_POINT('',(-2.45,-1.91675,0.565));
#63544=CARTESIAN_POINT('',(-2.92,-1.91675,1.110223024625E-16));
#63545=CARTESIAN_POINT('Origin',(-2.92,-1.91675,0.47));
#63546=CARTESIAN_POINT('',(-3.5,-1.91675,1.110223024625E-16));
#63547=CARTESIAN_POINT('',(-2.92,-1.91675,1.110223024625E-16));
#63548=CARTESIAN_POINT('',(-3.5,-1.91675,0.27));
#63549=CARTESIAN_POINT('',(-3.5,-1.91675,1.110223024625E-16));
#63550=CARTESIAN_POINT('',(-2.92,-1.91675,0.27));
#63551=CARTESIAN_POINT('',(-3.5,-1.91675,0.27));
#63552=CARTESIAN_POINT('',(-2.72,-1.91675,0.47));
#63553=CARTESIAN_POINT('Origin',(-2.92,-1.91675,0.47));
#63554=CARTESIAN_POINT('',(-2.72,-1.91675,0.565));
#63555=CARTESIAN_POINT('',(-2.72,-1.91675,0.47));
#63556=CARTESIAN_POINT('',(-2.25,-1.91675,1.035));
#63557=CARTESIAN_POINT('Origin',(-2.25,-1.91675,0.565));
#63558=CARTESIAN_POINT('',(-2.25,-1.91675,1.035));
#63559=CARTESIAN_POINT('Origin',(-1.75,0.,1.035));
#63560=CARTESIAN_POINT('',(-1.735,1.91675,1.035));
#63561=CARTESIAN_POINT('',(-2.25,1.91675,1.035));
#63562=CARTESIAN_POINT('',(-1.735,0.38335,1.035));
#63563=CARTESIAN_POINT('',(-2.25,0.38335,1.035));
#63564=CARTESIAN_POINT('',(-1.735,3.235,1.035));
#63565=CARTESIAN_POINT('Origin',(-2.60537406739,0.38335,0.509896994364));
#63566=CARTESIAN_POINT('',(-2.45,0.38335,0.565));
#63567=CARTESIAN_POINT('Origin',(-2.25,0.38335,0.565));
#63568=CARTESIAN_POINT('',(-2.45,0.38335,0.47));
#63569=CARTESIAN_POINT('',(-2.45,0.38335,0.565));
#63570=CARTESIAN_POINT('',(-2.92,0.38335,1.110223024625E-16));
#63571=CARTESIAN_POINT('Origin',(-2.92,0.38335,0.47));
#63572=CARTESIAN_POINT('',(-3.5,0.38335,1.110223024625E-16));
#63573=CARTESIAN_POINT('',(-2.92,0.38335,1.110223024625E-16));
#63574=CARTESIAN_POINT('',(-3.5,0.38335,0.27));
#63575=CARTESIAN_POINT('',(-3.5,0.38335,1.110223024625E-16));
#63576=CARTESIAN_POINT('',(-2.92,0.38335,0.27));
#63577=CARTESIAN_POINT('',(-3.5,0.38335,0.27));
#63578=CARTESIAN_POINT('',(-2.72,0.38335,0.47));
#63579=CARTESIAN_POINT('Origin',(-2.92,0.38335,0.47));
#63580=CARTESIAN_POINT('',(-2.72,0.38335,0.565));
#63581=CARTESIAN_POINT('',(-2.72,0.38335,0.47));
#63582=CARTESIAN_POINT('',(-2.25,0.38335,1.035));
#63583=CARTESIAN_POINT('Origin',(-2.25,0.38335,0.565));
#63584=CARTESIAN_POINT('',(-2.25,0.38335,1.035));
#63585=CARTESIAN_POINT('Origin',(-2.60537406739,-0.38335,0.509896994364));
#63586=CARTESIAN_POINT('',(-2.25,-0.38335,1.035));
#63587=CARTESIAN_POINT('',(-2.25,-0.38335,1.035));
#63588=CARTESIAN_POINT('',(-2.72,-0.38335,0.565));
#63589=CARTESIAN_POINT('Origin',(-2.25,-0.38335,0.565));
#63590=CARTESIAN_POINT('',(-2.72,-0.38335,0.47));
#63591=CARTESIAN_POINT('',(-2.72,-0.38335,0.47));
#63592=CARTESIAN_POINT('',(-2.92,-0.38335,0.27));
#63593=CARTESIAN_POINT('Origin',(-2.92,-0.38335,0.47));
#63594=CARTESIAN_POINT('',(-3.5,-0.38335,0.27));
#63595=CARTESIAN_POINT('',(-3.5,-0.38335,0.27));
#63596=CARTESIAN_POINT('',(-3.5,-0.38335,1.110223024625E-16));
#63597=CARTESIAN_POINT('',(-3.5,-0.38335,1.110223024625E-16));
#63598=CARTESIAN_POINT('',(-2.92,-0.38335,1.110223024625E-16));
#63599=CARTESIAN_POINT('',(-2.92,-0.38335,1.110223024625E-16));
#63600=CARTESIAN_POINT('',(-2.45,-0.38335,0.47));
#63601=CARTESIAN_POINT('Origin',(-2.92,-0.38335,0.47));
#63602=CARTESIAN_POINT('',(-2.45,-0.38335,0.565));
#63603=CARTESIAN_POINT('',(-2.45,-0.38335,0.565));
#63604=CARTESIAN_POINT('Origin',(-2.25,-0.38335,0.565));
#63605=CARTESIAN_POINT('Origin',(-1.75,0.,1.035));
#63606=CARTESIAN_POINT('',(-1.735,-0.38335,1.035));
#63607=CARTESIAN_POINT('',(-2.25,-0.38335,1.035));
#63608=CARTESIAN_POINT('',(-1.735,-1.91675,1.035));
#63609=CARTESIAN_POINT('',(-2.25,-1.91675,1.035));
#63610=CARTESIAN_POINT('',(-1.735,3.235,1.035));
#63611=CARTESIAN_POINT('Origin',(-2.25,-0.38335,0.565));
#63612=CARTESIAN_POINT('',(-2.45,-0.38335,0.565));
#63613=CARTESIAN_POINT('Origin',(0.,-3.25,1.035));
#63614=CARTESIAN_POINT('',(1.735,-3.235,1.035));
#63615=CARTESIAN_POINT('',(1.75,-3.25,1.035));
#63616=CARTESIAN_POINT('',(-1.735,-3.235,1.035));
#63617=CARTESIAN_POINT('Origin',(1.75,0.,1.035));
#63618=CARTESIAN_POINT('',(1.735,-1.15005,1.035));
#63619=CARTESIAN_POINT('',(2.25,-1.15005,1.035));
#63620=CARTESIAN_POINT('',(1.735,-3.235,1.035));
#63621=CARTESIAN_POINT('Origin',(2.60537406739,-1.15005,0.509896994364));
#63622=CARTESIAN_POINT('',(2.45,-1.15005,0.565));
#63623=CARTESIAN_POINT('Origin',(2.25,-1.15005,0.565));
#63624=CARTESIAN_POINT('',(2.45,-1.15005,0.47));
#63625=CARTESIAN_POINT('',(2.45,-1.15005,0.565));
#63626=CARTESIAN_POINT('',(2.92,-1.15005,1.110223024625E-16));
#63627=CARTESIAN_POINT('Origin',(2.92,-1.15005,0.47));
#63628=CARTESIAN_POINT('',(3.5,-1.15005,1.110223024625E-16));
#63629=CARTESIAN_POINT('',(2.92,-1.15005,1.110223024625E-16));
#63630=CARTESIAN_POINT('',(3.5,-1.15005,0.27));
#63631=CARTESIAN_POINT('',(3.5,-1.15005,1.110223024625E-16));
#63632=CARTESIAN_POINT('',(2.92,-1.15005,0.27));
#63633=CARTESIAN_POINT('',(3.5,-1.15005,0.27));
#63634=CARTESIAN_POINT('',(2.72,-1.15005,0.47));
#63635=CARTESIAN_POINT('Origin',(2.92,-1.15005,0.47));
#63636=CARTESIAN_POINT('',(2.72,-1.15005,0.565));
#63637=CARTESIAN_POINT('',(2.72,-1.15005,0.47));
#63638=CARTESIAN_POINT('',(2.25,-1.15005,1.035));
#63639=CARTESIAN_POINT('Origin',(2.25,-1.15005,0.565));
#63640=CARTESIAN_POINT('',(2.25,-1.15005,1.035));
#63641=CARTESIAN_POINT('Origin',(2.60537406739,1.15005,0.509896994364));
#63642=CARTESIAN_POINT('',(2.25,1.15005,1.035));
#63643=CARTESIAN_POINT('',(2.25,1.15005,1.035));
#63644=CARTESIAN_POINT('',(2.72,1.15005,0.565));
#63645=CARTESIAN_POINT('Origin',(2.25,1.15005,0.565));
#63646=CARTESIAN_POINT('',(2.72,1.15005,0.47));
#63647=CARTESIAN_POINT('',(2.72,1.15005,0.47));
#63648=CARTESIAN_POINT('',(2.92,1.15005,0.27));
#63649=CARTESIAN_POINT('Origin',(2.92,1.15005,0.47));
#63650=CARTESIAN_POINT('',(3.5,1.15005,0.27));
#63651=CARTESIAN_POINT('',(3.5,1.15005,0.27));
#63652=CARTESIAN_POINT('',(3.5,1.15005,1.110223024625E-16));
#63653=CARTESIAN_POINT('',(3.5,1.15005,1.110223024625E-16));
#63654=CARTESIAN_POINT('',(2.92,1.15005,1.110223024625E-16));
#63655=CARTESIAN_POINT('',(2.92,1.15005,1.110223024625E-16));
#63656=CARTESIAN_POINT('',(2.45,1.15005,0.47));
#63657=CARTESIAN_POINT('Origin',(2.92,1.15005,0.47));
#63658=CARTESIAN_POINT('',(2.45,1.15005,0.565));
#63659=CARTESIAN_POINT('',(2.45,1.15005,0.565));
#63660=CARTESIAN_POINT('Origin',(2.25,1.15005,0.565));
#63661=CARTESIAN_POINT('Origin',(1.75,0.,1.035));
#63662=CARTESIAN_POINT('',(1.735,1.15005,1.035));
#63663=CARTESIAN_POINT('',(2.25,1.15005,1.035));
#63664=CARTESIAN_POINT('',(1.735,3.235,1.035));
#63665=CARTESIAN_POINT('',(1.75,3.25,1.035));
#63666=CARTESIAN_POINT('',(1.735,-3.235,1.035));
#63667=CARTESIAN_POINT('Origin',(2.25,1.15005,0.565));
#63668=CARTESIAN_POINT('',(2.45,-0.38335,0.565));
#63669=CARTESIAN_POINT('Origin',(0.,3.25,1.035));
#63670=CARTESIAN_POINT('',(1.735,3.235,1.035));
#63671=CARTESIAN_POINT('Origin',(-1.735,0.,1.035));
#63672=CARTESIAN_POINT('',(-1.735,3.235,1.035));
#63673=CARTESIAN_POINT('',(-1.735,3.235,1.035));
#63674=CARTESIAN_POINT('',(-1.735,3.235,1.035));
#63675=CARTESIAN_POINT('',(-1.641540344923,-3.141540344923,1.7));
#63676=CARTESIAN_POINT('',(-1.735,-3.235,1.035));
#63677=CARTESIAN_POINT('',(-1.641540344923,3.141540344923,1.7));
#63678=CARTESIAN_POINT('',(-1.641540344923,3.141540344923,1.7));
#63679=CARTESIAN_POINT('',(-1.735,3.235,1.035));
#63680=CARTESIAN_POINT('Origin',(-2.25,1.91675,1.035));
#63681=CARTESIAN_POINT('',(-2.25,1.91675,1.035));
#63682=CARTESIAN_POINT('Origin',(-2.25,1.91675,0.565));
#63683=CARTESIAN_POINT('',(-2.72,1.91675,0.565));
#63684=CARTESIAN_POINT('Origin',(-2.72,1.91675,0.47));
#63685=CARTESIAN_POINT('',(-2.72,1.91675,0.47));
#63686=CARTESIAN_POINT('Origin',(-2.92,1.91675,0.47));
#63687=CARTESIAN_POINT('',(-2.92,1.91675,0.27));
#63688=CARTESIAN_POINT('Origin',(-3.5,1.91675,0.27));
#63689=CARTESIAN_POINT('',(-3.5,1.91675,0.27));
#63690=CARTESIAN_POINT('Origin',(-3.5,1.91675,1.110223024625E-16));
#63691=CARTESIAN_POINT('',(-3.5,1.91675,1.110223024625E-16));
#63692=CARTESIAN_POINT('Origin',(-2.92,1.91675,1.110223024625E-16));
#63693=CARTESIAN_POINT('',(-2.92,1.91675,1.110223024625E-16));
#63694=CARTESIAN_POINT('Origin',(-2.92,1.91675,0.47));
#63695=CARTESIAN_POINT('',(-2.45,1.91675,0.47));
#63696=CARTESIAN_POINT('Origin',(-2.45,1.91675,0.565));
#63697=CARTESIAN_POINT('Origin',(-2.25,-2.68345,1.035));
#63698=CARTESIAN_POINT('',(-2.25,-2.68345,1.035));
#63699=CARTESIAN_POINT('Origin',(-2.25,-2.68345,0.565));
#63700=CARTESIAN_POINT('',(-2.72,-2.68345,0.565));
#63701=CARTESIAN_POINT('Origin',(-2.72,-2.68345,0.47));
#63702=CARTESIAN_POINT('',(-2.72,-2.68345,0.47));
#63703=CARTESIAN_POINT('Origin',(-2.92,-2.68345,0.47));
#63704=CARTESIAN_POINT('',(-2.92,-2.68345,0.27));
#63705=CARTESIAN_POINT('Origin',(-3.5,-2.68345,0.27));
#63706=CARTESIAN_POINT('',(-3.5,-2.68345,0.27));
#63707=CARTESIAN_POINT('Origin',(-3.5,-2.68345,1.110223024625E-16));
#63708=CARTESIAN_POINT('',(-3.5,-2.68345,1.110223024625E-16));
#63709=CARTESIAN_POINT('Origin',(-2.92,-2.68345,1.110223024625E-16));
#63710=CARTESIAN_POINT('',(-2.92,-2.68345,1.110223024625E-16));
#63711=CARTESIAN_POINT('Origin',(-2.92,-2.68345,0.47));
#63712=CARTESIAN_POINT('',(-2.45,-2.68345,0.47));
#63713=CARTESIAN_POINT('Origin',(-2.45,-2.68345,0.565));
#63714=CARTESIAN_POINT('Origin',(-2.25,-0.38335,1.035));
#63715=CARTESIAN_POINT('',(-2.25,-0.38335,1.035));
#63716=CARTESIAN_POINT('Origin',(-2.25,-0.38335,0.565));
#63717=CARTESIAN_POINT('',(-2.72,-0.38335,0.565));
#63718=CARTESIAN_POINT('Origin',(-2.72,-0.38335,0.47));
#63719=CARTESIAN_POINT('',(-2.72,-0.38335,0.47));
#63720=CARTESIAN_POINT('Origin',(-2.92,-0.38335,0.47));
#63721=CARTESIAN_POINT('',(-2.92,-0.38335,0.27));
#63722=CARTESIAN_POINT('Origin',(-3.5,-0.38335,0.27));
#63723=CARTESIAN_POINT('',(-3.5,-0.38335,0.27));
#63724=CARTESIAN_POINT('Origin',(-3.5,-0.38335,1.110223024625E-16));
#63725=CARTESIAN_POINT('',(-3.5,-0.38335,1.110223024625E-16));
#63726=CARTESIAN_POINT('Origin',(-2.92,-0.38335,1.110223024625E-16));
#63727=CARTESIAN_POINT('',(-2.92,-0.38335,1.110223024625E-16));
#63728=CARTESIAN_POINT('Origin',(-2.92,-0.38335,0.47));
#63729=CARTESIAN_POINT('',(-2.45,-0.38335,0.47));
#63730=CARTESIAN_POINT('Origin',(-2.45,-0.38335,0.565));
#63731=CARTESIAN_POINT('Origin',(0.,-3.235,1.035));
#63732=CARTESIAN_POINT('',(1.641540344923,-3.141540344923,1.7));
#63733=CARTESIAN_POINT('',(1.735,-3.235,1.035));
#63734=CARTESIAN_POINT('',(-1.641540344923,-3.141540344923,1.7));
#63735=CARTESIAN_POINT('Origin',(1.735,0.,1.035));
#63736=CARTESIAN_POINT('',(1.735,-3.235,1.035));
#63737=CARTESIAN_POINT('',(1.641540344923,3.141540344923,1.7));
#63738=CARTESIAN_POINT('',(1.735,3.235,1.035));
#63739=CARTESIAN_POINT('',(1.641540344923,-3.141540344923,1.7));
#63740=CARTESIAN_POINT('Origin',(1.985,5.780604236138E-16,1.035));
#63741=CARTESIAN_POINT('',(2.25,-0.38335,1.035));
#63742=CARTESIAN_POINT('Origin',(2.25,1.15005,0.565));
#63743=CARTESIAN_POINT('',(2.72,1.15005,0.565));
#63744=CARTESIAN_POINT('Origin',(2.72,6.734922233518E-16,0.5175));
#63745=CARTESIAN_POINT('',(2.72,-0.38335,0.47));
#63746=CARTESIAN_POINT('Origin',(2.92,1.15005,0.47));
#63747=CARTESIAN_POINT('',(2.92,1.15005,0.27));
#63748=CARTESIAN_POINT('Origin',(3.21,8.091333620657E-16,0.27));
#63749=CARTESIAN_POINT('',(3.5,1.15005,0.27));
#63750=CARTESIAN_POINT('Origin',(3.5,8.851502638297E-16,0.135));
#63751=CARTESIAN_POINT('',(3.5,1.15005,1.110223024625E-16));
#63752=CARTESIAN_POINT('Origin',(3.21,8.476814341682E-16,1.110223024625E-16));
#63753=CARTESIAN_POINT('',(2.92,-0.38335,1.110223024625E-16));
#63754=CARTESIAN_POINT('Origin',(2.92,1.15005,0.47));
#63755=CARTESIAN_POINT('',(2.45,1.15005,0.47));
#63756=CARTESIAN_POINT('Origin',(2.45,6.135401800221E-16,0.5175));
#63757=CARTESIAN_POINT('Origin',(0.,3.235,1.035));
#63758=CARTESIAN_POINT('',(1.641540344923,3.141540344923,1.7));
#63759=CARTESIAN_POINT('Origin',(-1.641540344923,3.141540344923,1.7));
#63760=CARTESIAN_POINT('',(0.,0.,0.));
#63761=CARTESIAN_POINT('',(0.,0.,0.));
#63762=CARTESIAN_POINT('',(0.,0.,0.));
#63763=CARTESIAN_POINT('Origin',(1.65,-4.25,4.1));
#63764=CARTESIAN_POINT('',(1.65,-4.25,4.1));
#63765=CARTESIAN_POINT('',(0.65,-4.25,4.1));
#63766=CARTESIAN_POINT('Origin',(1.15,-4.25,4.1));
#63767=CARTESIAN_POINT('Origin',(1.15,-4.25,4.1));
#63768=CARTESIAN_POINT('Origin',(1.15,-4.25,3.5));
#63769=CARTESIAN_POINT('',(1.65,-4.25,3.6));
#63770=CARTESIAN_POINT('',(0.65,-4.25,3.6));
#63771=CARTESIAN_POINT('Origin',(1.15,-4.25,3.6));
#63772=CARTESIAN_POINT('Origin',(1.15,-4.25,3.6));
#63773=CARTESIAN_POINT('',(0.65,-4.25,3.5));
#63774=CARTESIAN_POINT('Origin',(0.15,-5.25,3.6));
#63775=CARTESIAN_POINT('',(0.45,-5.25,3.6));
#63776=CARTESIAN_POINT('',(5.85,-5.25,3.6));
#63777=CARTESIAN_POINT('',(0.15,-5.25,3.6));
#63778=CARTESIAN_POINT('',(6.15,-4.95,3.6));
#63779=CARTESIAN_POINT('Origin',(5.85,-4.95,3.6));
#63780=CARTESIAN_POINT('',(6.15,0.45,3.6));
#63781=CARTESIAN_POINT('',(6.15,-5.25,3.6));
#63782=CARTESIAN_POINT('',(5.85,0.75,3.6));
#63783=CARTESIAN_POINT('Origin',(5.85,0.45,3.6));
#63784=CARTESIAN_POINT('',(0.45,0.75,3.6));
#63785=CARTESIAN_POINT('',(6.15,0.75,3.6));
#63786=CARTESIAN_POINT('',(0.15,0.45,3.6));
#63787=CARTESIAN_POINT('Origin',(0.45,0.45,3.6));
#63788=CARTESIAN_POINT('',(0.15,-4.95,3.6));
#63789=CARTESIAN_POINT('',(0.15,0.75,3.6));
#63790=CARTESIAN_POINT('Origin',(0.45,-4.95,3.6));
#63791=CARTESIAN_POINT('',(5.65,-4.25,3.6));
#63792=CARTESIAN_POINT('',(4.65,-4.25,3.6));
#63793=CARTESIAN_POINT('Origin',(5.15,-4.25,3.6));
#63794=CARTESIAN_POINT('Origin',(5.15,-4.25,3.6));
#63795=CARTESIAN_POINT('',(4.9,-2.25,3.6));
#63796=CARTESIAN_POINT('',(1.4,-2.25,3.6));
#63797=CARTESIAN_POINT('Origin',(3.15,-2.25,3.6));
#63798=CARTESIAN_POINT('Origin',(3.15,-2.25,3.6));
#63799=CARTESIAN_POINT('',(1.65,-0.25,3.6));
#63800=CARTESIAN_POINT('',(0.65,-0.25,3.6));
#63801=CARTESIAN_POINT('Origin',(1.15,-0.25,3.6));
#63802=CARTESIAN_POINT('Origin',(1.15,-0.25,3.6));
#63803=CARTESIAN_POINT('',(5.65,-0.25,3.6));
#63804=CARTESIAN_POINT('',(4.65,-0.25,3.6));
#63805=CARTESIAN_POINT('Origin',(5.15,-0.25,3.6));
#63806=CARTESIAN_POINT('Origin',(5.15,-0.25,3.6));
#63807=CARTESIAN_POINT('Origin',(0.15,-5.25,3.5));
#63808=CARTESIAN_POINT('',(0.45,-5.25,3.5));
#63809=CARTESIAN_POINT('',(5.85,-5.25,3.5));
#63810=CARTESIAN_POINT('',(0.15,-5.25,3.5));
#63811=CARTESIAN_POINT('',(5.85,-5.25,3.5));
#63812=CARTESIAN_POINT('',(0.45,-5.25,3.5));
#63813=CARTESIAN_POINT('Origin',(0.45,-4.95,3.5));
#63814=CARTESIAN_POINT('',(0.15,-4.95,3.5));
#63815=CARTESIAN_POINT('',(0.15,-4.95,3.5));
#63816=CARTESIAN_POINT('Origin',(0.45,-4.95,3.5));
#63817=CARTESIAN_POINT('Origin',(5.85,-4.95,3.5));
#63818=CARTESIAN_POINT('',(6.15,-4.95,3.5));
#63819=CARTESIAN_POINT('Origin',(5.85,-4.95,3.5));
#63820=CARTESIAN_POINT('',(6.15,-4.95,3.5));
#63821=CARTESIAN_POINT('Origin',(0.15,0.75,3.5));
#63822=CARTESIAN_POINT('',(0.15,0.45,3.5));
#63823=CARTESIAN_POINT('',(0.15,0.75,3.5));
#63824=CARTESIAN_POINT('',(0.15,0.45,3.5));
#63825=CARTESIAN_POINT('Origin',(6.15,-5.25,3.5));
#63826=CARTESIAN_POINT('',(6.15,0.45,3.5));
#63827=CARTESIAN_POINT('',(6.15,-5.25,3.5));
#63828=CARTESIAN_POINT('',(6.15,0.45,3.5));
#63829=CARTESIAN_POINT('Origin',(0.45,0.45,3.5));
#63830=CARTESIAN_POINT('',(0.45,0.75,3.5));
#63831=CARTESIAN_POINT('Origin',(0.45,0.45,3.5));
#63832=CARTESIAN_POINT('',(0.45,0.75,3.5));
#63833=CARTESIAN_POINT('Origin',(5.85,0.45,3.5));
#63834=CARTESIAN_POINT('',(5.85,0.75,3.5));
#63835=CARTESIAN_POINT('Origin',(5.85,0.45,3.5));
#63836=CARTESIAN_POINT('',(5.85,0.75,3.5));
#63837=CARTESIAN_POINT('Origin',(6.15,0.75,3.5));
#63838=CARTESIAN_POINT('',(6.15,0.75,3.5));
#63839=CARTESIAN_POINT('Origin',(5.15,-4.25,3.5));
#63840=CARTESIAN_POINT('',(4.65,-4.25,4.1));
#63841=CARTESIAN_POINT('',(4.65,-4.25,3.5));
#63842=CARTESIAN_POINT('',(5.65,-4.25,4.1));
#63843=CARTESIAN_POINT('Origin',(5.15,-4.25,4.1));
#63844=CARTESIAN_POINT('Origin',(5.15,-4.25,4.1));
#63845=CARTESIAN_POINT('Origin',(3.15,-2.25,3.5));
#63846=CARTESIAN_POINT('',(1.4,-2.25,4.2));
#63847=CARTESIAN_POINT('',(1.4,-2.25,3.5));
#63848=CARTESIAN_POINT('',(4.9,-2.25,4.2));
#63849=CARTESIAN_POINT('Origin',(3.15,-2.25,4.2));
#63850=CARTESIAN_POINT('Origin',(3.15,-2.25,4.2));
#63851=CARTESIAN_POINT('Origin',(1.15,-0.25,3.5));
#63852=CARTESIAN_POINT('',(0.65,-0.25,4.1));
#63853=CARTESIAN_POINT('',(0.65,-0.25,3.5));
#63854=CARTESIAN_POINT('',(1.65,-0.25,4.1));
#63855=CARTESIAN_POINT('Origin',(1.15,-0.25,4.1));
#63856=CARTESIAN_POINT('Origin',(1.15,-0.25,4.1));
#63857=CARTESIAN_POINT('Origin',(5.15,-0.25,3.5));
#63858=CARTESIAN_POINT('',(4.65,-0.25,4.1));
#63859=CARTESIAN_POINT('',(4.65,-0.25,3.5));
#63860=CARTESIAN_POINT('',(5.65,-0.25,4.1));
#63861=CARTESIAN_POINT('Origin',(5.15,-0.25,4.1));
#63862=CARTESIAN_POINT('Origin',(5.15,-0.25,4.1));
#63863=CARTESIAN_POINT('Origin',(0.15,-5.25,0.));
#63864=CARTESIAN_POINT('',(0.45,-5.25,0.));
#63865=CARTESIAN_POINT('',(5.85,-5.25,0.));
#63866=CARTESIAN_POINT('',(0.15,-5.25,0.));
#63867=CARTESIAN_POINT('',(5.85,-5.25,0.));
#63868=CARTESIAN_POINT('',(0.45,-5.25,0.));
#63869=CARTESIAN_POINT('Origin',(0.45,-4.95,0.));
#63870=CARTESIAN_POINT('',(0.15,-4.95,0.));
#63871=CARTESIAN_POINT('',(0.15,-4.95,0.));
#63872=CARTESIAN_POINT('Origin',(0.45,-4.95,0.));
#63873=CARTESIAN_POINT('Origin',(5.85,-4.95,0.));
#63874=CARTESIAN_POINT('',(6.15,-4.95,0.));
#63875=CARTESIAN_POINT('Origin',(5.85,-4.95,0.));
#63876=CARTESIAN_POINT('',(6.15,-4.95,0.));
#63877=CARTESIAN_POINT('Origin',(0.15,0.75,0.));
#63878=CARTESIAN_POINT('',(0.15,0.45,0.));
#63879=CARTESIAN_POINT('',(0.15,0.35,0.));
#63880=CARTESIAN_POINT('',(0.15,0.75,0.));
#63881=CARTESIAN_POINT('',(0.15,0.35,1.5));
#63882=CARTESIAN_POINT('',(0.15,0.35,1.5));
#63883=CARTESIAN_POINT('',(0.15,-0.35,1.5));
#63884=CARTESIAN_POINT('',(0.15,-0.35,1.5));
#63885=CARTESIAN_POINT('',(0.15,-0.35,0.));
#63886=CARTESIAN_POINT('',(0.15,-0.35,1.5));
#63887=CARTESIAN_POINT('',(0.15,-4.15,0.));
#63888=CARTESIAN_POINT('',(0.15,0.75,0.));
#63889=CARTESIAN_POINT('',(0.15,-4.15,1.5));
#63890=CARTESIAN_POINT('',(0.15,-4.15,1.5));
#63891=CARTESIAN_POINT('',(0.15,-4.85,1.5));
#63892=CARTESIAN_POINT('',(0.15,-4.85,1.5));
#63893=CARTESIAN_POINT('',(0.15,-4.85,0.));
#63894=CARTESIAN_POINT('',(0.15,-4.85,1.5));
#63895=CARTESIAN_POINT('',(0.15,0.75,0.));
#63896=CARTESIAN_POINT('',(0.15,0.45,0.));
#63897=CARTESIAN_POINT('Origin',(6.15,-5.25,0.));
#63898=CARTESIAN_POINT('',(6.15,-4.85,0.));
#63899=CARTESIAN_POINT('',(6.15,-5.25,0.));
#63900=CARTESIAN_POINT('',(6.15,-4.85,1.5));
#63901=CARTESIAN_POINT('',(6.15,-4.85,1.5));
#63902=CARTESIAN_POINT('',(6.15,-4.15,1.5));
#63903=CARTESIAN_POINT('',(6.15,-4.85,1.5));
#63904=CARTESIAN_POINT('',(6.15,-4.15,0.));
#63905=CARTESIAN_POINT('',(6.15,-4.15,1.5));
#63906=CARTESIAN_POINT('',(6.15,-0.35,0.));
#63907=CARTESIAN_POINT('',(6.15,-5.25,0.));
#63908=CARTESIAN_POINT('',(6.15,-0.35,1.5));
#63909=CARTESIAN_POINT('',(6.15,-0.35,1.5));
#63910=CARTESIAN_POINT('',(6.15,0.35,1.5));
#63911=CARTESIAN_POINT('',(6.15,-0.35,1.5));
#63912=CARTESIAN_POINT('',(6.15,0.35,0.));
#63913=CARTESIAN_POINT('',(6.15,0.35,1.5));
#63914=CARTESIAN_POINT('',(6.15,0.45,0.));
#63915=CARTESIAN_POINT('',(6.15,-5.25,0.));
#63916=CARTESIAN_POINT('',(6.15,0.45,0.));
#63917=CARTESIAN_POINT('Origin',(0.45,0.45,0.));
#63918=CARTESIAN_POINT('',(0.45,0.75,0.));
#63919=CARTESIAN_POINT('Origin',(0.45,0.45,0.));
#63920=CARTESIAN_POINT('',(0.45,0.75,0.));
#63921=CARTESIAN_POINT('Origin',(5.85,0.45,0.));
#63922=CARTESIAN_POINT('',(5.85,0.75,0.));
#63923=CARTESIAN_POINT('Origin',(5.85,0.45,0.));
#63924=CARTESIAN_POINT('',(5.85,0.75,0.));
#63925=CARTESIAN_POINT('Origin',(6.15,0.75,0.));
#63926=CARTESIAN_POINT('',(6.15,0.75,0.));
#63927=CARTESIAN_POINT('Origin',(5.65,-4.25,4.1));
#63928=CARTESIAN_POINT('Origin',(3.15,-2.25,4.2));
#63929=CARTESIAN_POINT('',(1.5,-2.25,4.3));
#63930=CARTESIAN_POINT('Origin',(1.5,-2.25,4.2));
#63931=CARTESIAN_POINT('',(4.8,-2.25,4.3));
#63932=CARTESIAN_POINT('Origin',(3.15,-2.25,4.3));
#63933=CARTESIAN_POINT('Origin',(3.15,-2.25,4.3));
#63934=CARTESIAN_POINT('Origin',(1.65,-0.25,4.1));
#63935=CARTESIAN_POINT('Origin',(5.65,-0.25,4.1));
#63936=CARTESIAN_POINT('Origin',(0.15,-5.25,0.));
#63937=CARTESIAN_POINT('',(0.15,0.75,0.));
#63938=CARTESIAN_POINT('',(0.15,0.75,0.));
#63939=CARTESIAN_POINT('',(6.15,-5.25,0.));
#63940=CARTESIAN_POINT('',(6.15,-5.25,0.));
#63941=CARTESIAN_POINT('Origin',(-0.15,0.35,-0.5));
#63942=CARTESIAN_POINT('',(-0.162230377286,0.35,-0.540767924286));
#63943=CARTESIAN_POINT('',(-0.425000733956,0.35,-1.416669113186));
#63944=CARTESIAN_POINT('',(-0.15,0.35,-0.5));
#63945=CARTESIAN_POINT('',(-0.15,0.35,-0.457437037472));
#63946=CARTESIAN_POINT('Origin',(-0.44,0.35,-0.457437037472));
#63947=CARTESIAN_POINT('',(-0.15,0.35,1.21));
#63948=CARTESIAN_POINT('',(-0.15,0.35,-0.5));
#63949=CARTESIAN_POINT('',(0.14,0.35,1.5));
#63950=CARTESIAN_POINT('Origin',(0.14,0.35,1.21));
#63951=CARTESIAN_POINT('',(-0.15,0.35,1.5));
#63952=CARTESIAN_POINT('',(0.15,0.35,-0.457437037472));
#63953=CARTESIAN_POINT('',(0.15,0.35,1.5));
#63954=CARTESIAN_POINT('',(0.137769622714,0.35,-0.540767924286));
#63955=CARTESIAN_POINT('Origin',(-0.14,0.35,-0.457437037472));
#63956=CARTESIAN_POINT('',(-0.125000733956,0.35,-1.416669113186));
#63957=CARTESIAN_POINT('',(-0.15,0.35,-1.5));
#63958=CARTESIAN_POINT('',(-0.125000733956,0.35,-1.583330886814));
#63959=CARTESIAN_POINT('Origin',(0.152768888758,0.35,-1.5));
#63960=CARTESIAN_POINT('',(0.137769622714,0.35,-2.459232075714));
#63961=CARTESIAN_POINT('',(0.15,0.35,-2.5));
#63962=CARTESIAN_POINT('',(0.15,0.35,-2.542562962528));
#63963=CARTESIAN_POINT('Origin',(-0.14,0.35,-2.542562962528));
#63964=CARTESIAN_POINT('',(0.15,0.35,-3.5));
#63965=CARTESIAN_POINT('',(0.15,0.35,-2.5));
#63966=CARTESIAN_POINT('',(-0.15,0.35,-3.5));
#63967=CARTESIAN_POINT('',(0.15,0.35,-3.5));
#63968=CARTESIAN_POINT('',(-0.15,0.35,-2.542562962528));
#63969=CARTESIAN_POINT('',(-0.15,0.35,-3.5));
#63970=CARTESIAN_POINT('',(-0.162230377286,0.35,-2.459232075714));
#63971=CARTESIAN_POINT('Origin',(-0.44,0.35,-2.542562962528));
#63972=CARTESIAN_POINT('',(-0.425000733956,0.35,-1.583330886814));
#63973=CARTESIAN_POINT('',(-0.45,0.35,-1.5));
#63974=CARTESIAN_POINT('Origin',(-0.147231111242,0.35,-1.5));
#63975=CARTESIAN_POINT('Origin',(-0.15,-0.35,1.5));
#63976=CARTESIAN_POINT('',(0.14,-0.35,1.5));
#63977=CARTESIAN_POINT('',(-0.15,-0.35,1.5));
#63978=CARTESIAN_POINT('',(0.14,-0.35,1.5));
#63979=CARTESIAN_POINT('Origin',(-0.15,-0.35,-0.5));
#63980=CARTESIAN_POINT('',(-0.162230377286,-0.35,-0.540767924286));
#63981=CARTESIAN_POINT('',(-0.425000733956,-0.35,-1.416669113186));
#63982=CARTESIAN_POINT('',(-0.15,-0.35,-0.5));
#63983=CARTESIAN_POINT('',(-0.425000733956,-0.35,-1.583330886814));
#63984=CARTESIAN_POINT('Origin',(-0.147231111242,-0.35,-1.5));
#63985=CARTESIAN_POINT('',(-0.162230377286,-0.35,-2.459232075714));
#63986=CARTESIAN_POINT('',(-0.45,-0.35,-1.5));
#63987=CARTESIAN_POINT('',(-0.15,-0.35,-2.542562962528));
#63988=CARTESIAN_POINT('Origin',(-0.44,-0.35,-2.542562962528));
#63989=CARTESIAN_POINT('',(-0.15,-0.35,-3.5));
#63990=CARTESIAN_POINT('',(-0.15,-0.35,-3.5));
#63991=CARTESIAN_POINT('',(0.15,-0.35,-3.5));
#63992=CARTESIAN_POINT('',(0.15,-0.35,-3.5));
#63993=CARTESIAN_POINT('',(0.15,-0.35,-2.542562962528));
#63994=CARTESIAN_POINT('',(0.15,-0.35,-2.5));
#63995=CARTESIAN_POINT('',(0.137769622714,-0.35,-2.459232075714));
#63996=CARTESIAN_POINT('Origin',(-0.14,-0.35,-2.542562962528));
#63997=CARTESIAN_POINT('',(-0.125000733956,-0.35,-1.583330886814));
#63998=CARTESIAN_POINT('',(0.15,-0.35,-2.5));
#63999=CARTESIAN_POINT('',(-0.125000733956,-0.35,-1.416669113186));
#64000=CARTESIAN_POINT('Origin',(0.152768888758,-0.35,-1.5));
#64001=CARTESIAN_POINT('',(0.137769622714,-0.35,-0.540767924286));
#64002=CARTESIAN_POINT('',(-0.15,-0.35,-1.5));
#64003=CARTESIAN_POINT('',(0.15,-0.35,-0.457437037472));
#64004=CARTESIAN_POINT('Origin',(-0.14,-0.35,-0.457437037472));
#64005=CARTESIAN_POINT('',(0.15,-0.35,1.5));
#64006=CARTESIAN_POINT('',(-0.15,-0.35,1.21));
#64007=CARTESIAN_POINT('Origin',(0.14,-0.35,1.21));
#64008=CARTESIAN_POINT('',(-0.15,-0.35,-0.457437037472));
#64009=CARTESIAN_POINT('',(-0.15,-0.35,-0.5));
#64010=CARTESIAN_POINT('Origin',(-0.44,-0.35,-0.457437037472));
#64011=CARTESIAN_POINT('Origin',(-0.15,-4.85,-0.5));
#64012=CARTESIAN_POINT('',(-0.162230377286,-4.85,-0.540767924286));
#64013=CARTESIAN_POINT('',(-0.425000733956,-4.85,-1.416669113186));
#64014=CARTESIAN_POINT('',(-0.15,-4.85,-0.5));
#64015=CARTESIAN_POINT('',(-0.425000733956,-4.85,-1.583330886814));
#64016=CARTESIAN_POINT('Origin',(-0.147231111242,-4.85,-1.5));
#64017=CARTESIAN_POINT('',(-0.162230377286,-4.85,-2.459232075714));
#64018=CARTESIAN_POINT('',(-0.45,-4.85,-1.5));
#64019=CARTESIAN_POINT('',(-0.15,-4.85,-2.542562962528));
#64020=CARTESIAN_POINT('Origin',(-0.44,-4.85,-2.542562962528));
#64021=CARTESIAN_POINT('',(-0.15,-4.85,-3.5));
#64022=CARTESIAN_POINT('',(-0.15,-4.85,-3.5));
#64023=CARTESIAN_POINT('',(0.15,-4.85,-3.5));
#64024=CARTESIAN_POINT('',(0.15,-4.85,-3.5));
#64025=CARTESIAN_POINT('',(0.15,-4.85,-2.542562962528));
#64026=CARTESIAN_POINT('',(0.15,-4.85,-2.5));
#64027=CARTESIAN_POINT('',(0.137769622714,-4.85,-2.459232075714));
#64028=CARTESIAN_POINT('Origin',(-0.14,-4.85,-2.542562962528));
#64029=CARTESIAN_POINT('',(-0.125000733956,-4.85,-1.583330886814));
#64030=CARTESIAN_POINT('',(0.15,-4.85,-2.5));
#64031=CARTESIAN_POINT('',(-0.125000733956,-4.85,-1.416669113186));
#64032=CARTESIAN_POINT('Origin',(0.152768888758,-4.85,-1.5));
#64033=CARTESIAN_POINT('',(0.137769622714,-4.85,-0.540767924286));
#64034=CARTESIAN_POINT('',(-0.15,-4.85,-1.5));
#64035=CARTESIAN_POINT('',(0.15,-4.85,-0.457437037472));
#64036=CARTESIAN_POINT('Origin',(-0.14,-4.85,-0.457437037472));
#64037=CARTESIAN_POINT('',(0.15,-4.85,1.5));
#64038=CARTESIAN_POINT('',(0.14,-4.85,1.5));
#64039=CARTESIAN_POINT('',(-0.15,-4.85,1.5));
#64040=CARTESIAN_POINT('',(-0.15,-4.85,1.21));
#64041=CARTESIAN_POINT('Origin',(0.14,-4.85,1.21));
#64042=CARTESIAN_POINT('',(-0.15,-4.85,-0.457437037472));
#64043=CARTESIAN_POINT('',(-0.15,-4.85,-0.5));
#64044=CARTESIAN_POINT('Origin',(-0.44,-4.85,-0.457437037472));
#64045=CARTESIAN_POINT('Origin',(-0.15,-4.15,-0.5));
#64046=CARTESIAN_POINT('',(-0.162230377286,-4.15,-0.540767924286));
#64047=CARTESIAN_POINT('',(-0.425000733956,-4.15,-1.416669113186));
#64048=CARTESIAN_POINT('',(-0.15,-4.15,-0.5));
#64049=CARTESIAN_POINT('',(-0.15,-4.15,-0.457437037472));
#64050=CARTESIAN_POINT('Origin',(-0.44,-4.15,-0.457437037472));
#64051=CARTESIAN_POINT('',(-0.15,-4.15,1.21));
#64052=CARTESIAN_POINT('',(-0.15,-4.15,-0.5));
#64053=CARTESIAN_POINT('',(0.14,-4.15,1.5));
#64054=CARTESIAN_POINT('Origin',(0.14,-4.15,1.21));
#64055=CARTESIAN_POINT('',(-0.15,-4.15,1.5));
#64056=CARTESIAN_POINT('',(0.15,-4.15,-0.457437037472));
#64057=CARTESIAN_POINT('',(0.15,-4.15,1.5));
#64058=CARTESIAN_POINT('',(0.137769622714,-4.15,-0.540767924286));
#64059=CARTESIAN_POINT('Origin',(-0.14,-4.15,-0.457437037472));
#64060=CARTESIAN_POINT('',(-0.125000733956,-4.15,-1.416669113186));
#64061=CARTESIAN_POINT('',(-0.15,-4.15,-1.5));
#64062=CARTESIAN_POINT('',(-0.125000733956,-4.15,-1.583330886814));
#64063=CARTESIAN_POINT('Origin',(0.152768888758,-4.15,-1.5));
#64064=CARTESIAN_POINT('',(0.137769622714,-4.15,-2.459232075714));
#64065=CARTESIAN_POINT('',(0.15,-4.15,-2.5));
#64066=CARTESIAN_POINT('',(0.15,-4.15,-2.542562962528));
#64067=CARTESIAN_POINT('Origin',(-0.14,-4.15,-2.542562962528));
#64068=CARTESIAN_POINT('',(0.15,-4.15,-3.5));
#64069=CARTESIAN_POINT('',(0.15,-4.15,-2.5));
#64070=CARTESIAN_POINT('',(-0.15,-4.15,-3.5));
#64071=CARTESIAN_POINT('',(0.15,-4.15,-3.5));
#64072=CARTESIAN_POINT('',(-0.15,-4.15,-2.542562962528));
#64073=CARTESIAN_POINT('',(-0.15,-4.15,-3.5));
#64074=CARTESIAN_POINT('',(-0.162230377286,-4.15,-2.459232075714));
#64075=CARTESIAN_POINT('Origin',(-0.44,-4.15,-2.542562962528));
#64076=CARTESIAN_POINT('',(-0.425000733956,-4.15,-1.583330886814));
#64077=CARTESIAN_POINT('',(-0.45,-4.15,-1.5));
#64078=CARTESIAN_POINT('Origin',(-0.147231111242,-4.15,-1.5));
#64079=CARTESIAN_POINT('Origin',(-0.15,-4.85,1.5));
#64080=CARTESIAN_POINT('',(0.14,-4.85,1.5));
#64081=CARTESIAN_POINT('Origin',(6.45,-4.85,-0.5));
#64082=CARTESIAN_POINT('',(6.462230377286,-4.85,-0.540767924286));
#64083=CARTESIAN_POINT('',(6.725000733956,-4.85,-1.416669113186));
#64084=CARTESIAN_POINT('',(6.45,-4.85,-0.5));
#64085=CARTESIAN_POINT('',(6.45,-4.85,-0.457437037472));
#64086=CARTESIAN_POINT('Origin',(6.74,-4.85,-0.457437037472));
#64087=CARTESIAN_POINT('',(6.45,-4.85,1.21));
#64088=CARTESIAN_POINT('',(6.45,-4.85,-0.5));
#64089=CARTESIAN_POINT('',(6.16,-4.85,1.5));
#64090=CARTESIAN_POINT('Origin',(6.16,-4.85,1.21));
#64091=CARTESIAN_POINT('',(6.45,-4.85,1.5));
#64092=CARTESIAN_POINT('',(6.15,-4.85,-0.457437037472));
#64093=CARTESIAN_POINT('',(6.15,-4.85,1.5));
#64094=CARTESIAN_POINT('',(6.162230377286,-4.85,-0.540767924286));
#64095=CARTESIAN_POINT('Origin',(6.44,-4.85,-0.457437037472));
#64096=CARTESIAN_POINT('',(6.425000733956,-4.85,-1.416669113186));
#64097=CARTESIAN_POINT('',(6.45,-4.85,-1.5));
#64098=CARTESIAN_POINT('',(6.425000733956,-4.85,-1.583330886814));
#64099=CARTESIAN_POINT('Origin',(6.147231111242,-4.85,-1.5));
#64100=CARTESIAN_POINT('',(6.162230377286,-4.85,-2.459232075714));
#64101=CARTESIAN_POINT('',(6.15,-4.85,-2.5));
#64102=CARTESIAN_POINT('',(6.15,-4.85,-2.542562962528));
#64103=CARTESIAN_POINT('Origin',(6.44,-4.85,-2.542562962528));
#64104=CARTESIAN_POINT('',(6.15,-4.85,-3.5));
#64105=CARTESIAN_POINT('',(6.15,-4.85,-2.5));
#64106=CARTESIAN_POINT('',(6.45,-4.85,-3.5));
#64107=CARTESIAN_POINT('',(6.15,-4.85,-3.5));
#64108=CARTESIAN_POINT('',(6.45,-4.85,-2.542562962528));
#64109=CARTESIAN_POINT('',(6.45,-4.85,-3.5));
#64110=CARTESIAN_POINT('',(6.462230377286,-4.85,-2.459232075714));
#64111=CARTESIAN_POINT('Origin',(6.74,-4.85,-2.542562962528));
#64112=CARTESIAN_POINT('',(6.725000733956,-4.85,-1.583330886814));
#64113=CARTESIAN_POINT('',(6.75,-4.85,-1.5));
#64114=CARTESIAN_POINT('Origin',(6.447231111242,-4.85,-1.5));
#64115=CARTESIAN_POINT('Origin',(6.45,-4.85,1.5));
#64116=CARTESIAN_POINT('',(6.16,-4.15,1.5));
#64117=CARTESIAN_POINT('',(6.16,-4.85,1.5));
#64118=CARTESIAN_POINT('',(6.45,-4.15,1.5));
#64119=CARTESIAN_POINT('Origin',(6.45,-4.15,-0.5));
#64120=CARTESIAN_POINT('',(6.462230377286,-4.15,-0.540767924286));
#64121=CARTESIAN_POINT('',(6.725000733956,-4.15,-1.416669113186));
#64122=CARTESIAN_POINT('',(6.45,-4.15,-0.5));
#64123=CARTESIAN_POINT('',(6.725000733956,-4.15,-1.583330886814));
#64124=CARTESIAN_POINT('Origin',(6.447231111242,-4.15,-1.5));
#64125=CARTESIAN_POINT('',(6.462230377286,-4.15,-2.459232075714));
#64126=CARTESIAN_POINT('',(6.75,-4.15,-1.5));
#64127=CARTESIAN_POINT('',(6.45,-4.15,-2.542562962528));
#64128=CARTESIAN_POINT('Origin',(6.74,-4.15,-2.542562962528));
#64129=CARTESIAN_POINT('',(6.45,-4.15,-3.5));
#64130=CARTESIAN_POINT('',(6.45,-4.15,-3.5));
#64131=CARTESIAN_POINT('',(6.15,-4.15,-3.5));
#64132=CARTESIAN_POINT('',(6.15,-4.15,-3.5));
#64133=CARTESIAN_POINT('',(6.15,-4.15,-2.542562962528));
#64134=CARTESIAN_POINT('',(6.15,-4.15,-2.5));
#64135=CARTESIAN_POINT('',(6.162230377286,-4.15,-2.459232075714));
#64136=CARTESIAN_POINT('Origin',(6.44,-4.15,-2.542562962528));
#64137=CARTESIAN_POINT('',(6.425000733956,-4.15,-1.583330886814));
#64138=CARTESIAN_POINT('',(6.15,-4.15,-2.5));
#64139=CARTESIAN_POINT('',(6.425000733956,-4.15,-1.416669113186));
#64140=CARTESIAN_POINT('Origin',(6.147231111242,-4.15,-1.5));
#64141=CARTESIAN_POINT('',(6.162230377286,-4.15,-0.540767924286));
#64142=CARTESIAN_POINT('',(6.45,-4.15,-1.5));
#64143=CARTESIAN_POINT('',(6.15,-4.15,-0.457437037472));
#64144=CARTESIAN_POINT('Origin',(6.44,-4.15,-0.457437037472));
#64145=CARTESIAN_POINT('',(6.15,-4.15,1.5));
#64146=CARTESIAN_POINT('',(6.45,-4.15,1.21));
#64147=CARTESIAN_POINT('Origin',(6.16,-4.15,1.21));
#64148=CARTESIAN_POINT('',(6.45,-4.15,-0.457437037472));
#64149=CARTESIAN_POINT('',(6.45,-4.15,-0.5));
#64150=CARTESIAN_POINT('Origin',(6.74,-4.15,-0.457437037472));
#64151=CARTESIAN_POINT('Origin',(6.45,0.35,-0.5));
#64152=CARTESIAN_POINT('',(6.462230377286,0.35,-0.540767924286));
#64153=CARTESIAN_POINT('',(6.725000733956,0.35,-1.416669113186));
#64154=CARTESIAN_POINT('',(6.45,0.35,-0.5));
#64155=CARTESIAN_POINT('',(6.725000733956,0.35,-1.583330886814));
#64156=CARTESIAN_POINT('Origin',(6.447231111242,0.35,-1.5));
#64157=CARTESIAN_POINT('',(6.462230377286,0.35,-2.459232075714));
#64158=CARTESIAN_POINT('',(6.75,0.35,-1.5));
#64159=CARTESIAN_POINT('',(6.45,0.35,-2.542562962528));
#64160=CARTESIAN_POINT('Origin',(6.74,0.35,-2.542562962528));
#64161=CARTESIAN_POINT('',(6.45,0.35,-3.5));
#64162=CARTESIAN_POINT('',(6.45,0.35,-3.5));
#64163=CARTESIAN_POINT('',(6.15,0.35,-3.5));
#64164=CARTESIAN_POINT('',(6.15,0.35,-3.5));
#64165=CARTESIAN_POINT('',(6.15,0.35,-2.542562962528));
#64166=CARTESIAN_POINT('',(6.15,0.35,-2.5));
#64167=CARTESIAN_POINT('',(6.162230377286,0.35,-2.459232075714));
#64168=CARTESIAN_POINT('Origin',(6.44,0.35,-2.542562962528));
#64169=CARTESIAN_POINT('',(6.425000733956,0.35,-1.583330886814));
#64170=CARTESIAN_POINT('',(6.15,0.35,-2.5));
#64171=CARTESIAN_POINT('',(6.425000733956,0.35,-1.416669113186));
#64172=CARTESIAN_POINT('Origin',(6.147231111242,0.35,-1.5));
#64173=CARTESIAN_POINT('',(6.162230377286,0.35,-0.540767924286));
#64174=CARTESIAN_POINT('',(6.45,0.35,-1.5));
#64175=CARTESIAN_POINT('',(6.15,0.35,-0.457437037472));
#64176=CARTESIAN_POINT('Origin',(6.44,0.35,-0.457437037472));
#64177=CARTESIAN_POINT('',(6.15,0.35,1.5));
#64178=CARTESIAN_POINT('',(6.16,0.35,1.5));
#64179=CARTESIAN_POINT('',(6.45,0.35,1.5));
#64180=CARTESIAN_POINT('',(6.45,0.35,1.21));
#64181=CARTESIAN_POINT('Origin',(6.16,0.35,1.21));
#64182=CARTESIAN_POINT('',(6.45,0.35,-0.457437037472));
#64183=CARTESIAN_POINT('',(6.45,0.35,-0.5));
#64184=CARTESIAN_POINT('Origin',(6.74,0.35,-0.457437037472));
#64185=CARTESIAN_POINT('Origin',(6.45,-0.35,-0.5));
#64186=CARTESIAN_POINT('',(6.462230377286,-0.35,-0.540767924286));
#64187=CARTESIAN_POINT('',(6.725000733956,-0.35,-1.416669113186));
#64188=CARTESIAN_POINT('',(6.45,-0.35,-0.5));
#64189=CARTESIAN_POINT('',(6.45,-0.35,-0.457437037472));
#64190=CARTESIAN_POINT('Origin',(6.74,-0.35,-0.457437037472));
#64191=CARTESIAN_POINT('',(6.45,-0.35,1.21));
#64192=CARTESIAN_POINT('',(6.45,-0.35,-0.5));
#64193=CARTESIAN_POINT('',(6.16,-0.35,1.5));
#64194=CARTESIAN_POINT('Origin',(6.16,-0.35,1.21));
#64195=CARTESIAN_POINT('',(6.45,-0.35,1.5));
#64196=CARTESIAN_POINT('',(6.15,-0.35,-0.457437037472));
#64197=CARTESIAN_POINT('',(6.15,-0.35,1.5));
#64198=CARTESIAN_POINT('',(6.162230377286,-0.35,-0.540767924286));
#64199=CARTESIAN_POINT('Origin',(6.44,-0.35,-0.457437037472));
#64200=CARTESIAN_POINT('',(6.425000733956,-0.35,-1.416669113186));
#64201=CARTESIAN_POINT('',(6.45,-0.35,-1.5));
#64202=CARTESIAN_POINT('',(6.425000733956,-0.35,-1.583330886814));
#64203=CARTESIAN_POINT('Origin',(6.147231111242,-0.35,-1.5));
#64204=CARTESIAN_POINT('',(6.162230377286,-0.35,-2.459232075714));
#64205=CARTESIAN_POINT('',(6.15,-0.35,-2.5));
#64206=CARTESIAN_POINT('',(6.15,-0.35,-2.542562962528));
#64207=CARTESIAN_POINT('Origin',(6.44,-0.35,-2.542562962528));
#64208=CARTESIAN_POINT('',(6.15,-0.35,-3.5));
#64209=CARTESIAN_POINT('',(6.15,-0.35,-2.5));
#64210=CARTESIAN_POINT('',(6.45,-0.35,-3.5));
#64211=CARTESIAN_POINT('',(6.15,-0.35,-3.5));
#64212=CARTESIAN_POINT('',(6.45,-0.35,-2.542562962528));
#64213=CARTESIAN_POINT('',(6.45,-0.35,-3.5));
#64214=CARTESIAN_POINT('',(6.462230377286,-0.35,-2.459232075714));
#64215=CARTESIAN_POINT('Origin',(6.74,-0.35,-2.542562962528));
#64216=CARTESIAN_POINT('',(6.725000733956,-0.35,-1.583330886814));
#64217=CARTESIAN_POINT('',(6.75,-0.35,-1.5));
#64218=CARTESIAN_POINT('Origin',(6.447231111242,-0.35,-1.5));
#64219=CARTESIAN_POINT('Origin',(6.45,-0.35,1.5));
#64220=CARTESIAN_POINT('',(6.16,-0.35,1.5));
#64221=CARTESIAN_POINT('Origin',(4.9,-2.25,4.3));
#64222=CARTESIAN_POINT('Origin',(0.15,-4.85,1.5));
#64223=CARTESIAN_POINT('',(0.15,-4.85,-0.457437037472));
#64224=CARTESIAN_POINT('Origin',(6.15,-4.85,1.5));
#64225=CARTESIAN_POINT('',(6.15,-4.85,-0.457437037472));
#64226=CARTESIAN_POINT('Origin',(0.15,-0.35,1.5));
#64227=CARTESIAN_POINT('',(0.15,-0.35,-0.457437037472));
#64228=CARTESIAN_POINT('Origin',(6.15,-0.35,1.5));
#64229=CARTESIAN_POINT('',(6.15,-0.35,-0.457437037472));
#64230=CARTESIAN_POINT('Origin',(-0.15,-0.35,-0.5));
#64231=CARTESIAN_POINT('',(-0.162230377286,-0.35,-0.540767924286));
#64232=CARTESIAN_POINT('',(-0.425000733956,-0.35,-1.416669113186));
#64233=CARTESIAN_POINT('Origin',(-0.44,-0.35,-0.457437037472));
#64234=CARTESIAN_POINT('',(-0.15,-0.35,-0.457437037472));
#64235=CARTESIAN_POINT('Origin',(-0.147231111242,-0.35,-1.5));
#64236=CARTESIAN_POINT('',(-0.425000733956,-0.35,-1.583330886814));
#64237=CARTESIAN_POINT('Origin',(-0.15,-0.35,-0.5));
#64238=CARTESIAN_POINT('',(-0.15,-0.35,1.21));
#64239=CARTESIAN_POINT('Origin',(-0.45,-0.35,-1.5));
#64240=CARTESIAN_POINT('',(-0.162230377286,-0.35,-2.459232075714));
#64241=CARTESIAN_POINT('Origin',(0.14,-0.35,1.21));
#64242=CARTESIAN_POINT('Origin',(-0.44,-0.35,-2.542562962528));
#64243=CARTESIAN_POINT('',(-0.15,-0.35,-2.542562962528));
#64244=CARTESIAN_POINT('Origin',(-0.15,-0.35,-3.5));
#64245=CARTESIAN_POINT('',(-0.15,-0.35,-3.5));
#64246=CARTESIAN_POINT('Origin',(0.15,-0.35,-3.5));
#64247=CARTESIAN_POINT('',(0.15,-0.35,-3.5));
#64248=CARTESIAN_POINT('Origin',(0.15,-0.35,-2.5));
#64249=CARTESIAN_POINT('',(0.15,-0.35,-2.542562962528));
#64250=CARTESIAN_POINT('Origin',(-0.14,-0.35,-0.457437037472));
#64251=CARTESIAN_POINT('',(0.137769622714,-0.35,-0.540767924286));
#64252=CARTESIAN_POINT('Origin',(-0.14,-0.35,-2.542562962528));
#64253=CARTESIAN_POINT('',(0.137769622714,-0.35,-2.459232075714));
#64254=CARTESIAN_POINT('Origin',(-0.15,-0.35,-1.5));
#64255=CARTESIAN_POINT('',(-0.125000733956,-0.35,-1.416669113186));
#64256=CARTESIAN_POINT('Origin',(0.15,-0.35,-2.5));
#64257=CARTESIAN_POINT('',(-0.125000733956,-0.35,-1.583330886814));
#64258=CARTESIAN_POINT('Origin',(0.152768888758,-0.35,-1.5));
#64259=CARTESIAN_POINT('Origin',(-0.15,-4.85,-0.5));
#64260=CARTESIAN_POINT('',(-0.162230377286,-4.85,-0.540767924286));
#64261=CARTESIAN_POINT('',(-0.425000733956,-4.85,-1.416669113186));
#64262=CARTESIAN_POINT('Origin',(-0.44,-4.85,-0.457437037472));
#64263=CARTESIAN_POINT('',(-0.15,-4.85,-0.457437037472));
#64264=CARTESIAN_POINT('Origin',(-0.147231111242,-4.85,-1.5));
#64265=CARTESIAN_POINT('',(-0.425000733956,-4.85,-1.583330886814));
#64266=CARTESIAN_POINT('Origin',(-0.15,-4.85,-0.5));
#64267=CARTESIAN_POINT('',(-0.15,-4.85,1.21));
#64268=CARTESIAN_POINT('Origin',(-0.45,-4.85,-1.5));
#64269=CARTESIAN_POINT('',(-0.162230377286,-4.85,-2.459232075714));
#64270=CARTESIAN_POINT('Origin',(0.14,-4.85,1.21));
#64271=CARTESIAN_POINT('Origin',(-0.44,-4.85,-2.542562962528));
#64272=CARTESIAN_POINT('',(-0.15,-4.85,-2.542562962528));
#64273=CARTESIAN_POINT('Origin',(-0.15,-4.85,-3.5));
#64274=CARTESIAN_POINT('',(-0.15,-4.85,-3.5));
#64275=CARTESIAN_POINT('Origin',(0.15,-4.85,-3.5));
#64276=CARTESIAN_POINT('',(0.15,-4.85,-3.5));
#64277=CARTESIAN_POINT('Origin',(0.15,-4.85,-2.5));
#64278=CARTESIAN_POINT('',(0.15,-4.85,-2.542562962528));
#64279=CARTESIAN_POINT('Origin',(-0.14,-4.85,-0.457437037472));
#64280=CARTESIAN_POINT('',(0.137769622714,-4.85,-0.540767924286));
#64281=CARTESIAN_POINT('Origin',(-0.14,-4.85,-2.542562962528));
#64282=CARTESIAN_POINT('',(0.137769622714,-4.85,-2.459232075714));
#64283=CARTESIAN_POINT('Origin',(-0.15,-4.85,-1.5));
#64284=CARTESIAN_POINT('',(-0.125000733956,-4.85,-1.416669113186));
#64285=CARTESIAN_POINT('Origin',(0.15,-4.85,-2.5));
#64286=CARTESIAN_POINT('',(-0.125000733956,-4.85,-1.583330886814));
#64287=CARTESIAN_POINT('Origin',(0.152768888758,-4.85,-1.5));
#64288=CARTESIAN_POINT('Origin',(6.45,-4.85,-0.5));
#64289=CARTESIAN_POINT('',(6.725000733956,-4.85,-1.416669113186));
#64290=CARTESIAN_POINT('',(6.462230377286,-4.85,-0.540767924286));
#64291=CARTESIAN_POINT('Origin',(6.74,-4.85,-0.457437037472));
#64292=CARTESIAN_POINT('',(6.45,-4.85,-0.457437037472));
#64293=CARTESIAN_POINT('Origin',(6.447231111242,-4.85,-1.5));
#64294=CARTESIAN_POINT('',(6.725000733956,-4.85,-1.583330886814));
#64295=CARTESIAN_POINT('Origin',(6.45,-4.85,-0.5));
#64296=CARTESIAN_POINT('',(6.45,-4.85,1.21));
#64297=CARTESIAN_POINT('Origin',(6.75,-4.85,-1.5));
#64298=CARTESIAN_POINT('',(6.462230377286,-4.85,-2.459232075714));
#64299=CARTESIAN_POINT('Origin',(6.16,-4.85,1.21));
#64300=CARTESIAN_POINT('Origin',(6.74,-4.85,-2.542562962528));
#64301=CARTESIAN_POINT('',(6.45,-4.85,-2.542562962528));
#64302=CARTESIAN_POINT('Origin',(6.45,-4.85,-3.5));
#64303=CARTESIAN_POINT('',(6.45,-4.85,-3.5));
#64304=CARTESIAN_POINT('Origin',(6.15,-4.85,-3.5));
#64305=CARTESIAN_POINT('',(6.15,-4.85,-3.5));
#64306=CARTESIAN_POINT('Origin',(6.15,-4.85,-2.5));
#64307=CARTESIAN_POINT('',(6.15,-4.85,-2.542562962528));
#64308=CARTESIAN_POINT('Origin',(6.44,-4.85,-0.457437037472));
#64309=CARTESIAN_POINT('',(6.162230377286,-4.85,-0.540767924286));
#64310=CARTESIAN_POINT('Origin',(6.44,-4.85,-2.542562962528));
#64311=CARTESIAN_POINT('',(6.162230377286,-4.85,-2.459232075714));
#64312=CARTESIAN_POINT('Origin',(6.45,-4.85,-1.5));
#64313=CARTESIAN_POINT('',(6.425000733956,-4.85,-1.416669113186));
#64314=CARTESIAN_POINT('Origin',(6.15,-4.85,-2.5));
#64315=CARTESIAN_POINT('',(6.425000733956,-4.85,-1.583330886814));
#64316=CARTESIAN_POINT('Origin',(6.147231111242,-4.85,-1.5));
#64317=CARTESIAN_POINT('Origin',(6.45,-0.35,-0.5));
#64318=CARTESIAN_POINT('',(6.725000733956,-0.35,-1.416669113186));
#64319=CARTESIAN_POINT('',(6.462230377286,-0.35,-0.540767924286));
#64320=CARTESIAN_POINT('Origin',(6.74,-0.35,-0.457437037472));
#64321=CARTESIAN_POINT('',(6.45,-0.35,-0.457437037472));
#64322=CARTESIAN_POINT('Origin',(6.447231111242,-0.35,-1.5));
#64323=CARTESIAN_POINT('',(6.725000733956,-0.35,-1.583330886814));
#64324=CARTESIAN_POINT('Origin',(6.45,-0.35,-0.5));
#64325=CARTESIAN_POINT('',(6.45,-0.35,1.21));
#64326=CARTESIAN_POINT('Origin',(6.75,-0.35,-1.5));
#64327=CARTESIAN_POINT('',(6.462230377286,-0.35,-2.459232075714));
#64328=CARTESIAN_POINT('Origin',(6.16,-0.35,1.21));
#64329=CARTESIAN_POINT('Origin',(6.74,-0.35,-2.542562962528));
#64330=CARTESIAN_POINT('',(6.45,-0.35,-2.542562962528));
#64331=CARTESIAN_POINT('Origin',(6.45,-0.35,-3.5));
#64332=CARTESIAN_POINT('',(6.45,-0.35,-3.5));
#64333=CARTESIAN_POINT('Origin',(6.15,-0.35,-3.5));
#64334=CARTESIAN_POINT('',(6.15,-0.35,-3.5));
#64335=CARTESIAN_POINT('Origin',(6.15,-0.35,-2.5));
#64336=CARTESIAN_POINT('',(6.15,-0.35,-2.542562962528));
#64337=CARTESIAN_POINT('Origin',(6.44,-0.35,-0.457437037472));
#64338=CARTESIAN_POINT('',(6.162230377286,-0.35,-0.540767924286));
#64339=CARTESIAN_POINT('Origin',(6.44,-0.35,-2.542562962528));
#64340=CARTESIAN_POINT('',(6.162230377286,-0.35,-2.459232075714));
#64341=CARTESIAN_POINT('Origin',(6.45,-0.35,-1.5));
#64342=CARTESIAN_POINT('',(6.425000733956,-0.35,-1.416669113186));
#64343=CARTESIAN_POINT('Origin',(6.15,-0.35,-2.5));
#64344=CARTESIAN_POINT('',(6.425000733956,-0.35,-1.583330886814));
#64345=CARTESIAN_POINT('Origin',(6.147231111242,-0.35,-1.5));
#64346=CARTESIAN_POINT('',(0.,0.,0.));
#64347=CARTESIAN_POINT('',(0.,0.,0.));
#64348=CARTESIAN_POINT('',(0.,0.,0.));
#64349=CARTESIAN_POINT('Origin',(-0.8,-0.4,0.));
#64350=CARTESIAN_POINT('',(-0.8,-0.4,0.045));
#64351=CARTESIAN_POINT('',(-0.8,-0.4,0.405));
#64352=CARTESIAN_POINT('',(-0.8,-0.4,0.));
#64353=CARTESIAN_POINT('',(-0.8,0.4,0.405));
#64354=CARTESIAN_POINT('',(-0.8,-0.4,0.405));
#64355=CARTESIAN_POINT('',(-0.8,0.4,0.045));
#64356=CARTESIAN_POINT('',(-0.8,0.4,0.));
#64357=CARTESIAN_POINT('',(-0.8,-0.4,0.045));
#64358=CARTESIAN_POINT('Origin',(-0.8,-0.4,0.));
#64359=CARTESIAN_POINT('',(-0.755,-0.4,-2.775557561563E-17));
#64360=CARTESIAN_POINT('',(-0.545,-0.4,-2.775557561563E-17));
#64361=CARTESIAN_POINT('',(-0.8,-0.4,0.));
#64362=CARTESIAN_POINT('',(-0.5,-0.4,0.045));
#64363=CARTESIAN_POINT('Origin',(-0.545,-0.4,0.045));
#64364=CARTESIAN_POINT('',(-0.755,-0.4,0.045));
#64365=CARTESIAN_POINT('',(-0.755,-0.4,0.045));
#64366=CARTESIAN_POINT('',(-0.755,-0.4,0.405));
#64367=CARTESIAN_POINT('',(-0.755,-0.4,0.045));
#64368=CARTESIAN_POINT('',(-0.5,-0.4,0.405));
#64369=CARTESIAN_POINT('',(-0.755,-0.4,0.405));
#64370=CARTESIAN_POINT('',(-0.545,-0.4,0.45));
#64371=CARTESIAN_POINT('Origin',(-0.545,-0.4,0.405));
#64372=CARTESIAN_POINT('',(-0.755,-0.4,0.45));
#64373=CARTESIAN_POINT('',(-0.8,-0.4,0.45));
#64374=CARTESIAN_POINT('Origin',(-0.755,-0.4,0.405));
#64375=CARTESIAN_POINT('Origin',(-0.755,-0.4,0.045));
#64376=CARTESIAN_POINT('Origin',(-0.755,-0.4,0.045));
#64377=CARTESIAN_POINT('',(-0.755,0.4,-2.775557561563E-17));
#64378=CARTESIAN_POINT('Origin',(-0.755,0.4,0.045));
#64379=CARTESIAN_POINT('',(-0.755,-0.4,-2.775557561563E-17));
#64380=CARTESIAN_POINT('Origin',(-0.755,-0.4,0.405));
#64381=CARTESIAN_POINT('',(-0.755,0.4,0.45));
#64382=CARTESIAN_POINT('',(-0.755,-0.4,0.45));
#64383=CARTESIAN_POINT('Origin',(-0.755,0.4,0.405));
#64384=CARTESIAN_POINT('Origin',(-0.8,0.4,0.));
#64385=CARTESIAN_POINT('',(-0.545,0.4,-2.775557561563E-17));
#64386=CARTESIAN_POINT('',(-0.8,0.4,0.));
#64387=CARTESIAN_POINT('',(-0.545,0.4,0.45));
#64388=CARTESIAN_POINT('',(-0.8,0.4,0.45));
#64389=CARTESIAN_POINT('',(-0.5,0.4,0.405));
#64390=CARTESIAN_POINT('Origin',(-0.545,0.4,0.405));
#64391=CARTESIAN_POINT('',(-0.755,0.4,0.405));
#64392=CARTESIAN_POINT('',(-0.755,0.4,0.405));
#64393=CARTESIAN_POINT('',(-0.755,0.4,0.045));
#64394=CARTESIAN_POINT('',(-0.755,0.4,0.045));
#64395=CARTESIAN_POINT('',(-0.5,0.4,0.045));
#64396=CARTESIAN_POINT('',(-0.755,0.4,0.045));
#64397=CARTESIAN_POINT('Origin',(-0.545,0.4,0.045));
#64398=CARTESIAN_POINT('Origin',(-0.8,-0.4,0.));
#64399=CARTESIAN_POINT('',(-0.545,-0.4,-2.775557561563E-17));
#64400=CARTESIAN_POINT('Origin',(-0.545,-0.4,0.045));
#64401=CARTESIAN_POINT('',(-0.5,-0.4,0.045));
#64402=CARTESIAN_POINT('Origin',(-0.755,-0.4,0.045));
#64403=CARTESIAN_POINT('',(0.5,-0.4,0.045));
#64404=CARTESIAN_POINT('',(-0.755,-0.4,0.045));
#64405=CARTESIAN_POINT('',(0.755,-0.4,0.045));
#64406=CARTESIAN_POINT('',(-0.755,-0.4,0.045));
#64407=CARTESIAN_POINT('',(0.755,-0.4,0.405));
#64408=CARTESIAN_POINT('',(0.755,-0.4,0.045));
#64409=CARTESIAN_POINT('',(0.5,-0.4,0.405));
#64410=CARTESIAN_POINT('',(-0.755,-0.4,0.405));
#64411=CARTESIAN_POINT('',(-0.5,-0.4,0.405));
#64412=CARTESIAN_POINT('Origin',(-0.8,-0.4,0.45));
#64413=CARTESIAN_POINT('',(-0.545,-0.4,0.45));
#64414=CARTESIAN_POINT('Origin',(-0.545,-0.4,0.405));
#64415=CARTESIAN_POINT('',(-0.5,-0.4,0.405));
#64416=CARTESIAN_POINT('Origin',(-0.755,0.4,0.045));
#64417=CARTESIAN_POINT('',(0.5,0.4,0.405));
#64418=CARTESIAN_POINT('',(-0.5,0.4,0.405));
#64419=CARTESIAN_POINT('',(0.755,0.4,0.405));
#64420=CARTESIAN_POINT('',(-0.755,0.4,0.405));
#64421=CARTESIAN_POINT('',(0.755,0.4,0.045));
#64422=CARTESIAN_POINT('',(0.755,0.4,0.045));
#64423=CARTESIAN_POINT('',(0.5,0.4,0.045));
#64424=CARTESIAN_POINT('',(-0.755,0.4,0.045));
#64425=CARTESIAN_POINT('',(-0.755,0.4,0.045));
#64426=CARTESIAN_POINT('Origin',(-0.755,-0.4,0.045));
#64427=CARTESIAN_POINT('',(0.5,-0.4,0.045));
#64428=CARTESIAN_POINT('Origin',(0.5,-0.4,0.));
#64429=CARTESIAN_POINT('',(0.545,-0.4,-2.775557561563E-17));
#64430=CARTESIAN_POINT('',(0.755,-0.4,-2.775557561563E-17));
#64431=CARTESIAN_POINT('',(0.5,-0.4,0.));
#64432=CARTESIAN_POINT('',(0.8,-0.4,0.045));
#64433=CARTESIAN_POINT('Origin',(0.755,-0.4,0.045));
#64434=CARTESIAN_POINT('',(0.8,-0.4,0.405));
#64435=CARTESIAN_POINT('',(0.8,-0.4,0.));
#64436=CARTESIAN_POINT('',(0.755,-0.4,0.45));
#64437=CARTESIAN_POINT('Origin',(0.755,-0.4,0.405));
#64438=CARTESIAN_POINT('',(0.545,-0.4,0.45));
#64439=CARTESIAN_POINT('',(0.5,-0.4,0.45));
#64440=CARTESIAN_POINT('Origin',(0.545,-0.4,0.405));
#64441=CARTESIAN_POINT('Origin',(0.545,-0.4,0.045));
#64442=CARTESIAN_POINT('Origin',(-0.5,-0.4,0.405));
#64443=CARTESIAN_POINT('',(0.5,-0.4,0.45));
#64444=CARTESIAN_POINT('',(0.5,-0.4,0.405));
#64445=CARTESIAN_POINT('',(-0.5,-0.4,0.45));
#64446=CARTESIAN_POINT('',(-0.5,-0.4,0.45));
#64447=CARTESIAN_POINT('',(-0.5,-0.4,0.405));
#64448=CARTESIAN_POINT('Origin',(-0.5,-0.4,0.405));
#64449=CARTESIAN_POINT('',(-0.5,0.4,0.45));
#64450=CARTESIAN_POINT('',(-0.5,-0.4,0.45));
#64451=CARTESIAN_POINT('',(-0.5,0.4,0.405));
#64452=CARTESIAN_POINT('Origin',(0.5,0.4,0.));
#64453=CARTESIAN_POINT('',(0.545,0.4,-2.775557561563E-17));
#64454=CARTESIAN_POINT('',(0.755,0.4,-2.775557561563E-17));
#64455=CARTESIAN_POINT('',(0.5,0.4,0.));
#64456=CARTESIAN_POINT('Origin',(0.545,0.4,0.045));
#64457=CARTESIAN_POINT('',(0.545,0.4,0.45));
#64458=CARTESIAN_POINT('Origin',(0.545,0.4,0.405));
#64459=CARTESIAN_POINT('',(0.755,0.4,0.45));
#64460=CARTESIAN_POINT('',(0.5,0.4,0.45));
#64461=CARTESIAN_POINT('',(0.8,0.4,0.405));
#64462=CARTESIAN_POINT('Origin',(0.755,0.4,0.405));
#64463=CARTESIAN_POINT('',(0.8,0.4,0.045));
#64464=CARTESIAN_POINT('',(0.8,0.4,0.));
#64465=CARTESIAN_POINT('Origin',(0.755,0.4,0.045));
#64466=CARTESIAN_POINT('Origin',(-0.5,0.4,0.405));
#64467=CARTESIAN_POINT('',(0.5,0.4,0.45));
#64468=CARTESIAN_POINT('',(-0.5,0.4,0.45));
#64469=CARTESIAN_POINT('',(0.5,0.4,0.405));
#64470=CARTESIAN_POINT('Origin',(0.545,-0.4,0.045));
#64471=CARTESIAN_POINT('',(0.545,-0.4,-2.775557561563E-17));
#64472=CARTESIAN_POINT('Origin',(0.5,-0.4,0.));
#64473=CARTESIAN_POINT('',(0.755,-0.4,-2.775557561563E-17));
#64474=CARTESIAN_POINT('Origin',(0.755,-0.4,0.045));
#64475=CARTESIAN_POINT('',(0.8,-0.4,0.045));
#64476=CARTESIAN_POINT('Origin',(0.8,-0.4,0.));
#64477=CARTESIAN_POINT('',(0.8,-0.4,0.405));
#64478=CARTESIAN_POINT('Origin',(0.755,-0.4,0.405));
#64479=CARTESIAN_POINT('',(0.755,-0.4,0.45));
#64480=CARTESIAN_POINT('Origin',(0.5,-0.4,0.45));
#64481=CARTESIAN_POINT('',(0.545,-0.4,0.45));
#64482=CARTESIAN_POINT('Origin',(0.545,-0.4,0.405));
#64483=CARTESIAN_POINT('',(0.5,-0.4,0.405));
#64484=CARTESIAN_POINT('Origin',(0.5,-0.4,0.405));
#64485=CARTESIAN_POINT('',(0.5,-0.4,0.45));
#64486=CARTESIAN_POINT('Origin',(-0.5,-0.4,0.45));
#64487=CARTESIAN_POINT('',(0.,0.,0.));
#64488=CARTESIAN_POINT('',(0.,0.,0.));
#64489=CARTESIAN_POINT('',(0.,0.,0.));
#64490=CARTESIAN_POINT('Origin',(-1.016,1.27,0.));
#64491=CARTESIAN_POINT('',(-1.016,1.27,0.));
#64492=CARTESIAN_POINT('',(-1.016,1.27,2.54));
#64493=CARTESIAN_POINT('',(-1.016,1.27,0.));
#64494=CARTESIAN_POINT('',(-1.27,1.016,0.));
#64495=CARTESIAN_POINT('',(-1.016,1.27,0.));
#64496=CARTESIAN_POINT('',(-1.27,1.016,2.54));
#64497=CARTESIAN_POINT('',(-1.27,1.016,0.));
#64498=CARTESIAN_POINT('',(-1.016,1.27,2.54));
#64499=CARTESIAN_POINT('Origin',(1.016,1.27,0.));
#64500=CARTESIAN_POINT('',(1.016,1.27,0.));
#64501=CARTESIAN_POINT('',(1.016,1.27,2.54));
#64502=CARTESIAN_POINT('',(1.016,1.27,0.));
#64503=CARTESIAN_POINT('',(1.016,1.27,0.));
#64504=CARTESIAN_POINT('',(1.016,1.27,2.54));
#64505=CARTESIAN_POINT('Origin',(-1.27,1.016,0.));
#64506=CARTESIAN_POINT('',(-1.27,-1.016,0.));
#64507=CARTESIAN_POINT('',(-1.27,1.016,0.));
#64508=CARTESIAN_POINT('',(-1.27,-1.016,2.54));
#64509=CARTESIAN_POINT('',(-1.27,-1.016,0.));
#64510=CARTESIAN_POINT('',(-1.27,1.016,2.54));
#64511=CARTESIAN_POINT('Origin',(-2.110141571089E-17,-5.08,0.));
#64512=CARTESIAN_POINT('',(1.27,1.016,0.));
#64513=CARTESIAN_POINT('',(1.27,1.016,0.));
#64514=CARTESIAN_POINT('',(1.27,-1.016,0.));
#64515=CARTESIAN_POINT('',(1.27,-1.016,0.));
#64516=CARTESIAN_POINT('',(1.016,-1.27,0.));
#64517=CARTESIAN_POINT('',(1.016,-1.27,0.));
#64518=CARTESIAN_POINT('',(1.27,-1.524,0.));
#64519=CARTESIAN_POINT('',(1.27,-1.524,0.));
#64520=CARTESIAN_POINT('',(1.27,-3.556,0.));
#64521=CARTESIAN_POINT('',(1.27,-3.556,0.));
#64522=CARTESIAN_POINT('',(1.016,-3.81,0.));
#64523=CARTESIAN_POINT('',(1.016,-3.81,0.));
#64524=CARTESIAN_POINT('',(1.27,-4.064,0.));
#64525=CARTESIAN_POINT('',(1.27,-4.064,0.));
#64526=CARTESIAN_POINT('',(1.27,-6.096,0.));
#64527=CARTESIAN_POINT('',(1.27,-6.096,0.));
#64528=CARTESIAN_POINT('',(1.016,-6.35,0.));
#64529=CARTESIAN_POINT('',(1.016,-6.35,0.));
#64530=CARTESIAN_POINT('',(1.27,-6.604,0.));
#64531=CARTESIAN_POINT('',(1.27,-6.604,0.));
#64532=CARTESIAN_POINT('',(1.27,-8.636,0.));
#64533=CARTESIAN_POINT('',(1.27,-8.636,0.));
#64534=CARTESIAN_POINT('',(1.016,-8.89,0.));
#64535=CARTESIAN_POINT('',(1.016,-8.89,0.));
#64536=CARTESIAN_POINT('',(1.27,-9.144,0.));
#64537=CARTESIAN_POINT('',(1.27,-9.144,0.));
#64538=CARTESIAN_POINT('',(1.27,-11.176,0.));
#64539=CARTESIAN_POINT('',(1.27,-11.176,0.));
#64540=CARTESIAN_POINT('',(1.016,-11.43,0.));
#64541=CARTESIAN_POINT('',(1.016,-11.43,0.));
#64542=CARTESIAN_POINT('',(-1.016,-11.43,0.));
#64543=CARTESIAN_POINT('',(-1.016,-11.43,0.));
#64544=CARTESIAN_POINT('',(-1.27,-11.176,0.));
#64545=CARTESIAN_POINT('',(-1.27,-11.176,0.));
#64546=CARTESIAN_POINT('',(-1.27,-9.144,0.));
#64547=CARTESIAN_POINT('',(-1.27,-9.144,0.));
#64548=CARTESIAN_POINT('',(-1.016,-8.89,0.));
#64549=CARTESIAN_POINT('',(-1.016,-8.89,0.));
#64550=CARTESIAN_POINT('',(-1.27,-8.636,0.));
#64551=CARTESIAN_POINT('',(-1.27,-8.636,0.));
#64552=CARTESIAN_POINT('',(-1.27,-6.604,0.));
#64553=CARTESIAN_POINT('',(-1.27,-6.604,0.));
#64554=CARTESIAN_POINT('',(-1.016,-6.35,0.));
#64555=CARTESIAN_POINT('',(-1.016,-6.35,0.));
#64556=CARTESIAN_POINT('',(-1.27,-6.096,0.));
#64557=CARTESIAN_POINT('',(-1.27,-6.096,0.));
#64558=CARTESIAN_POINT('',(-1.27,-4.064,0.));
#64559=CARTESIAN_POINT('',(-1.27,-4.064,0.));
#64560=CARTESIAN_POINT('',(-1.016,-3.81,0.));
#64561=CARTESIAN_POINT('',(-1.016,-3.81,0.));
#64562=CARTESIAN_POINT('',(-1.27,-3.556,0.));
#64563=CARTESIAN_POINT('',(-1.27,-3.556,0.));
#64564=CARTESIAN_POINT('',(-1.27,-1.524,0.));
#64565=CARTESIAN_POINT('',(-1.27,-1.524,0.));
#64566=CARTESIAN_POINT('',(-1.016,-1.27,0.));
#64567=CARTESIAN_POINT('',(-1.016,-1.27,0.));
#64568=CARTESIAN_POINT('',(-1.27,-1.016,0.));
#64569=CARTESIAN_POINT('',(0.32,-0.32,0.));
#64570=CARTESIAN_POINT('',(0.32,0.32,0.));
#64571=CARTESIAN_POINT('',(0.32,-2.7,0.));
#64572=CARTESIAN_POINT('',(-0.32,0.32,0.));
#64573=CARTESIAN_POINT('',(-0.16,0.32,0.));
#64574=CARTESIAN_POINT('',(-0.32,-0.32,0.));
#64575=CARTESIAN_POINT('',(-0.32,-2.7,0.));
#64576=CARTESIAN_POINT('',(-0.16,-0.32,0.));
#64577=CARTESIAN_POINT('',(0.32,-2.22,0.));
#64578=CARTESIAN_POINT('',(-0.32,-2.22,0.));
#64579=CARTESIAN_POINT('',(-0.16,-2.22,0.));
#64580=CARTESIAN_POINT('',(-0.32,-2.86,0.));
#64581=CARTESIAN_POINT('',(-0.32,-3.97,0.));
#64582=CARTESIAN_POINT('',(0.32,-2.86,0.));
#64583=CARTESIAN_POINT('',(-0.16,-2.86,0.));
#64584=CARTESIAN_POINT('',(0.32,-3.97,0.));
#64585=CARTESIAN_POINT('',(0.32,-4.76,0.));
#64586=CARTESIAN_POINT('',(-0.32,-4.76,0.));
#64587=CARTESIAN_POINT('',(-0.16,-4.76,0.));
#64588=CARTESIAN_POINT('',(-0.32,-5.4,0.));
#64589=CARTESIAN_POINT('',(-0.32,-5.24,0.));
#64590=CARTESIAN_POINT('',(0.32,-5.4,0.));
#64591=CARTESIAN_POINT('',(-0.16,-5.4,0.));
#64592=CARTESIAN_POINT('',(0.32,-5.24,0.));
#64593=CARTESIAN_POINT('',(0.32,-9.84,0.));
#64594=CARTESIAN_POINT('',(-0.32,-9.84,0.));
#64595=CARTESIAN_POINT('',(-0.16,-9.84,0.));
#64596=CARTESIAN_POINT('',(-0.32,-10.48,0.));
#64597=CARTESIAN_POINT('',(-0.32,-7.78,0.));
#64598=CARTESIAN_POINT('',(0.32,-10.48,0.));
#64599=CARTESIAN_POINT('',(-0.16,-10.48,0.));
#64600=CARTESIAN_POINT('',(0.32,-7.78,0.));
#64601=CARTESIAN_POINT('',(0.32,-7.94,0.));
#64602=CARTESIAN_POINT('',(-0.32,-7.94,0.));
#64603=CARTESIAN_POINT('',(-0.16,-7.94,0.));
#64604=CARTESIAN_POINT('',(0.32,-7.3,0.));
#64605=CARTESIAN_POINT('',(0.32,-6.51,0.));
#64606=CARTESIAN_POINT('',(-0.32,-7.3,0.));
#64607=CARTESIAN_POINT('',(-0.16,-7.3,0.));
#64608=CARTESIAN_POINT('',(-0.32,-6.51,0.));
#64609=CARTESIAN_POINT('Origin',(-2.110141571089E-17,-5.08,2.54));
#64610=CARTESIAN_POINT('',(-1.016,-1.27,2.54));
#64611=CARTESIAN_POINT('',(-1.27,-1.016,2.54));
#64612=CARTESIAN_POINT('',(-1.27,-1.524,2.54));
#64613=CARTESIAN_POINT('',(-1.016,-1.27,2.54));
#64614=CARTESIAN_POINT('',(-1.27,-3.556,2.54));
#64615=CARTESIAN_POINT('',(-1.27,-1.524,2.54));
#64616=CARTESIAN_POINT('',(-1.016,-3.81,2.54));
#64617=CARTESIAN_POINT('',(-1.27,-3.556,2.54));
#64618=CARTESIAN_POINT('',(-1.27,-4.064,2.54));
#64619=CARTESIAN_POINT('',(-1.016,-3.81,2.54));
#64620=CARTESIAN_POINT('',(-1.27,-6.096,2.54));
#64621=CARTESIAN_POINT('',(-1.27,-4.064,2.54));
#64622=CARTESIAN_POINT('',(-1.016,-6.35,2.54));
#64623=CARTESIAN_POINT('',(-1.27,-6.096,2.54));
#64624=CARTESIAN_POINT('',(-1.27,-6.604,2.54));
#64625=CARTESIAN_POINT('',(-1.016,-6.35,2.54));
#64626=CARTESIAN_POINT('',(-1.27,-8.636,2.54));
#64627=CARTESIAN_POINT('',(-1.27,-6.604,2.54));
#64628=CARTESIAN_POINT('',(-1.016,-8.89,2.54));
#64629=CARTESIAN_POINT('',(-1.27,-8.636,2.54));
#64630=CARTESIAN_POINT('',(-1.27,-9.144,2.54));
#64631=CARTESIAN_POINT('',(-1.016,-8.89,2.54));
#64632=CARTESIAN_POINT('',(-1.27,-11.176,2.54));
#64633=CARTESIAN_POINT('',(-1.27,-9.144,2.54));
#64634=CARTESIAN_POINT('',(-1.016,-11.43,2.54));
#64635=CARTESIAN_POINT('',(-1.27,-11.176,2.54));
#64636=CARTESIAN_POINT('',(1.016,-11.43,2.54));
#64637=CARTESIAN_POINT('',(-1.016,-11.43,2.54));
#64638=CARTESIAN_POINT('',(1.27,-11.176,2.54));
#64639=CARTESIAN_POINT('',(1.016,-11.43,2.54));
#64640=CARTESIAN_POINT('',(1.27,-9.144,2.54));
#64641=CARTESIAN_POINT('',(1.27,-11.176,2.54));
#64642=CARTESIAN_POINT('',(1.016,-8.89,2.54));
#64643=CARTESIAN_POINT('',(1.27,-9.144,2.54));
#64644=CARTESIAN_POINT('',(1.27,-8.636,2.54));
#64645=CARTESIAN_POINT('',(1.016,-8.89,2.54));
#64646=CARTESIAN_POINT('',(1.27,-6.604,2.54));
#64647=CARTESIAN_POINT('',(1.27,-8.636,2.54));
#64648=CARTESIAN_POINT('',(1.016,-6.35,2.54));
#64649=CARTESIAN_POINT('',(1.27,-6.604,2.54));
#64650=CARTESIAN_POINT('',(1.27,-6.096,2.54));
#64651=CARTESIAN_POINT('',(1.016,-6.35,2.54));
#64652=CARTESIAN_POINT('',(1.27,-4.064,2.54));
#64653=CARTESIAN_POINT('',(1.27,-6.096,2.54));
#64654=CARTESIAN_POINT('',(1.016,-3.81,2.54));
#64655=CARTESIAN_POINT('',(1.27,-4.064,2.54));
#64656=CARTESIAN_POINT('',(1.27,-3.556,2.54));
#64657=CARTESIAN_POINT('',(1.016,-3.81,2.54));
#64658=CARTESIAN_POINT('',(1.27,-1.524,2.54));
#64659=CARTESIAN_POINT('',(1.27,-3.556,2.54));
#64660=CARTESIAN_POINT('',(1.016,-1.27,2.54));
#64661=CARTESIAN_POINT('',(1.27,-1.524,2.54));
#64662=CARTESIAN_POINT('',(1.27,-1.016,2.54));
#64663=CARTESIAN_POINT('',(1.016,-1.27,2.54));
#64664=CARTESIAN_POINT('',(1.27,1.016,2.54));
#64665=CARTESIAN_POINT('',(1.27,-1.016,2.54));
#64666=CARTESIAN_POINT('',(1.27,1.016,2.54));
#64667=CARTESIAN_POINT('',(0.32,-0.32,2.54));
#64668=CARTESIAN_POINT('',(0.32,0.32,2.54));
#64669=CARTESIAN_POINT('',(0.32,-2.7,2.54));
#64670=CARTESIAN_POINT('',(-0.32,-0.32,2.54));
#64671=CARTESIAN_POINT('',(-0.16,-0.32,2.54));
#64672=CARTESIAN_POINT('',(-0.32,0.32,2.54));
#64673=CARTESIAN_POINT('',(-0.32,-2.7,2.54));
#64674=CARTESIAN_POINT('',(-0.16,0.32,2.54));
#64675=CARTESIAN_POINT('',(0.32,-2.22,2.54));
#64676=CARTESIAN_POINT('',(-0.32,-2.22,2.54));
#64677=CARTESIAN_POINT('',(-0.16,-2.22,2.54));
#64678=CARTESIAN_POINT('',(0.32,-2.86,2.54));
#64679=CARTESIAN_POINT('',(0.32,-3.97,2.54));
#64680=CARTESIAN_POINT('',(-0.32,-2.86,2.54));
#64681=CARTESIAN_POINT('',(-0.16,-2.86,2.54));
#64682=CARTESIAN_POINT('',(-0.32,-3.97,2.54));
#64683=CARTESIAN_POINT('',(0.32,-4.76,2.54));
#64684=CARTESIAN_POINT('',(-0.32,-4.76,2.54));
#64685=CARTESIAN_POINT('',(-0.16,-4.76,2.54));
#64686=CARTESIAN_POINT('',(0.32,-5.4,2.54));
#64687=CARTESIAN_POINT('',(0.32,-5.24,2.54));
#64688=CARTESIAN_POINT('',(-0.32,-5.4,2.54));
#64689=CARTESIAN_POINT('',(-0.16,-5.4,2.54));
#64690=CARTESIAN_POINT('',(-0.32,-5.24,2.54));
#64691=CARTESIAN_POINT('',(0.32,-9.84,2.54));
#64692=CARTESIAN_POINT('',(-0.32,-9.84,2.54));
#64693=CARTESIAN_POINT('',(-0.16,-9.84,2.54));
#64694=CARTESIAN_POINT('',(0.32,-10.48,2.54));
#64695=CARTESIAN_POINT('',(0.32,-7.78,2.54));
#64696=CARTESIAN_POINT('',(-0.32,-10.48,2.54));
#64697=CARTESIAN_POINT('',(-0.16,-10.48,2.54));
#64698=CARTESIAN_POINT('',(-0.32,-7.78,2.54));
#64699=CARTESIAN_POINT('',(0.32,-7.94,2.54));
#64700=CARTESIAN_POINT('',(-0.32,-7.94,2.54));
#64701=CARTESIAN_POINT('',(-0.16,-7.94,2.54));
#64702=CARTESIAN_POINT('',(-0.32,-7.3,2.54));
#64703=CARTESIAN_POINT('',(-0.32,-6.51,2.54));
#64704=CARTESIAN_POINT('',(0.32,-7.3,2.54));
#64705=CARTESIAN_POINT('',(-0.16,-7.3,2.54));
#64706=CARTESIAN_POINT('',(0.32,-6.51,2.54));
#64707=CARTESIAN_POINT('Origin',(1.27,1.016,0.));
#64708=CARTESIAN_POINT('',(1.27,1.016,0.));
#64709=CARTESIAN_POINT('Origin',(-1.27,-1.016,0.));
#64710=CARTESIAN_POINT('',(-1.016,-1.27,0.));
#64711=CARTESIAN_POINT('Origin',(1.27,-1.016,0.));
#64712=CARTESIAN_POINT('',(1.27,-1.016,0.));
#64713=CARTESIAN_POINT('Origin',(-1.016,-1.27,0.));
#64714=CARTESIAN_POINT('',(-1.27,-1.524,0.));
#64715=CARTESIAN_POINT('Origin',(1.016,-1.27,0.));
#64716=CARTESIAN_POINT('',(1.016,-1.27,0.));
#64717=CARTESIAN_POINT('Origin',(-1.27,-1.524,0.));
#64718=CARTESIAN_POINT('',(-1.27,-3.556,0.));
#64719=CARTESIAN_POINT('Origin',(1.27,-1.524,0.));
#64720=CARTESIAN_POINT('',(1.27,-1.524,0.));
#64721=CARTESIAN_POINT('Origin',(-1.27,-3.556,0.));
#64722=CARTESIAN_POINT('',(-1.016,-3.81,0.));
#64723=CARTESIAN_POINT('Origin',(1.27,-3.556,0.));
#64724=CARTESIAN_POINT('',(1.27,-3.556,0.));
#64725=CARTESIAN_POINT('Origin',(-1.016,-3.81,0.));
#64726=CARTESIAN_POINT('',(-1.27,-4.064,0.));
#64727=CARTESIAN_POINT('Origin',(1.016,-3.81,0.));
#64728=CARTESIAN_POINT('',(1.016,-3.81,0.));
#64729=CARTESIAN_POINT('Origin',(-1.27,-4.064,0.));
#64730=CARTESIAN_POINT('',(-1.27,-6.096,0.));
#64731=CARTESIAN_POINT('Origin',(1.27,-4.064,0.));
#64732=CARTESIAN_POINT('',(1.27,-4.064,0.));
#64733=CARTESIAN_POINT('Origin',(-1.27,-6.096,0.));
#64734=CARTESIAN_POINT('',(-1.016,-6.35,0.));
#64735=CARTESIAN_POINT('Origin',(1.27,-6.096,0.));
#64736=CARTESIAN_POINT('',(1.27,-6.096,0.));
#64737=CARTESIAN_POINT('Origin',(-1.016,-6.35,0.));
#64738=CARTESIAN_POINT('',(-1.27,-6.604,0.));
#64739=CARTESIAN_POINT('Origin',(1.016,-6.35,0.));
#64740=CARTESIAN_POINT('',(1.016,-6.35,0.));
#64741=CARTESIAN_POINT('Origin',(-1.27,-6.604,0.));
#64742=CARTESIAN_POINT('',(-1.27,-8.636,0.));
#64743=CARTESIAN_POINT('Origin',(1.27,-6.604,0.));
#64744=CARTESIAN_POINT('',(1.27,-6.604,0.));
#64745=CARTESIAN_POINT('Origin',(-1.27,-8.636,0.));
#64746=CARTESIAN_POINT('',(-1.016,-8.89,0.));
#64747=CARTESIAN_POINT('Origin',(1.27,-8.636,0.));
#64748=CARTESIAN_POINT('',(1.27,-8.636,0.));
#64749=CARTESIAN_POINT('Origin',(-1.016,-8.89,0.));
#64750=CARTESIAN_POINT('',(-1.27,-9.144,0.));
#64751=CARTESIAN_POINT('Origin',(1.016,-8.89,0.));
#64752=CARTESIAN_POINT('',(1.016,-8.89,0.));
#64753=CARTESIAN_POINT('Origin',(-1.27,-9.144,0.));
#64754=CARTESIAN_POINT('',(-1.27,-11.176,0.));
#64755=CARTESIAN_POINT('Origin',(1.27,-9.144,0.));
#64756=CARTESIAN_POINT('',(1.27,-9.144,0.));
#64757=CARTESIAN_POINT('Origin',(-1.27,-11.176,0.));
#64758=CARTESIAN_POINT('',(-1.016,-11.43,0.));
#64759=CARTESIAN_POINT('Origin',(1.27,-11.176,0.));
#64760=CARTESIAN_POINT('',(1.27,-11.176,0.));
#64761=CARTESIAN_POINT('Origin',(-1.016,-11.43,0.));
#64762=CARTESIAN_POINT('',(1.016,-11.43,0.));
#64763=CARTESIAN_POINT('Origin',(1.016,-11.43,0.));
#64764=CARTESIAN_POINT('Origin',(0.32,-0.32,-3.));
#64765=CARTESIAN_POINT('',(0.32,-0.32,-2.84));
#64766=CARTESIAN_POINT('',(0.32,-0.32,-3.));
#64767=CARTESIAN_POINT('',(0.32,0.32,-2.84));
#64768=CARTESIAN_POINT('',(0.32,-0.32,-2.84));
#64769=CARTESIAN_POINT('',(0.32,0.32,-3.));
#64770=CARTESIAN_POINT('Origin',(-0.32,0.32,-3.));
#64771=CARTESIAN_POINT('',(-0.32,0.32,-2.84));
#64772=CARTESIAN_POINT('',(-0.32,0.32,-2.84));
#64773=CARTESIAN_POINT('',(-0.32,0.32,-3.));
#64774=CARTESIAN_POINT('Origin',(-0.32,-0.32,-3.));
#64775=CARTESIAN_POINT('',(-0.32,-0.32,-2.84));
#64776=CARTESIAN_POINT('',(-0.32,-0.32,-3.));
#64777=CARTESIAN_POINT('',(-0.32,-0.32,-2.84));
#64778=CARTESIAN_POINT('Origin',(-0.32,-0.32,-3.));
#64779=CARTESIAN_POINT('',(-0.32,-0.32,-2.84));
#64780=CARTESIAN_POINT('Origin',(-0.32,-2.22,-3.));
#64781=CARTESIAN_POINT('',(0.32,-2.22,-2.84));
#64782=CARTESIAN_POINT('',(0.32,-2.22,-3.));
#64783=CARTESIAN_POINT('',(-0.32,-2.22,-2.84));
#64784=CARTESIAN_POINT('',(-0.32,-2.22,-2.84));
#64785=CARTESIAN_POINT('',(-0.32,-2.22,-3.));
#64786=CARTESIAN_POINT('Origin',(-0.32,-2.86,-3.));
#64787=CARTESIAN_POINT('',(-0.32,-2.86,-2.84));
#64788=CARTESIAN_POINT('',(-0.32,-2.86,-3.));
#64789=CARTESIAN_POINT('',(-0.32,-2.86,-2.84));
#64790=CARTESIAN_POINT('Origin',(-0.32,-2.86,-3.));
#64791=CARTESIAN_POINT('',(0.32,-2.86,-2.84));
#64792=CARTESIAN_POINT('',(0.32,-2.86,-3.));
#64793=CARTESIAN_POINT('',(-0.32,-2.86,-2.84));
#64794=CARTESIAN_POINT('Origin',(0.32,-2.86,-3.));
#64795=CARTESIAN_POINT('',(0.32,-2.86,-2.84));
#64796=CARTESIAN_POINT('Origin',(-0.32,-4.76,-3.));
#64797=CARTESIAN_POINT('',(0.32,-4.76,-2.84));
#64798=CARTESIAN_POINT('',(0.32,-4.76,-3.));
#64799=CARTESIAN_POINT('',(-0.32,-4.76,-2.84));
#64800=CARTESIAN_POINT('',(-0.32,-4.76,-2.84));
#64801=CARTESIAN_POINT('',(-0.32,-4.76,-3.));
#64802=CARTESIAN_POINT('Origin',(-0.32,-5.4,-3.));
#64803=CARTESIAN_POINT('',(-0.32,-5.4,-2.84));
#64804=CARTESIAN_POINT('',(-0.32,-5.4,-3.));
#64805=CARTESIAN_POINT('',(-0.32,-5.4,-2.84));
#64806=CARTESIAN_POINT('Origin',(-0.32,-5.4,-3.));
#64807=CARTESIAN_POINT('',(0.32,-5.4,-2.84));
#64808=CARTESIAN_POINT('',(0.32,-5.4,-3.));
#64809=CARTESIAN_POINT('',(-0.32,-5.4,-2.84));
#64810=CARTESIAN_POINT('Origin',(0.32,-5.4,-3.));
#64811=CARTESIAN_POINT('',(0.32,-5.4,-2.84));
#64812=CARTESIAN_POINT('Origin',(-0.32,-9.84,-3.));
#64813=CARTESIAN_POINT('',(0.32,-9.84,-2.84));
#64814=CARTESIAN_POINT('',(0.32,-9.84,-3.));
#64815=CARTESIAN_POINT('',(-0.32,-9.84,-2.84));
#64816=CARTESIAN_POINT('',(-0.32,-9.84,-2.84));
#64817=CARTESIAN_POINT('',(-0.32,-9.84,-3.));
#64818=CARTESIAN_POINT('Origin',(-0.32,-10.48,-3.));
#64819=CARTESIAN_POINT('',(-0.32,-10.48,-2.84));
#64820=CARTESIAN_POINT('',(-0.32,-10.48,-3.));
#64821=CARTESIAN_POINT('',(-0.32,-10.48,-2.84));
#64822=CARTESIAN_POINT('Origin',(-0.32,-10.48,-3.));
#64823=CARTESIAN_POINT('',(0.32,-10.48,-2.84));
#64824=CARTESIAN_POINT('',(0.32,-10.48,-3.));
#64825=CARTESIAN_POINT('',(-0.32,-10.48,-2.84));
#64826=CARTESIAN_POINT('Origin',(0.32,-10.48,-3.));
#64827=CARTESIAN_POINT('',(0.32,-10.48,-2.84));
#64828=CARTESIAN_POINT('Origin',(-0.32,-7.94,-3.));
#64829=CARTESIAN_POINT('',(0.32,-7.94,-2.84));
#64830=CARTESIAN_POINT('',(0.32,-7.94,-3.));
#64831=CARTESIAN_POINT('',(-0.32,-7.94,-2.84));
#64832=CARTESIAN_POINT('',(-0.32,-7.94,-3.));
#64833=CARTESIAN_POINT('',(-0.32,-7.94,-2.84));
#64834=CARTESIAN_POINT('Origin',(0.32,-7.94,-3.));
#64835=CARTESIAN_POINT('',(0.32,-7.3,-2.84));
#64836=CARTESIAN_POINT('',(0.32,-7.94,-2.84));
#64837=CARTESIAN_POINT('',(0.32,-7.3,-3.));
#64838=CARTESIAN_POINT('Origin',(-0.32,-7.3,-3.));
#64839=CARTESIAN_POINT('',(-0.32,-7.3,-2.84));
#64840=CARTESIAN_POINT('',(-0.32,-7.3,-2.84));
#64841=CARTESIAN_POINT('',(-0.32,-7.3,-3.));
#64842=CARTESIAN_POINT('Origin',(-0.32,-7.94,-3.));
#64843=CARTESIAN_POINT('',(-0.32,-7.94,-2.84));
#64844=CARTESIAN_POINT('Origin',(0.32,-0.32,-3.));
#64845=CARTESIAN_POINT('',(0.32,-0.32,8.38));
#64846=CARTESIAN_POINT('',(0.32,-0.32,-3.));
#64847=CARTESIAN_POINT('',(0.32,0.32,8.38));
#64848=CARTESIAN_POINT('',(0.32,0.32,-3.));
#64849=CARTESIAN_POINT('',(0.32,-0.32,8.38));
#64850=CARTESIAN_POINT('Origin',(-0.32,0.32,-3.));
#64851=CARTESIAN_POINT('',(-0.32,0.32,8.38));
#64852=CARTESIAN_POINT('',(-0.32,0.32,-3.));
#64853=CARTESIAN_POINT('',(-0.32,0.32,8.38));
#64854=CARTESIAN_POINT('Origin',(-0.32,-0.32,-3.));
#64855=CARTESIAN_POINT('',(-0.32,-0.32,8.38));
#64856=CARTESIAN_POINT('',(-0.32,-0.32,-3.));
#64857=CARTESIAN_POINT('',(-0.32,-0.32,8.38));
#64858=CARTESIAN_POINT('Origin',(-0.32,-0.32,-3.));
#64859=CARTESIAN_POINT('',(-0.32,-0.32,8.38));
#64860=CARTESIAN_POINT('Origin',(-0.32,-2.22,-3.));
#64861=CARTESIAN_POINT('',(0.32,-2.22,8.38));
#64862=CARTESIAN_POINT('',(0.32,-2.22,-3.));
#64863=CARTESIAN_POINT('',(-0.32,-2.22,8.38));
#64864=CARTESIAN_POINT('',(-0.32,-2.22,-3.));
#64865=CARTESIAN_POINT('',(-0.32,-2.22,8.38));
#64866=CARTESIAN_POINT('Origin',(-0.32,-2.86,-3.));
#64867=CARTESIAN_POINT('',(-0.32,-2.86,8.38));
#64868=CARTESIAN_POINT('',(-0.32,-2.86,-3.));
#64869=CARTESIAN_POINT('',(-0.32,-2.86,8.38));
#64870=CARTESIAN_POINT('Origin',(-0.32,-2.86,-3.));
#64871=CARTESIAN_POINT('',(0.32,-2.86,8.38));
#64872=CARTESIAN_POINT('',(0.32,-2.86,-3.));
#64873=CARTESIAN_POINT('',(-0.32,-2.86,8.38));
#64874=CARTESIAN_POINT('Origin',(0.32,-2.86,-3.));
#64875=CARTESIAN_POINT('',(0.32,-2.86,8.38));
#64876=CARTESIAN_POINT('Origin',(-0.32,-4.76,-3.));
#64877=CARTESIAN_POINT('',(0.32,-4.76,8.38));
#64878=CARTESIAN_POINT('',(0.32,-4.76,-3.));
#64879=CARTESIAN_POINT('',(-0.32,-4.76,8.38));
#64880=CARTESIAN_POINT('',(-0.32,-4.76,-3.));
#64881=CARTESIAN_POINT('',(-0.32,-4.76,8.38));
#64882=CARTESIAN_POINT('Origin',(-0.32,-5.4,-3.));
#64883=CARTESIAN_POINT('',(-0.32,-5.4,8.38));
#64884=CARTESIAN_POINT('',(-0.32,-5.4,-3.));
#64885=CARTESIAN_POINT('',(-0.32,-5.4,8.38));
#64886=CARTESIAN_POINT('Origin',(-0.32,-5.4,-3.));
#64887=CARTESIAN_POINT('',(0.32,-5.4,8.38));
#64888=CARTESIAN_POINT('',(0.32,-5.4,-3.));
#64889=CARTESIAN_POINT('',(-0.32,-5.4,8.38));
#64890=CARTESIAN_POINT('Origin',(0.32,-5.4,-3.));
#64891=CARTESIAN_POINT('',(0.32,-5.4,8.38));
#64892=CARTESIAN_POINT('Origin',(-0.32,-9.84,-3.));
#64893=CARTESIAN_POINT('',(0.32,-9.84,8.38));
#64894=CARTESIAN_POINT('',(0.32,-9.84,-3.));
#64895=CARTESIAN_POINT('',(-0.32,-9.84,8.38));
#64896=CARTESIAN_POINT('',(-0.32,-9.84,-3.));
#64897=CARTESIAN_POINT('',(-0.32,-9.84,8.38));
#64898=CARTESIAN_POINT('Origin',(-0.32,-10.48,-3.));
#64899=CARTESIAN_POINT('',(-0.32,-10.48,8.38));
#64900=CARTESIAN_POINT('',(-0.32,-10.48,-3.));
#64901=CARTESIAN_POINT('',(-0.32,-10.48,8.38));
#64902=CARTESIAN_POINT('Origin',(-0.32,-10.48,-3.));
#64903=CARTESIAN_POINT('',(0.32,-10.48,8.38));
#64904=CARTESIAN_POINT('',(0.32,-10.48,-3.));
#64905=CARTESIAN_POINT('',(-0.32,-10.48,8.38));
#64906=CARTESIAN_POINT('Origin',(0.32,-10.48,-3.));
#64907=CARTESIAN_POINT('',(0.32,-10.48,8.38));
#64908=CARTESIAN_POINT('Origin',(-0.32,-7.94,-3.));
#64909=CARTESIAN_POINT('',(0.32,-7.94,8.38));
#64910=CARTESIAN_POINT('',(0.32,-7.94,-3.));
#64911=CARTESIAN_POINT('',(-0.32,-7.94,8.38));
#64912=CARTESIAN_POINT('',(-0.32,-7.94,8.38));
#64913=CARTESIAN_POINT('',(-0.32,-7.94,-3.));
#64914=CARTESIAN_POINT('Origin',(0.32,-7.94,-3.));
#64915=CARTESIAN_POINT('',(0.32,-7.3,8.38));
#64916=CARTESIAN_POINT('',(0.32,-7.3,-3.));
#64917=CARTESIAN_POINT('',(0.32,-7.94,8.38));
#64918=CARTESIAN_POINT('Origin',(-0.32,-7.3,-3.));
#64919=CARTESIAN_POINT('',(-0.32,-7.3,8.38));
#64920=CARTESIAN_POINT('',(-0.32,-7.3,-3.));
#64921=CARTESIAN_POINT('',(-0.32,-7.3,8.38));
#64922=CARTESIAN_POINT('Origin',(-0.32,-7.94,-3.));
#64923=CARTESIAN_POINT('',(-0.32,-7.94,8.38));
#64924=CARTESIAN_POINT('Origin',(0.24,-0.32,-2.92));
#64925=CARTESIAN_POINT('',(0.16,-0.16,-3.));
#64926=CARTESIAN_POINT('',(0.16,-0.16,-3.));
#64927=CARTESIAN_POINT('',(0.16,0.16,-3.));
#64928=CARTESIAN_POINT('',(0.16,-0.32,-3.));
#64929=CARTESIAN_POINT('',(0.05333333333333,0.05333333333333,-3.106666666667));
#64930=CARTESIAN_POINT('Origin',(-0.32,0.24,-2.92));
#64931=CARTESIAN_POINT('',(-0.16,0.16,-3.));
#64932=CARTESIAN_POINT('',(-0.32,0.16,-3.));
#64933=CARTESIAN_POINT('',(-0.16,0.16,-3.));
#64934=CARTESIAN_POINT('Origin',(-0.24,-0.32,-2.92));
#64935=CARTESIAN_POINT('',(-0.16,-0.16,-3.));
#64936=CARTESIAN_POINT('',(-0.266666666667,-0.266666666667,-2.893333333333));
#64937=CARTESIAN_POINT('',(-0.16,-0.32,-3.));
#64938=CARTESIAN_POINT('Origin',(-0.32,-0.24,-2.92));
#64939=CARTESIAN_POINT('',(-0.32,-0.16,-3.));
#64940=CARTESIAN_POINT('Origin',(-0.32,-2.3,-2.92));
#64941=CARTESIAN_POINT('',(-0.16,-2.38,-3.));
#64942=CARTESIAN_POINT('',(0.16,-2.38,-3.));
#64943=CARTESIAN_POINT('',(-0.32,-2.38,-3.));
#64944=CARTESIAN_POINT('',(-0.16,-2.38,-3.));
#64945=CARTESIAN_POINT('',(0.05333333333333,-2.486666666667,-3.106666666667));
#64946=CARTESIAN_POINT('Origin',(-0.24,-2.86,-2.92));
#64947=CARTESIAN_POINT('',(-0.16,-2.7,-3.));
#64948=CARTESIAN_POINT('',(-0.266666666667,-2.806666666667,-2.893333333333));
#64949=CARTESIAN_POINT('',(-0.16,-2.86,-3.));
#64950=CARTESIAN_POINT('Origin',(-0.32,-2.78,-2.92));
#64951=CARTESIAN_POINT('',(0.16,-2.7,-3.));
#64952=CARTESIAN_POINT('',(-0.32,-2.7,-3.));
#64953=CARTESIAN_POINT('',(0.16,-2.7,-3.));
#64954=CARTESIAN_POINT('Origin',(0.24,-2.86,-2.92));
#64955=CARTESIAN_POINT('',(0.16,-2.86,-3.));
#64956=CARTESIAN_POINT('Origin',(-0.32,-4.84,-2.92));
#64957=CARTESIAN_POINT('',(-0.16,-4.92,-3.));
#64958=CARTESIAN_POINT('',(0.16,-4.92,-3.));
#64959=CARTESIAN_POINT('',(-0.32,-4.92,-3.));
#64960=CARTESIAN_POINT('',(-0.16,-4.92,-3.));
#64961=CARTESIAN_POINT('',(0.05333333333333,-5.026666666667,-3.106666666667));
#64962=CARTESIAN_POINT('Origin',(-0.24,-5.4,-2.92));
#64963=CARTESIAN_POINT('',(-0.16,-5.24,-3.));
#64964=CARTESIAN_POINT('',(-0.266666666667,-5.346666666667,-2.893333333333));
#64965=CARTESIAN_POINT('',(-0.16,-5.4,-3.));
#64966=CARTESIAN_POINT('Origin',(-0.32,-5.32,-2.92));
#64967=CARTESIAN_POINT('',(0.16,-5.24,-3.));
#64968=CARTESIAN_POINT('',(-0.32,-5.24,-3.));
#64969=CARTESIAN_POINT('',(0.16,-5.24,-3.));
#64970=CARTESIAN_POINT('Origin',(0.24,-5.4,-2.92));
#64971=CARTESIAN_POINT('',(0.16,-5.4,-3.));
#64972=CARTESIAN_POINT('Origin',(-0.32,-9.92,-2.92));
#64973=CARTESIAN_POINT('',(-0.16,-10.,-3.));
#64974=CARTESIAN_POINT('',(0.16,-10.,-3.));
#64975=CARTESIAN_POINT('',(-0.32,-10.,-3.));
#64976=CARTESIAN_POINT('',(-0.16,-10.,-3.));
#64977=CARTESIAN_POINT('',(0.05333333333333,-10.10666666666,-3.106666666667));
#64978=CARTESIAN_POINT('Origin',(-0.24,-10.48,-2.92));
#64979=CARTESIAN_POINT('',(-0.16,-10.32,-3.));
#64980=CARTESIAN_POINT('',(-0.266666666667,-10.42666666666,-2.893333333333));
#64981=CARTESIAN_POINT('',(-0.16,-10.48,-3.));
#64982=CARTESIAN_POINT('Origin',(-0.32,-10.4,-2.92));
#64983=CARTESIAN_POINT('',(0.16,-10.32,-3.));
#64984=CARTESIAN_POINT('',(-0.32,-10.32,-3.));
#64985=CARTESIAN_POINT('',(0.16,-10.32,-3.));
#64986=CARTESIAN_POINT('Origin',(0.24,-10.48,-2.92));
#64987=CARTESIAN_POINT('',(0.16,-10.48,-3.));
#64988=CARTESIAN_POINT('Origin',(-0.32,-7.86,-2.92));
#64989=CARTESIAN_POINT('',(-0.16,-7.78,-3.));
#64990=CARTESIAN_POINT('',(0.16,-7.78,-3.));
#64991=CARTESIAN_POINT('',(-0.32,-7.78,-3.));
#64992=CARTESIAN_POINT('',(0.16,-7.78,-3.));
#64993=CARTESIAN_POINT('',(-0.266666666667,-7.886666666667,-2.893333333333));
#64994=CARTESIAN_POINT('Origin',(0.24,-7.94,-2.92));
#64995=CARTESIAN_POINT('',(0.16,-7.46,-3.));
#64996=CARTESIAN_POINT('',(0.16,-7.94,-3.));
#64997=CARTESIAN_POINT('',(0.05333333333333,-7.566666666667,-3.106666666667));
#64998=CARTESIAN_POINT('Origin',(-0.32,-7.38,-2.92));
#64999=CARTESIAN_POINT('',(-0.16,-7.46,-3.));
#65000=CARTESIAN_POINT('',(-0.32,-7.46,-3.));
#65001=CARTESIAN_POINT('',(-0.16,-7.46,-3.));
#65002=CARTESIAN_POINT('Origin',(-0.24,-7.94,-2.92));
#65003=CARTESIAN_POINT('',(-0.16,-7.94,-3.));
#65004=CARTESIAN_POINT('Origin',(0.24,-0.32,8.46));
#65005=CARTESIAN_POINT('',(0.16,-0.16,8.54));
#65006=CARTESIAN_POINT('',(0.16,-0.16,8.54));
#65007=CARTESIAN_POINT('',(0.16,0.16,8.54));
#65008=CARTESIAN_POINT('',(0.05333333333333,0.05333333333333,8.646666666667));
#65009=CARTESIAN_POINT('',(0.16,-0.32,8.54));
#65010=CARTESIAN_POINT('Origin',(-0.32,0.24,8.46));
#65011=CARTESIAN_POINT('',(-0.16,0.16,8.54));
#65012=CARTESIAN_POINT('',(-0.32,0.16,8.54));
#65013=CARTESIAN_POINT('',(-0.16,0.16,8.54));
#65014=CARTESIAN_POINT('Origin',(-0.24,-0.32,8.46));
#65015=CARTESIAN_POINT('',(-0.16,-0.16,8.54));
#65016=CARTESIAN_POINT('',(-0.266666666667,-0.266666666667,8.433333333333));
#65017=CARTESIAN_POINT('',(-0.16,-0.32,8.54));
#65018=CARTESIAN_POINT('Origin',(-0.32,-0.24,8.46));
#65019=CARTESIAN_POINT('',(-0.32,-0.16,8.54));
#65020=CARTESIAN_POINT('Origin',(-0.32,-2.3,8.46));
#65021=CARTESIAN_POINT('',(-0.16,-2.38,8.54));
#65022=CARTESIAN_POINT('',(0.16,-2.38,8.54));
#65023=CARTESIAN_POINT('',(-0.32,-2.38,8.54));
#65024=CARTESIAN_POINT('',(0.05333333333333,-2.486666666667,8.646666666667));
#65025=CARTESIAN_POINT('',(-0.16,-2.38,8.54));
#65026=CARTESIAN_POINT('Origin',(-0.24,-2.86,8.46));
#65027=CARTESIAN_POINT('',(-0.16,-2.7,8.54));
#65028=CARTESIAN_POINT('',(-0.266666666667,-2.806666666667,8.433333333333));
#65029=CARTESIAN_POINT('',(-0.16,-2.86,8.54));
#65030=CARTESIAN_POINT('Origin',(-0.32,-2.78,8.46));
#65031=CARTESIAN_POINT('',(0.16,-2.7,8.54));
#65032=CARTESIAN_POINT('',(-0.32,-2.7,8.54));
#65033=CARTESIAN_POINT('',(0.16,-2.7,8.54));
#65034=CARTESIAN_POINT('Origin',(0.24,-2.86,8.46));
#65035=CARTESIAN_POINT('',(0.16,-2.86,8.54));
#65036=CARTESIAN_POINT('Origin',(-0.32,-4.84,8.46));
#65037=CARTESIAN_POINT('',(-0.16,-4.92,8.54));
#65038=CARTESIAN_POINT('',(0.16,-4.92,8.54));
#65039=CARTESIAN_POINT('',(-0.32,-4.92,8.54));
#65040=CARTESIAN_POINT('',(0.05333333333333,-5.026666666667,8.646666666667));
#65041=CARTESIAN_POINT('',(-0.16,-4.92,8.54));
#65042=CARTESIAN_POINT('Origin',(-0.24,-5.4,8.46));
#65043=CARTESIAN_POINT('',(-0.16,-5.24,8.54));
#65044=CARTESIAN_POINT('',(-0.266666666667,-5.346666666667,8.433333333333));
#65045=CARTESIAN_POINT('',(-0.16,-5.4,8.54));
#65046=CARTESIAN_POINT('Origin',(-0.32,-5.32,8.46));
#65047=CARTESIAN_POINT('',(0.16,-5.24,8.54));
#65048=CARTESIAN_POINT('',(-0.32,-5.24,8.54));
#65049=CARTESIAN_POINT('',(0.16,-5.24,8.54));
#65050=CARTESIAN_POINT('Origin',(0.24,-5.4,8.46));
#65051=CARTESIAN_POINT('',(0.16,-5.4,8.54));
#65052=CARTESIAN_POINT('Origin',(-0.32,-9.92,8.46));
#65053=CARTESIAN_POINT('',(-0.16,-10.,8.54));
#65054=CARTESIAN_POINT('',(0.16,-10.,8.54));
#65055=CARTESIAN_POINT('',(-0.32,-10.,8.54));
#65056=CARTESIAN_POINT('',(0.05333333333333,-10.10666666666,8.646666666667));
#65057=CARTESIAN_POINT('',(-0.16,-10.,8.54));
#65058=CARTESIAN_POINT('Origin',(-0.24,-10.48,8.46));
#65059=CARTESIAN_POINT('',(-0.16,-10.32,8.54));
#65060=CARTESIAN_POINT('',(-0.266666666667,-10.42666666666,8.433333333333));
#65061=CARTESIAN_POINT('',(-0.16,-10.48,8.54));
#65062=CARTESIAN_POINT('Origin',(-0.32,-10.4,8.46));
#65063=CARTESIAN_POINT('',(0.16,-10.32,8.54));
#65064=CARTESIAN_POINT('',(-0.32,-10.32,8.54));
#65065=CARTESIAN_POINT('',(0.16,-10.32,8.54));
#65066=CARTESIAN_POINT('Origin',(0.24,-10.48,8.46));
#65067=CARTESIAN_POINT('',(0.16,-10.48,8.54));
#65068=CARTESIAN_POINT('Origin',(-0.32,-7.86,8.46));
#65069=CARTESIAN_POINT('',(-0.16,-7.78,8.54));
#65070=CARTESIAN_POINT('',(0.16,-7.78,8.54));
#65071=CARTESIAN_POINT('',(-0.32,-7.78,8.54));
#65072=CARTESIAN_POINT('',(-0.266666666667,-7.886666666667,8.433333333333));
#65073=CARTESIAN_POINT('',(0.16,-7.78,8.54));
#65074=CARTESIAN_POINT('Origin',(0.24,-7.94,8.46));
#65075=CARTESIAN_POINT('',(0.16,-7.46,8.54));
#65076=CARTESIAN_POINT('',(0.05333333333333,-7.566666666667,8.646666666667));
#65077=CARTESIAN_POINT('',(0.16,-7.94,8.54));
#65078=CARTESIAN_POINT('Origin',(-0.32,-7.38,8.46));
#65079=CARTESIAN_POINT('',(-0.16,-7.46,8.54));
#65080=CARTESIAN_POINT('',(-0.32,-7.46,8.54));
#65081=CARTESIAN_POINT('',(-0.16,-7.46,8.54));
#65082=CARTESIAN_POINT('Origin',(-0.24,-7.94,8.46));
#65083=CARTESIAN_POINT('',(-0.16,-7.94,8.54));
#65084=CARTESIAN_POINT('Origin',(-0.32,-0.32,-3.));
#65085=CARTESIAN_POINT('Origin',(-0.32,-2.86,-3.));
#65086=CARTESIAN_POINT('Origin',(-0.32,-5.4,-3.));
#65087=CARTESIAN_POINT('Origin',(-0.32,-10.48,-3.));
#65088=CARTESIAN_POINT('Origin',(-0.32,-7.94,-3.));
#65089=CARTESIAN_POINT('Origin',(-0.32,-0.32,8.54));
#65090=CARTESIAN_POINT('Origin',(-0.32,-2.86,8.54));
#65091=CARTESIAN_POINT('Origin',(-0.32,-5.4,8.54));
#65092=CARTESIAN_POINT('Origin',(-0.32,-10.48,8.54));
#65093=CARTESIAN_POINT('Origin',(-0.32,-7.94,8.54));
#65094=CARTESIAN_POINT('',(0.,0.,0.));
#65095=CARTESIAN_POINT('',(0.,0.,0.));
#65096=CARTESIAN_POINT('',(0.,0.,0.));
#65097=CARTESIAN_POINT('Origin',(1.481626402817E-17,-0.625,0.771483451357));
#65098=CARTESIAN_POINT('',(-0.65,-0.625,0.465));
#65099=CARTESIAN_POINT('',(-0.585,-0.625,1.1));
#65100=CARTESIAN_POINT('',(-0.65,-0.625,0.465));
#65101=CARTESIAN_POINT('',(0.65,-0.625,0.465));
#65102=CARTESIAN_POINT('',(0.65,-0.625,0.465));
#65103=CARTESIAN_POINT('',(0.585,-0.625,1.1));
#65104=CARTESIAN_POINT('',(0.585,-0.625,1.1));
#65105=CARTESIAN_POINT('',(-0.585,-0.625,1.1));
#65106=CARTESIAN_POINT('Origin',(-0.65,0.625,0.465));
#65107=CARTESIAN_POINT('',(-0.65,0.625,0.465));
#65108=CARTESIAN_POINT('',(-0.65,0.46875,0.465));
#65109=CARTESIAN_POINT('',(-0.65,0.625,0.465));
#65110=CARTESIAN_POINT('',(-0.65,-0.46875,0.465));
#65111=CARTESIAN_POINT('',(-0.65,-0.625,0.465));
#65112=CARTESIAN_POINT('',(-0.65,0.625,0.465));
#65113=CARTESIAN_POINT('',(-0.585,0.625,1.1));
#65114=CARTESIAN_POINT('',(-0.585,0.625,1.1));
#65115=CARTESIAN_POINT('',(-0.65,0.625,0.465));
#65116=CARTESIAN_POINT('Origin',(-0.965,-0.625,0.035));
#65117=CARTESIAN_POINT('',(-0.965,-0.625,0.035));
#65118=CARTESIAN_POINT('',(-0.9125,-0.625,0.035));
#65119=CARTESIAN_POINT('',(-0.965,-0.625,0.035));
#65120=CARTESIAN_POINT('',(0.9125,-0.625,0.035));
#65121=CARTESIAN_POINT('',(-0.965,-0.625,0.035));
#65122=CARTESIAN_POINT('',(0.965,-0.625,0.035));
#65123=CARTESIAN_POINT('',(-0.965,-0.625,0.035));
#65124=CARTESIAN_POINT('',(0.965,-0.625,0.465));
#65125=CARTESIAN_POINT('',(0.965,-0.625,0.035));
#65126=CARTESIAN_POINT('',(0.9125,-0.625,0.465));
#65127=CARTESIAN_POINT('',(-0.965,-0.625,0.465));
#65128=CARTESIAN_POINT('',(-0.965,-0.625,0.465));
#65129=CARTESIAN_POINT('',(-0.9125,-0.625,0.465));
#65130=CARTESIAN_POINT('',(-0.965,-0.625,0.465));
#65131=CARTESIAN_POINT('',(-0.965,-0.625,0.465));
#65132=CARTESIAN_POINT('',(-0.965,-0.625,0.465));
#65133=CARTESIAN_POINT('',(-0.965,-0.625,0.035));
#65134=CARTESIAN_POINT('Origin',(-0.585,0.625,1.1));
#65135=CARTESIAN_POINT('',(0.585,0.625,1.1));
#65136=CARTESIAN_POINT('',(0.585,0.625,1.1));
#65137=CARTESIAN_POINT('',(-0.585,0.625,1.1));
#65138=CARTESIAN_POINT('Origin',(0.585,0.625,1.1));
#65139=CARTESIAN_POINT('',(0.65,-0.46875,0.465));
#65140=CARTESIAN_POINT('',(0.65,0.625,0.465));
#65141=CARTESIAN_POINT('',(0.65,0.46875,0.465));
#65142=CARTESIAN_POINT('',(0.65,0.625,0.465));
#65143=CARTESIAN_POINT('',(0.65,0.625,0.465));
#65144=CARTESIAN_POINT('',(0.65,0.625,0.465));
#65145=CARTESIAN_POINT('',(0.585,0.625,1.1));
#65146=CARTESIAN_POINT('Origin',(-0.965,-0.625,0.465));
#65147=CARTESIAN_POINT('',(-0.9125,0.625,0.465));
#65148=CARTESIAN_POINT('',(-0.965,0.625,0.465));
#65149=CARTESIAN_POINT('',(-0.9125,0.46875,0.465));
#65150=CARTESIAN_POINT('',(-0.9125,0.078125,0.465));
#65151=CARTESIAN_POINT('',(-0.93875,0.46875,0.465));
#65152=CARTESIAN_POINT('Origin',(1.481626402817E-17,0.625,0.771483451357));
#65153=CARTESIAN_POINT('',(0.65,0.625,0.465));
#65154=CARTESIAN_POINT('Origin',(-0.685,-0.625,0.465));
#65155=CARTESIAN_POINT('',(-0.685,0.46875,0.5));
#65156=CARTESIAN_POINT('Origin',(-0.685,0.46875,0.465));
#65157=CARTESIAN_POINT('',(-0.685,-0.46875,0.5));
#65158=CARTESIAN_POINT('',(-0.685,-0.625,0.5));
#65159=CARTESIAN_POINT('Origin',(-0.685,-0.46875,0.465));
#65160=CARTESIAN_POINT('Origin',(-0.965,-0.625,0.465));
#65161=CARTESIAN_POINT('',(-0.9125,-0.46875,0.465));
#65162=CARTESIAN_POINT('',(-0.9125,-0.546875,0.465));
#65163=CARTESIAN_POINT('',(-0.02625,-0.46875,0.465));
#65164=CARTESIAN_POINT('Origin',(-1.,-0.625,0.));
#65165=CARTESIAN_POINT('',(-0.965,-0.625,-6.938893903907E-18));
#65166=CARTESIAN_POINT('',(-0.9125,-0.625,0.));
#65167=CARTESIAN_POINT('',(-1.,-0.625,0.));
#65168=CARTESIAN_POINT('',(-0.9125,-0.625,0.375));
#65169=CARTESIAN_POINT('',(-0.9125,-0.625,0.5));
#65170=CARTESIAN_POINT('',(-0.9125,-0.625,0.375));
#65171=CARTESIAN_POINT('',(-0.965,-0.625,0.5));
#65172=CARTESIAN_POINT('',(-1.,-0.625,0.5));
#65173=CARTESIAN_POINT('',(-1.,-0.625,0.465));
#65174=CARTESIAN_POINT('Origin',(-0.965,-0.625,0.465));
#65175=CARTESIAN_POINT('',(-1.,-0.625,0.035));
#65176=CARTESIAN_POINT('',(-1.,-0.625,0.));
#65177=CARTESIAN_POINT('Origin',(-0.965,-0.625,0.035));
#65178=CARTESIAN_POINT('Origin',(-0.965,-0.625,0.035));
#65179=CARTESIAN_POINT('',(-0.9125,-0.46875,0.035));
#65180=CARTESIAN_POINT('',(-0.9125,-0.546875,0.035));
#65181=CARTESIAN_POINT('',(-0.65,-0.46875,0.035));
#65182=CARTESIAN_POINT('',(-0.02625,-0.46875,0.035));
#65183=CARTESIAN_POINT('',(-0.65,0.46875,0.035));
#65184=CARTESIAN_POINT('',(-0.65,-0.625,0.035));
#65185=CARTESIAN_POINT('',(-0.9125,0.46875,0.035));
#65186=CARTESIAN_POINT('',(-0.93875,0.46875,0.035));
#65187=CARTESIAN_POINT('',(-0.9125,0.625,0.035));
#65188=CARTESIAN_POINT('',(-0.9125,0.078125,0.035));
#65189=CARTESIAN_POINT('',(0.9125,0.625,0.035));
#65190=CARTESIAN_POINT('',(-0.965,0.625,0.035));
#65191=CARTESIAN_POINT('',(0.9125,0.46875,0.035));
#65192=CARTESIAN_POINT('',(0.9125,-0.078125,0.035));
#65193=CARTESIAN_POINT('',(0.65,0.46875,0.035));
#65194=CARTESIAN_POINT('',(-0.93875,0.46875,0.035));
#65195=CARTESIAN_POINT('',(0.65,-0.46875,0.035));
#65196=CARTESIAN_POINT('',(0.65,-0.625,0.035));
#65197=CARTESIAN_POINT('',(0.9125,-0.46875,0.035));
#65198=CARTESIAN_POINT('',(-0.02625,-0.46875,0.035));
#65199=CARTESIAN_POINT('',(0.9125,-0.703125,0.035));
#65200=CARTESIAN_POINT('',(0.433012701892,0.5,0.035));
#65201=CARTESIAN_POINT('',(0.433012701892,-0.5,0.035));
#65202=CARTESIAN_POINT('',(0.433012701892,0.5,0.035));
#65203=CARTESIAN_POINT('',(-0.433012701892,0.,0.035));
#65204=CARTESIAN_POINT('',(-0.433012701892,0.,0.035));
#65205=CARTESIAN_POINT('',(0.433012701892,-0.5,0.035));
#65206=CARTESIAN_POINT('Origin',(0.65,-0.625,0.));
#65207=CARTESIAN_POINT('',(0.9125,-0.625,0.));
#65208=CARTESIAN_POINT('',(0.965,-0.625,-6.938893903907E-18));
#65209=CARTESIAN_POINT('',(0.65,-0.625,0.));
#65210=CARTESIAN_POINT('',(1.,-0.625,0.035));
#65211=CARTESIAN_POINT('Origin',(0.965,-0.625,0.035));
#65212=CARTESIAN_POINT('',(1.,-0.625,0.465));
#65213=CARTESIAN_POINT('',(1.,-0.625,0.));
#65214=CARTESIAN_POINT('',(0.965,-0.625,0.5));
#65215=CARTESIAN_POINT('Origin',(0.965,-0.625,0.465));
#65216=CARTESIAN_POINT('',(0.9125,-0.625,0.5));
#65217=CARTESIAN_POINT('',(0.65,-0.625,0.5));
#65218=CARTESIAN_POINT('',(0.9125,-0.625,0.375));
#65219=CARTESIAN_POINT('',(0.9125,-0.625,0.375));
#65220=CARTESIAN_POINT('Origin',(-0.965,-0.625,0.465));
#65221=CARTESIAN_POINT('',(0.9125,-0.46875,0.465));
#65222=CARTESIAN_POINT('',(-0.02625,-0.46875,0.465));
#65223=CARTESIAN_POINT('',(0.9125,-0.703125,0.465));
#65224=CARTESIAN_POINT('Origin',(-0.965,-0.625,0.465));
#65225=CARTESIAN_POINT('',(0.9125,0.625,0.465));
#65226=CARTESIAN_POINT('',(-0.965,0.625,0.465));
#65227=CARTESIAN_POINT('',(0.9125,0.46875,0.465));
#65228=CARTESIAN_POINT('',(-0.93875,0.46875,0.465));
#65229=CARTESIAN_POINT('',(0.9125,-0.078125,0.465));
#65230=CARTESIAN_POINT('Origin',(0.685,-0.625,0.465));
#65231=CARTESIAN_POINT('',(0.685,-0.46875,0.5));
#65232=CARTESIAN_POINT('Origin',(0.685,-0.46875,0.465));
#65233=CARTESIAN_POINT('',(0.685,0.46875,0.5));
#65234=CARTESIAN_POINT('',(0.685,-0.625,0.5));
#65235=CARTESIAN_POINT('Origin',(0.685,0.46875,0.465));
#65236=CARTESIAN_POINT('Origin',(-0.965,0.625,0.035));
#65237=CARTESIAN_POINT('',(-0.965,0.625,0.035));
#65238=CARTESIAN_POINT('',(-0.965,0.625,0.035));
#65239=CARTESIAN_POINT('',(-0.965,0.625,0.465));
#65240=CARTESIAN_POINT('',(-0.965,0.625,0.035));
#65241=CARTESIAN_POINT('',(-0.965,0.625,0.465));
#65242=CARTESIAN_POINT('',(0.965,0.625,0.465));
#65243=CARTESIAN_POINT('',(-0.965,0.625,0.465));
#65244=CARTESIAN_POINT('',(0.965,0.625,0.035));
#65245=CARTESIAN_POINT('',(0.965,0.625,0.035));
#65246=CARTESIAN_POINT('',(-0.965,0.625,0.035));
#65247=CARTESIAN_POINT('Origin',(-0.9125,0.46875,0.75));
#65248=CARTESIAN_POINT('',(-0.9125,0.46875,0.5));
#65249=CARTESIAN_POINT('',(-0.95625,0.46875,0.5));
#65250=CARTESIAN_POINT('',(-0.9125,0.46875,0.75));
#65251=CARTESIAN_POINT('Origin',(-0.9125,0.78125,0.75));
#65252=CARTESIAN_POINT('',(-0.9125,0.625,0.5));
#65253=CARTESIAN_POINT('',(-0.9125,0.625,0.375));
#65254=CARTESIAN_POINT('',(-0.9125,0.078125,0.5));
#65255=CARTESIAN_POINT('Origin',(0.9125,-0.46875,0.75));
#65256=CARTESIAN_POINT('',(-0.9125,-0.46875,0.5));
#65257=CARTESIAN_POINT('',(-0.9125,-0.46875,0.75));
#65258=CARTESIAN_POINT('',(-0.04375,-0.46875,0.5));
#65259=CARTESIAN_POINT('Origin',(-1.,-0.625,0.5));
#65260=CARTESIAN_POINT('',(-0.965,0.625,0.5));
#65261=CARTESIAN_POINT('',(-1.,0.625,0.5));
#65262=CARTESIAN_POINT('',(-0.965,-0.625,0.5));
#65263=CARTESIAN_POINT('',(-0.9125,-0.546875,0.5));
#65264=CARTESIAN_POINT('Origin',(-0.9125,-0.46875,0.75));
#65265=CARTESIAN_POINT('Origin',(-1.,-0.625,0.));
#65266=CARTESIAN_POINT('',(-0.965,0.625,-6.938893903907E-18));
#65267=CARTESIAN_POINT('',(-0.9125,0.625,0.));
#65268=CARTESIAN_POINT('',(-1.,0.625,0.));
#65269=CARTESIAN_POINT('',(-0.9125,0.46875,0.));
#65270=CARTESIAN_POINT('',(-0.9125,0.078125,0.));
#65271=CARTESIAN_POINT('',(-0.685,0.46875,-6.938893903907E-18));
#65272=CARTESIAN_POINT('',(-0.95625,0.46875,0.));
#65273=CARTESIAN_POINT('',(-0.685,-0.46875,-6.938893903907E-18));
#65274=CARTESIAN_POINT('',(-0.685,-0.625,-6.938893903907E-18));
#65275=CARTESIAN_POINT('',(-0.9125,-0.46875,0.));
#65276=CARTESIAN_POINT('',(-0.04375,-0.46875,0.));
#65277=CARTESIAN_POINT('',(-0.9125,-0.546875,0.));
#65278=CARTESIAN_POINT('',(-0.965,-0.625,-6.938893903907E-18));
#65279=CARTESIAN_POINT('Origin',(-0.965,-0.625,0.035));
#65280=CARTESIAN_POINT('',(-1.,0.625,0.035));
#65281=CARTESIAN_POINT('',(-1.,-0.625,0.035));
#65282=CARTESIAN_POINT('Origin',(-0.965,0.625,0.035));
#65283=CARTESIAN_POINT('Origin',(-0.9125,-0.46875,0.75));
#65284=CARTESIAN_POINT('',(-0.9125,-0.46875,0.75));
#65285=CARTESIAN_POINT('Origin',(-1.,-0.625,0.));
#65286=CARTESIAN_POINT('',(-1.,0.625,0.465));
#65287=CARTESIAN_POINT('',(-1.,-0.625,0.465));
#65288=CARTESIAN_POINT('',(-1.,0.625,0.));
#65289=CARTESIAN_POINT('Origin',(-0.965,-0.625,0.465));
#65290=CARTESIAN_POINT('Origin',(-0.965,0.625,0.465));
#65291=CARTESIAN_POINT('Origin',(0.9125,-0.46875,0.75));
#65292=CARTESIAN_POINT('Origin',(-0.685,-0.46875,0.035));
#65293=CARTESIAN_POINT('Origin',(-0.685,-0.625,0.035));
#65294=CARTESIAN_POINT('Origin',(-0.685,0.46875,0.035));
#65295=CARTESIAN_POINT('Origin',(-0.9125,0.46875,0.75));
#65296=CARTESIAN_POINT('',(-0.9125,0.46875,0.75));
#65297=CARTESIAN_POINT('Origin',(-0.9125,0.78125,0.75));
#65298=CARTESIAN_POINT('',(-0.9125,0.625,0.375));
#65299=CARTESIAN_POINT('Origin',(0.9125,0.46875,0.75));
#65300=CARTESIAN_POINT('',(0.9125,0.625,0.));
#65301=CARTESIAN_POINT('',(0.9125,0.625,0.375));
#65302=CARTESIAN_POINT('',(0.9125,0.46875,0.));
#65303=CARTESIAN_POINT('',(0.9125,-0.078125,0.));
#65304=CARTESIAN_POINT('',(0.9125,0.46875,0.75));
#65305=CARTESIAN_POINT('Origin',(-0.9125,0.46875,0.75));
#65306=CARTESIAN_POINT('',(0.685,0.46875,-6.938893903907E-18));
#65307=CARTESIAN_POINT('',(-0.13125,0.46875,0.));
#65308=CARTESIAN_POINT('Origin',(0.685,0.46875,0.035));
#65309=CARTESIAN_POINT('Origin',(0.685,-0.625,0.035));
#65310=CARTESIAN_POINT('',(0.685,-0.46875,-6.938893903907E-18));
#65311=CARTESIAN_POINT('',(0.685,-0.625,-6.938893903907E-18));
#65312=CARTESIAN_POINT('Origin',(0.685,-0.46875,0.035));
#65313=CARTESIAN_POINT('Origin',(0.9125,-0.46875,0.75));
#65314=CARTESIAN_POINT('',(0.9125,-0.46875,0.));
#65315=CARTESIAN_POINT('',(0.78125,-0.46875,0.));
#65316=CARTESIAN_POINT('',(0.9125,-0.46875,0.75));
#65317=CARTESIAN_POINT('Origin',(0.9125,-0.78125,0.75));
#65318=CARTESIAN_POINT('',(0.9125,-0.703125,0.));
#65319=CARTESIAN_POINT('Origin',(0.433012701892,0.5,0.0175));
#65320=CARTESIAN_POINT('',(0.433012701892,0.5,0.0175));
#65321=CARTESIAN_POINT('',(0.433012701892,0.5,0.0175));
#65322=CARTESIAN_POINT('',(0.433012701892,-0.5,0.0175));
#65323=CARTESIAN_POINT('',(0.433012701892,-0.5,0.0175));
#65324=CARTESIAN_POINT('',(0.433012701892,0.5,0.0175));
#65325=CARTESIAN_POINT('Origin',(-0.433012701892,0.,0.0175));
#65326=CARTESIAN_POINT('',(-0.433012701892,0.,0.0175));
#65327=CARTESIAN_POINT('',(-0.433012701892,0.,0.0175));
#65328=CARTESIAN_POINT('',(-0.433012701892,0.,0.0175));
#65329=CARTESIAN_POINT('Origin',(0.433012701892,-0.5,0.0175));
#65330=CARTESIAN_POINT('',(0.433012701892,-0.5,0.0175));
#65331=CARTESIAN_POINT('Origin',(0.65,-0.625,0.));
#65332=CARTESIAN_POINT('',(0.965,0.625,-6.938893903907E-18));
#65333=CARTESIAN_POINT('',(0.65,0.625,0.));
#65334=CARTESIAN_POINT('',(0.965,-0.625,-6.938893903907E-18));
#65335=CARTESIAN_POINT('Origin',(0.965,-0.625,0.035));
#65336=CARTESIAN_POINT('',(1.,0.625,0.035));
#65337=CARTESIAN_POINT('Origin',(0.965,0.625,0.035));
#65338=CARTESIAN_POINT('',(1.,-0.625,0.035));
#65339=CARTESIAN_POINT('Origin',(1.,-0.625,0.));
#65340=CARTESIAN_POINT('',(1.,0.625,0.465));
#65341=CARTESIAN_POINT('',(1.,0.625,0.));
#65342=CARTESIAN_POINT('',(1.,-0.625,0.465));
#65343=CARTESIAN_POINT('Origin',(0.965,-0.625,0.465));
#65344=CARTESIAN_POINT('',(0.965,0.625,0.5));
#65345=CARTESIAN_POINT('Origin',(0.965,0.625,0.465));
#65346=CARTESIAN_POINT('',(0.965,-0.625,0.5));
#65347=CARTESIAN_POINT('Origin',(0.65,-0.625,0.5));
#65348=CARTESIAN_POINT('',(0.9125,0.625,0.5));
#65349=CARTESIAN_POINT('',(0.65,0.625,0.5));
#65350=CARTESIAN_POINT('',(0.9125,0.46875,0.5));
#65351=CARTESIAN_POINT('',(0.9125,-0.078125,0.5));
#65352=CARTESIAN_POINT('',(-0.13125,0.46875,0.5));
#65353=CARTESIAN_POINT('',(0.9125,-0.46875,0.5));
#65354=CARTESIAN_POINT('',(0.78125,-0.46875,0.5));
#65355=CARTESIAN_POINT('',(0.9125,-0.703125,0.5));
#65356=CARTESIAN_POINT('Origin',(0.9125,-0.78125,0.75));
#65357=CARTESIAN_POINT('',(0.9125,-0.46875,0.75));
#65358=CARTESIAN_POINT('Origin',(0.9125,-0.46875,0.75));
#65359=CARTESIAN_POINT('Origin',(0.9125,0.46875,0.75));
#65360=CARTESIAN_POINT('',(0.9125,0.625,0.375));
#65361=CARTESIAN_POINT('',(0.9125,0.46875,0.75));
#65362=CARTESIAN_POINT('Origin',(-0.9125,0.46875,0.75));
#65363=CARTESIAN_POINT('Origin',(-1.,0.625,0.));
#65364=CARTESIAN_POINT('Origin',(0.65,0.625,0.));
#65365=CARTESIAN_POINT('Origin',(0.144337567297,9.251858538543E-18,0.0175));
#65366=CARTESIAN_POINT('',(0.,0.,0.));
#65367=CARTESIAN_POINT('',(0.,0.,0.));
#65368=CARTESIAN_POINT('',(0.,0.,0.));
#65369=CARTESIAN_POINT('Origin',(-0.8,-0.4,0.));
#65370=CARTESIAN_POINT('',(-0.8,-0.4,0.03));
#65371=CARTESIAN_POINT('',(-0.8,-0.4,0.77));
#65372=CARTESIAN_POINT('',(-0.8,-0.4,0.));
#65373=CARTESIAN_POINT('',(-0.8,-0.37,0.8));
#65374=CARTESIAN_POINT('Origin',(-0.8,-0.37,0.77));
#65375=CARTESIAN_POINT('',(-0.8,0.37,0.8));
#65376=CARTESIAN_POINT('',(-0.8,-0.4,0.8));
#65377=CARTESIAN_POINT('',(-0.8,0.4,0.77));
#65378=CARTESIAN_POINT('Origin',(-0.8,0.37,0.77));
#65379=CARTESIAN_POINT('',(-0.8,0.4,0.03));
#65380=CARTESIAN_POINT('',(-0.8,0.4,0.));
#65381=CARTESIAN_POINT('',(-0.8,0.37,0.));
#65382=CARTESIAN_POINT('Origin',(-0.8,0.37,0.03));
#65383=CARTESIAN_POINT('',(-0.8,-0.37,0.));
#65384=CARTESIAN_POINT('',(-0.8,-0.4,0.));
#65385=CARTESIAN_POINT('Origin',(-0.8,-0.37,0.03));
#65386=CARTESIAN_POINT('Origin',(-0.8,-0.4,0.));
#65387=CARTESIAN_POINT('',(-0.5,-0.4,0.03));
#65388=CARTESIAN_POINT('',(-0.5,-0.4,0.77));
#65389=CARTESIAN_POINT('',(-0.5,-0.4,0.));
#65390=CARTESIAN_POINT('',(-0.8,-0.4,0.77));
#65391=CARTESIAN_POINT('',(-0.8,-0.4,0.03));
#65392=CARTESIAN_POINT('Origin',(-0.8,-0.37,0.03));
#65393=CARTESIAN_POINT('',(-0.5,-0.37,0.));
#65394=CARTESIAN_POINT('',(-0.8,-0.37,0.));
#65395=CARTESIAN_POINT('Origin',(-0.5,-0.37,0.03));
#65396=CARTESIAN_POINT('Origin',(-0.8,-0.37,0.77));
#65397=CARTESIAN_POINT('',(-0.5,-0.37,0.8));
#65398=CARTESIAN_POINT('Origin',(-0.5,-0.37,0.77));
#65399=CARTESIAN_POINT('',(-0.8,-0.37,0.8));
#65400=CARTESIAN_POINT('Origin',(-0.8,-0.4,0.));
#65401=CARTESIAN_POINT('',(-0.5,0.37,0.));
#65402=CARTESIAN_POINT('',(-0.8,0.37,0.));
#65403=CARTESIAN_POINT('',(-0.5,-0.4,0.));
#65404=CARTESIAN_POINT('Origin',(-0.8,-0.4,0.8));
#65405=CARTESIAN_POINT('',(-0.5,0.37,0.8));
#65406=CARTESIAN_POINT('',(-0.5,-0.4,0.8));
#65407=CARTESIAN_POINT('',(-0.8,0.37,0.8));
#65408=CARTESIAN_POINT('Origin',(-0.8,0.37,0.03));
#65409=CARTESIAN_POINT('',(-0.5,0.4,0.03));
#65410=CARTESIAN_POINT('',(-0.8,0.4,0.03));
#65411=CARTESIAN_POINT('Origin',(-0.5,0.37,0.03));
#65412=CARTESIAN_POINT('Origin',(-0.8,0.37,0.77));
#65413=CARTESIAN_POINT('',(-0.5,0.4,0.77));
#65414=CARTESIAN_POINT('Origin',(-0.5,0.37,0.77));
#65415=CARTESIAN_POINT('',(-0.8,0.4,0.77));
#65416=CARTESIAN_POINT('Origin',(-0.8,0.4,0.));
#65417=CARTESIAN_POINT('',(-0.5,0.4,0.));
#65418=CARTESIAN_POINT('Origin',(-0.5,-0.4,0.));
#65419=CARTESIAN_POINT('',(-0.5,-0.37,0.06));
#65420=CARTESIAN_POINT('',(-0.5,-0.34,0.03));
#65421=CARTESIAN_POINT('Origin',(-0.5,-0.34,0.06));
#65422=CARTESIAN_POINT('',(-0.5,-0.37,0.74));
#65423=CARTESIAN_POINT('',(-0.5,-0.37,0.03));
#65424=CARTESIAN_POINT('',(-0.5,-0.34,0.77));
#65425=CARTESIAN_POINT('Origin',(-0.5,-0.34,0.74));
#65426=CARTESIAN_POINT('',(-0.5,0.34,0.77));
#65427=CARTESIAN_POINT('',(-0.5,-0.37,0.77));
#65428=CARTESIAN_POINT('',(-0.5,0.37,0.74));
#65429=CARTESIAN_POINT('Origin',(-0.5,0.34,0.74));
#65430=CARTESIAN_POINT('',(-0.5,0.37,0.06));
#65431=CARTESIAN_POINT('',(-0.5,0.37,0.03));
#65432=CARTESIAN_POINT('',(-0.5,0.34,0.03));
#65433=CARTESIAN_POINT('Origin',(-0.5,0.34,0.06));
#65434=CARTESIAN_POINT('',(-0.5,-0.37,0.03));
#65435=CARTESIAN_POINT('Origin',(-0.5,-0.34,0.06));
#65436=CARTESIAN_POINT('',(0.5,-0.34,0.03));
#65437=CARTESIAN_POINT('',(-0.5,-0.34,0.03));
#65438=CARTESIAN_POINT('',(0.5,-0.37,0.06));
#65439=CARTESIAN_POINT('Origin',(0.5,-0.34,0.06));
#65440=CARTESIAN_POINT('',(-0.5,-0.37,0.06));
#65441=CARTESIAN_POINT('Origin',(-0.5,-0.37,0.03));
#65442=CARTESIAN_POINT('',(0.5,0.34,0.03));
#65443=CARTESIAN_POINT('',(-0.5,0.34,0.03));
#65444=CARTESIAN_POINT('',(0.5,-0.37,0.03));
#65445=CARTESIAN_POINT('Origin',(-0.5,0.34,0.06));
#65446=CARTESIAN_POINT('',(0.5,0.37,0.06));
#65447=CARTESIAN_POINT('',(-0.5,0.37,0.06));
#65448=CARTESIAN_POINT('Origin',(0.5,0.34,0.06));
#65449=CARTESIAN_POINT('Origin',(-0.5,0.37,0.03));
#65450=CARTESIAN_POINT('',(0.5,0.37,0.74));
#65451=CARTESIAN_POINT('',(0.5,0.37,0.03));
#65452=CARTESIAN_POINT('',(-0.5,0.37,0.74));
#65453=CARTESIAN_POINT('Origin',(-0.5,0.34,0.74));
#65454=CARTESIAN_POINT('',(0.5,0.34,0.77));
#65455=CARTESIAN_POINT('',(-0.5,0.34,0.77));
#65456=CARTESIAN_POINT('Origin',(0.5,0.34,0.74));
#65457=CARTESIAN_POINT('Origin',(-0.5,-0.37,0.77));
#65458=CARTESIAN_POINT('',(0.5,-0.34,0.77));
#65459=CARTESIAN_POINT('',(-0.5,-0.34,0.77));
#65460=CARTESIAN_POINT('',(0.5,-0.37,0.77));
#65461=CARTESIAN_POINT('Origin',(-0.5,-0.34,0.74));
#65462=CARTESIAN_POINT('',(0.5,-0.37,0.74));
#65463=CARTESIAN_POINT('',(-0.5,-0.37,0.74));
#65464=CARTESIAN_POINT('Origin',(0.5,-0.34,0.74));
#65465=CARTESIAN_POINT('Origin',(-0.5,-0.37,0.03));
#65466=CARTESIAN_POINT('',(0.5,-0.37,0.03));
#65467=CARTESIAN_POINT('Origin',(0.5,-0.4,0.));
#65468=CARTESIAN_POINT('',(0.5,-0.4,0.03));
#65469=CARTESIAN_POINT('',(0.5,-0.4,0.77));
#65470=CARTESIAN_POINT('',(0.5,-0.4,0.));
#65471=CARTESIAN_POINT('',(0.5,-0.37,0.8));
#65472=CARTESIAN_POINT('Origin',(0.5,-0.37,0.77));
#65473=CARTESIAN_POINT('',(0.5,0.37,0.8));
#65474=CARTESIAN_POINT('',(0.5,-0.4,0.8));
#65475=CARTESIAN_POINT('',(0.5,0.4,0.77));
#65476=CARTESIAN_POINT('Origin',(0.5,0.37,0.77));
#65477=CARTESIAN_POINT('',(0.5,0.4,0.03));
#65478=CARTESIAN_POINT('',(0.5,0.4,0.));
#65479=CARTESIAN_POINT('',(0.5,0.37,0.));
#65480=CARTESIAN_POINT('Origin',(0.5,0.37,0.03));
#65481=CARTESIAN_POINT('',(0.5,-0.37,0.));
#65482=CARTESIAN_POINT('',(0.5,-0.4,0.));
#65483=CARTESIAN_POINT('Origin',(0.5,-0.37,0.03));
#65484=CARTESIAN_POINT('Origin',(0.5,-0.4,0.));
#65485=CARTESIAN_POINT('',(0.8,-0.4,0.03));
#65486=CARTESIAN_POINT('',(0.8,-0.4,0.77));
#65487=CARTESIAN_POINT('',(0.8,-0.4,0.));
#65488=CARTESIAN_POINT('',(0.5,-0.4,0.77));
#65489=CARTESIAN_POINT('',(0.5,-0.4,0.03));
#65490=CARTESIAN_POINT('Origin',(0.5,-0.37,0.03));
#65491=CARTESIAN_POINT('',(0.8,-0.37,0.));
#65492=CARTESIAN_POINT('',(0.5,-0.37,0.));
#65493=CARTESIAN_POINT('Origin',(0.8,-0.37,0.03));
#65494=CARTESIAN_POINT('Origin',(0.5,-0.37,0.77));
#65495=CARTESIAN_POINT('',(0.8,-0.37,0.8));
#65496=CARTESIAN_POINT('Origin',(0.8,-0.37,0.77));
#65497=CARTESIAN_POINT('',(0.5,-0.37,0.8));
#65498=CARTESIAN_POINT('Origin',(0.5,-0.4,0.));
#65499=CARTESIAN_POINT('',(0.8,0.37,0.));
#65500=CARTESIAN_POINT('',(0.5,0.37,0.));
#65501=CARTESIAN_POINT('',(0.8,-0.4,0.));
#65502=CARTESIAN_POINT('Origin',(0.5,-0.4,0.8));
#65503=CARTESIAN_POINT('',(0.8,0.37,0.8));
#65504=CARTESIAN_POINT('',(0.8,-0.4,0.8));
#65505=CARTESIAN_POINT('',(0.5,0.37,0.8));
#65506=CARTESIAN_POINT('Origin',(0.5,0.37,0.03));
#65507=CARTESIAN_POINT('',(0.8,0.4,0.03));
#65508=CARTESIAN_POINT('',(0.5,0.4,0.03));
#65509=CARTESIAN_POINT('Origin',(0.8,0.37,0.03));
#65510=CARTESIAN_POINT('Origin',(0.5,0.37,0.77));
#65511=CARTESIAN_POINT('',(0.8,0.4,0.77));
#65512=CARTESIAN_POINT('Origin',(0.8,0.37,0.77));
#65513=CARTESIAN_POINT('',(0.5,0.4,0.77));
#65514=CARTESIAN_POINT('Origin',(0.5,0.4,0.));
#65515=CARTESIAN_POINT('',(0.8,0.4,0.));
#65516=CARTESIAN_POINT('Origin',(0.8,-0.4,0.));
#65517=CARTESIAN_POINT('',(0.,0.,0.));
#65518=CARTESIAN_POINT('',(0.,0.,0.));
#65519=CARTESIAN_POINT('Origin',(50.,100.,0.));
#65520=CARTESIAN_POINT('',(50.,100.,0.));
#65521=CARTESIAN_POINT('',(50.,100.,1.6));
#65522=CARTESIAN_POINT('',(50.,100.,0.));
#65523=CARTESIAN_POINT('',(0.,100.,0.));
#65524=CARTESIAN_POINT('',(50.,100.,0.));
#65525=CARTESIAN_POINT('',(0.,100.,1.6));
#65526=CARTESIAN_POINT('',(0.,100.,0.));
#65527=CARTESIAN_POINT('',(50.,100.,1.6));
#65528=CARTESIAN_POINT('Origin',(50.,0.,0.));
#65529=CARTESIAN_POINT('',(50.,0.,0.));
#65530=CARTESIAN_POINT('',(50.,0.,1.6));
#65531=CARTESIAN_POINT('',(50.,0.,0.));
#65532=CARTESIAN_POINT('',(50.,0.,0.));
#65533=CARTESIAN_POINT('',(50.,0.,1.6));
#65534=CARTESIAN_POINT('Origin',(0.,100.,0.));
#65535=CARTESIAN_POINT('',(0.,0.,0.));
#65536=CARTESIAN_POINT('',(0.,100.,0.));
#65537=CARTESIAN_POINT('',(0.,0.,1.6));
#65538=CARTESIAN_POINT('',(0.,0.,0.));
#65539=CARTESIAN_POINT('',(0.,100.,1.6));
#65540=CARTESIAN_POINT('Origin',(25.,50.,0.));
#65541=CARTESIAN_POINT('',(0.,0.,0.));
#65542=CARTESIAN_POINT('',(6.947056,93.302944,0.));
#65543=CARTESIAN_POINT('',(6.447056,93.302944,0.));
#65544=CARTESIAN_POINT('Origin',(6.697056,93.302944,0.));
#65545=CARTESIAN_POINT('Origin',(6.697056,93.302944,0.));
#65546=CARTESIAN_POINT('',(7.65,95.,0.));
#65547=CARTESIAN_POINT('',(7.15,95.,0.));
#65548=CARTESIAN_POINT('Origin',(7.4,95.,0.));
#65549=CARTESIAN_POINT('Origin',(7.4,95.,0.));
#65550=CARTESIAN_POINT('',(43.552944,96.697056,0.));
#65551=CARTESIAN_POINT('',(43.052944,96.697056,0.));
#65552=CARTESIAN_POINT('Origin',(43.302944,96.697056,0.));
#65553=CARTESIAN_POINT('Origin',(43.302944,96.697056,0.));
#65554=CARTESIAN_POINT('',(43.552944,93.302944,0.));
#65555=CARTESIAN_POINT('',(43.052944,93.302944,0.));
#65556=CARTESIAN_POINT('Origin',(43.302944,93.302944,0.));
#65557=CARTESIAN_POINT('Origin',(43.302944,93.302944,0.));
#65558=CARTESIAN_POINT('',(42.85,95.,0.));
#65559=CARTESIAN_POINT('',(42.35,95.,0.));
#65560=CARTESIAN_POINT('Origin',(42.6,95.,0.));
#65561=CARTESIAN_POINT('Origin',(42.6,95.,0.));
#65562=CARTESIAN_POINT('',(36.5,84.5,0.));
#65563=CARTESIAN_POINT('',(36.5,86.9,0.));
#65564=CARTESIAN_POINT('',(36.5,84.5,0.));
#65565=CARTESIAN_POINT('',(35.5,86.9,0.));
#65566=CARTESIAN_POINT('Origin',(36.,86.9,0.));
#65567=CARTESIAN_POINT('',(35.5,84.5,0.));
#65568=CARTESIAN_POINT('',(35.5,86.9,0.));
#65569=CARTESIAN_POINT('Origin',(36.,84.5,0.));
#65570=CARTESIAN_POINT('',(9.79795,86.49936,0.));
#65571=CARTESIAN_POINT('',(8.79973,86.49936,0.));
#65572=CARTESIAN_POINT('Origin',(9.29884,86.49936,0.));
#65573=CARTESIAN_POINT('Origin',(9.29884,86.49936,0.));
#65574=CARTESIAN_POINT('',(13.9,87.03798,0.));
#65575=CARTESIAN_POINT('',(13.1,87.03798,0.));
#65576=CARTESIAN_POINT('Origin',(13.5,87.03798,0.));
#65577=CARTESIAN_POINT('Origin',(13.5,87.03798,0.));
#65578=CARTESIAN_POINT('',(22.55,91.5,0.));
#65579=CARTESIAN_POINT('',(21.45,91.5,0.));
#65580=CARTESIAN_POINT('Origin',(22.,91.5,0.));
#65581=CARTESIAN_POINT('Origin',(22.,91.5,0.));
#65582=CARTESIAN_POINT('',(9.79795,88.99872,0.));
#65583=CARTESIAN_POINT('',(8.79973,88.99872,0.));
#65584=CARTESIAN_POINT('Origin',(9.29884,88.99872,0.));
#65585=CARTESIAN_POINT('Origin',(9.29884,88.99872,0.));
#65586=CARTESIAN_POINT('',(27.05,91.5,0.));
#65587=CARTESIAN_POINT('',(25.95,91.5,0.));
#65588=CARTESIAN_POINT('Origin',(26.5,91.5,0.));
#65589=CARTESIAN_POINT('Origin',(26.5,91.5,0.));
#65590=CARTESIAN_POINT('',(9.79795,75.99872,0.));
#65591=CARTESIAN_POINT('',(8.79973,75.99872,0.));
#65592=CARTESIAN_POINT('Origin',(9.29884,75.99872,0.));
#65593=CARTESIAN_POINT('Origin',(9.29884,75.99872,0.));
#65594=CARTESIAN_POINT('',(9.79795,79.00128,0.));
#65595=CARTESIAN_POINT('',(8.79973,79.00128,0.));
#65596=CARTESIAN_POINT('Origin',(9.29884,79.00128,0.));
#65597=CARTESIAN_POINT('Origin',(9.29884,79.00128,0.));
#65598=CARTESIAN_POINT('',(9.79795,66.00128,0.));
#65599=CARTESIAN_POINT('',(8.79973,66.00128,0.));
#65600=CARTESIAN_POINT('Origin',(9.29884,66.00128,0.));
#65601=CARTESIAN_POINT('Origin',(9.29884,66.00128,0.));
#65602=CARTESIAN_POINT('',(18.9,67.96202,0.));
#65603=CARTESIAN_POINT('',(18.1,67.96202,0.));
#65604=CARTESIAN_POINT('Origin',(18.5,67.96202,0.));
#65605=CARTESIAN_POINT('Origin',(18.5,67.96202,0.));
#65606=CARTESIAN_POINT('',(31.34,72.5,0.));
#65607=CARTESIAN_POINT('',(30.34,72.5,0.));
#65608=CARTESIAN_POINT('Origin',(30.84,72.5,0.));
#65609=CARTESIAN_POINT('Origin',(30.84,72.5,0.));
#65610=CARTESIAN_POINT('',(33.88,72.5,0.));
#65611=CARTESIAN_POINT('',(32.88,72.5,0.));
#65612=CARTESIAN_POINT('Origin',(33.38,72.5,0.));
#65613=CARTESIAN_POINT('Origin',(33.38,72.5,0.));
#65614=CARTESIAN_POINT('',(38.96,72.5,0.));
#65615=CARTESIAN_POINT('',(37.96,72.5,0.));
#65616=CARTESIAN_POINT('Origin',(38.46,72.5,0.));
#65617=CARTESIAN_POINT('Origin',(38.46,72.5,0.));
#65618=CARTESIAN_POINT('',(18.9,74.03798,0.));
#65619=CARTESIAN_POINT('',(18.1,74.03798,0.));
#65620=CARTESIAN_POINT('Origin',(18.5,74.03798,0.));
#65621=CARTESIAN_POINT('Origin',(18.5,74.03798,0.));
#65622=CARTESIAN_POINT('',(9.79795,60.49936,0.));
#65623=CARTESIAN_POINT('',(8.79973,60.49936,0.));
#65624=CARTESIAN_POINT('Origin',(9.29884,60.49936,0.));
#65625=CARTESIAN_POINT('Origin',(9.29884,60.49936,0.));
#65626=CARTESIAN_POINT('',(9.79795,62.99872,0.));
#65627=CARTESIAN_POINT('',(8.79973,62.99872,0.));
#65628=CARTESIAN_POINT('Origin',(9.29884,62.99872,0.));
#65629=CARTESIAN_POINT('Origin',(9.29884,62.99872,0.));
#65630=CARTESIAN_POINT('',(13.9,61.03798,0.));
#65631=CARTESIAN_POINT('',(13.1,61.03798,0.));
#65632=CARTESIAN_POINT('Origin',(13.5,61.03798,0.));
#65633=CARTESIAN_POINT('Origin',(13.5,61.03798,0.));
#65634=CARTESIAN_POINT('',(9.79884,17.,0.));
#65635=CARTESIAN_POINT('',(8.79884,17.,0.));
#65636=CARTESIAN_POINT('Origin',(9.29884,17.,0.));
#65637=CARTESIAN_POINT('Origin',(9.29884,17.,0.));
#65638=CARTESIAN_POINT('',(9.79795,19.49936,0.));
#65639=CARTESIAN_POINT('',(8.79973,19.49936,0.));
#65640=CARTESIAN_POINT('Origin',(9.29884,19.49936,0.));
#65641=CARTESIAN_POINT('Origin',(9.29884,19.49936,0.));
#65642=CARTESIAN_POINT('',(9.79795,21.99872,0.));
#65643=CARTESIAN_POINT('',(8.79973,21.99872,0.));
#65644=CARTESIAN_POINT('Origin',(9.29884,21.99872,0.));
#65645=CARTESIAN_POINT('Origin',(9.29884,21.99872,0.));
#65646=CARTESIAN_POINT('',(9.79795,27.50064,0.));
#65647=CARTESIAN_POINT('',(8.79973,27.50064,0.));
#65648=CARTESIAN_POINT('Origin',(9.29884,27.50064,0.));
#65649=CARTESIAN_POINT('Origin',(9.29884,27.50064,0.));
#65650=CARTESIAN_POINT('',(9.79795,32.49936,0.));
#65651=CARTESIAN_POINT('',(8.79973,32.49936,0.));
#65652=CARTESIAN_POINT('Origin',(9.29884,32.49936,0.));
#65653=CARTESIAN_POINT('Origin',(9.29884,32.49936,0.));
#65654=CARTESIAN_POINT('',(9.79884,30.,0.));
#65655=CARTESIAN_POINT('',(8.79884,30.,0.));
#65656=CARTESIAN_POINT('Origin',(9.29884,30.,0.));
#65657=CARTESIAN_POINT('Origin',(9.29884,30.,0.));
#65658=CARTESIAN_POINT('',(9.79795,55.50064,0.));
#65659=CARTESIAN_POINT('',(8.79973,55.50064,0.));
#65660=CARTESIAN_POINT('Origin',(9.29884,55.50064,0.));
#65661=CARTESIAN_POINT('Origin',(9.29884,55.50064,0.));
#65662=CARTESIAN_POINT('',(9.79795,47.99872,0.));
#65663=CARTESIAN_POINT('',(8.79973,47.99872,0.));
#65664=CARTESIAN_POINT('Origin',(9.29884,47.99872,0.));
#65665=CARTESIAN_POINT('Origin',(9.29884,47.99872,0.));
#65666=CARTESIAN_POINT('',(9.79884,43.,0.));
#65667=CARTESIAN_POINT('',(8.79884,43.,0.));
#65668=CARTESIAN_POINT('Origin',(9.29884,43.,0.));
#65669=CARTESIAN_POINT('Origin',(9.29884,43.,0.));
#65670=CARTESIAN_POINT('',(18.9,33.03798,0.));
#65671=CARTESIAN_POINT('',(18.1,33.03798,0.));
#65672=CARTESIAN_POINT('Origin',(18.5,33.03798,0.));
#65673=CARTESIAN_POINT('Origin',(18.5,33.03798,0.));
#65674=CARTESIAN_POINT('',(13.9,33.03798,0.));
#65675=CARTESIAN_POINT('',(13.1,33.03798,0.));
#65676=CARTESIAN_POINT('Origin',(13.5,33.03798,0.));
#65677=CARTESIAN_POINT('Origin',(13.5,33.03798,0.));
#65678=CARTESIAN_POINT('',(13.9,20.03798,0.));
#65679=CARTESIAN_POINT('',(13.1,20.03798,0.));
#65680=CARTESIAN_POINT('Origin',(13.5,20.03798,0.));
#65681=CARTESIAN_POINT('Origin',(13.5,20.03798,0.));
#65682=CARTESIAN_POINT('',(13.9,26.96202,0.));
#65683=CARTESIAN_POINT('',(13.1,26.96202,0.));
#65684=CARTESIAN_POINT('Origin',(13.5,26.96202,0.));
#65685=CARTESIAN_POINT('Origin',(13.5,26.96202,0.));
#65686=CARTESIAN_POINT('',(18.9,20.03798,0.));
#65687=CARTESIAN_POINT('',(18.1,20.03798,0.));
#65688=CARTESIAN_POINT('Origin',(18.5,20.03798,0.));
#65689=CARTESIAN_POINT('Origin',(18.5,20.03798,0.));
#65690=CARTESIAN_POINT('',(13.9,46.03798,0.));
#65691=CARTESIAN_POINT('',(13.1,46.03798,0.));
#65692=CARTESIAN_POINT('Origin',(13.5,46.03798,0.));
#65693=CARTESIAN_POINT('Origin',(13.5,46.03798,0.));
#65694=CARTESIAN_POINT('',(13.9,39.96202,0.));
#65695=CARTESIAN_POINT('',(13.1,39.96202,0.));
#65696=CARTESIAN_POINT('Origin',(13.5,39.96202,0.));
#65697=CARTESIAN_POINT('Origin',(13.5,39.96202,0.));
#65698=CARTESIAN_POINT('',(18.9,46.03798,0.));
#65699=CARTESIAN_POINT('',(18.1,46.03798,0.));
#65700=CARTESIAN_POINT('Origin',(18.5,46.03798,0.));
#65701=CARTESIAN_POINT('Origin',(18.5,46.03798,0.));
#65702=CARTESIAN_POINT('',(18.9,39.96202,0.));
#65703=CARTESIAN_POINT('',(18.1,39.96202,0.));
#65704=CARTESIAN_POINT('Origin',(18.5,39.96202,0.));
#65705=CARTESIAN_POINT('Origin',(18.5,39.96202,0.));
#65706=CARTESIAN_POINT('',(13.9,54.96202,0.));
#65707=CARTESIAN_POINT('',(13.1,54.96202,0.));
#65708=CARTESIAN_POINT('Origin',(13.5,54.96202,0.));
#65709=CARTESIAN_POINT('Origin',(13.5,54.96202,0.));
#65710=CARTESIAN_POINT('',(41.15,46.5,0.));
#65711=CARTESIAN_POINT('',(40.35,46.5,0.));
#65712=CARTESIAN_POINT('Origin',(40.75,46.5,0.));
#65713=CARTESIAN_POINT('Origin',(40.75,46.5,0.));
#65714=CARTESIAN_POINT('',(41.50027,19.49936,0.));
#65715=CARTESIAN_POINT('',(40.50205,19.49936,0.));
#65716=CARTESIAN_POINT('Origin',(41.00116,19.49936,0.));
#65717=CARTESIAN_POINT('Origin',(41.00116,19.49936,0.));
#65718=CARTESIAN_POINT('',(34.65,22.,0.));
#65719=CARTESIAN_POINT('',(33.85,22.,0.));
#65720=CARTESIAN_POINT('Origin',(34.25,22.,0.));
#65721=CARTESIAN_POINT('Origin',(34.25,22.,0.));
#65722=CARTESIAN_POINT('',(41.50116,17.,0.));
#65723=CARTESIAN_POINT('',(40.50116,17.,0.));
#65724=CARTESIAN_POINT('Origin',(41.00116,17.,0.));
#65725=CARTESIAN_POINT('Origin',(41.00116,17.,0.));
#65726=CARTESIAN_POINT('',(41.15,48.5,0.));
#65727=CARTESIAN_POINT('',(40.35,48.5,0.));
#65728=CARTESIAN_POINT('Origin',(40.75,48.5,0.));
#65729=CARTESIAN_POINT('Origin',(40.75,48.5,0.));
#65730=CARTESIAN_POINT('',(9.79884,58.,0.));
#65731=CARTESIAN_POINT('',(8.79884,58.,0.));
#65732=CARTESIAN_POINT('Origin',(9.29884,58.,0.));
#65733=CARTESIAN_POINT('Origin',(9.29884,58.,0.));
#65734=CARTESIAN_POINT('',(3.552944,96.697056,0.));
#65735=CARTESIAN_POINT('',(3.052944,96.697056,0.));
#65736=CARTESIAN_POINT('Origin',(3.302944,96.697056,0.));
#65737=CARTESIAN_POINT('Origin',(3.302944,96.697056,0.));
#65738=CARTESIAN_POINT('',(6.6,95.,0.));
#65739=CARTESIAN_POINT('',(3.4,95.,0.));
#65740=CARTESIAN_POINT('Origin',(5.,95.,0.));
#65741=CARTESIAN_POINT('Origin',(5.,95.,0.));
#65742=CARTESIAN_POINT('',(3.552944,93.302944,0.));
#65743=CARTESIAN_POINT('',(3.052944,93.302944,0.));
#65744=CARTESIAN_POINT('Origin',(3.302944,93.302944,0.));
#65745=CARTESIAN_POINT('Origin',(3.302944,93.302944,0.));
#65746=CARTESIAN_POINT('',(6.947056,96.697056,0.));
#65747=CARTESIAN_POINT('',(6.447056,96.697056,0.));
#65748=CARTESIAN_POINT('Origin',(6.697056,96.697056,0.));
#65749=CARTESIAN_POINT('Origin',(6.697056,96.697056,0.));
#65750=CARTESIAN_POINT('',(4.79758,88.99872,0.));
#65751=CARTESIAN_POINT('',(3.79758,88.99872,0.));
#65752=CARTESIAN_POINT('Origin',(4.29758,88.99872,0.));
#65753=CARTESIAN_POINT('Origin',(4.29758,88.99872,0.));
#65754=CARTESIAN_POINT('',(5.25,92.6,0.));
#65755=CARTESIAN_POINT('',(4.75,92.6,0.));
#65756=CARTESIAN_POINT('Origin',(5.,92.6,0.));
#65757=CARTESIAN_POINT('Origin',(5.,92.6,0.));
#65758=CARTESIAN_POINT('',(4.79758,79.00128,0.));
#65759=CARTESIAN_POINT('',(3.79758,79.00128,0.));
#65760=CARTESIAN_POINT('Origin',(4.29758,79.00128,0.));
#65761=CARTESIAN_POINT('Origin',(4.29758,79.00128,0.));
#65762=CARTESIAN_POINT('',(4.79669,84.,0.));
#65763=CARTESIAN_POINT('',(3.79847,84.,0.));
#65764=CARTESIAN_POINT('Origin',(4.29758,84.,0.));
#65765=CARTESIAN_POINT('Origin',(4.29758,84.,0.));
#65766=CARTESIAN_POINT('',(4.79758,66.00128,0.));
#65767=CARTESIAN_POINT('',(3.79758,66.00128,0.));
#65768=CARTESIAN_POINT('Origin',(4.29758,66.00128,0.));
#65769=CARTESIAN_POINT('Origin',(4.29758,66.00128,0.));
#65770=CARTESIAN_POINT('',(4.79669,71.,0.));
#65771=CARTESIAN_POINT('',(3.79847,71.,0.));
#65772=CARTESIAN_POINT('Origin',(4.29758,71.,0.));
#65773=CARTESIAN_POINT('Origin',(4.29758,71.,0.));
#65774=CARTESIAN_POINT('',(4.79758,75.99872,0.));
#65775=CARTESIAN_POINT('',(3.79758,75.99872,0.));
#65776=CARTESIAN_POINT('Origin',(4.29758,75.99872,0.));
#65777=CARTESIAN_POINT('Origin',(4.29758,75.99872,0.));
#65778=CARTESIAN_POINT('',(4.79669,30.,0.));
#65779=CARTESIAN_POINT('',(3.79847,30.,0.));
#65780=CARTESIAN_POINT('Origin',(4.29758,30.,0.));
#65781=CARTESIAN_POINT('Origin',(4.29758,30.,0.));
#65782=CARTESIAN_POINT('',(4.79758,38.00128,0.));
#65783=CARTESIAN_POINT('',(3.79758,38.00128,0.));
#65784=CARTESIAN_POINT('Origin',(4.29758,38.00128,0.));
#65785=CARTESIAN_POINT('Origin',(4.29758,38.00128,0.));
#65786=CARTESIAN_POINT('',(4.79758,34.99872,0.));
#65787=CARTESIAN_POINT('',(3.79758,34.99872,0.));
#65788=CARTESIAN_POINT('Origin',(4.29758,34.99872,0.));
#65789=CARTESIAN_POINT('Origin',(4.29758,34.99872,0.));
#65790=CARTESIAN_POINT('',(4.79669,43.,0.));
#65791=CARTESIAN_POINT('',(3.79847,43.,0.));
#65792=CARTESIAN_POINT('Origin',(4.29758,43.,0.));
#65793=CARTESIAN_POINT('Origin',(4.29758,43.,0.));
#65794=CARTESIAN_POINT('',(4.79669,58.,0.));
#65795=CARTESIAN_POINT('',(3.79847,58.,0.));
#65796=CARTESIAN_POINT('Origin',(4.29758,58.,0.));
#65797=CARTESIAN_POINT('Origin',(4.29758,58.,0.));
#65798=CARTESIAN_POINT('',(4.79758,53.00128,0.));
#65799=CARTESIAN_POINT('',(3.79758,53.00128,0.));
#65800=CARTESIAN_POINT('Origin',(4.29758,53.00128,0.));
#65801=CARTESIAN_POINT('Origin',(4.29758,53.00128,0.));
#65802=CARTESIAN_POINT('',(4.79758,47.99872,0.));
#65803=CARTESIAN_POINT('',(3.79758,47.99872,0.));
#65804=CARTESIAN_POINT('Origin',(4.29758,47.99872,0.));
#65805=CARTESIAN_POINT('Origin',(4.29758,47.99872,0.));
#65806=CARTESIAN_POINT('',(4.79758,62.99872,0.));
#65807=CARTESIAN_POINT('',(3.79758,62.99872,0.));
#65808=CARTESIAN_POINT('Origin',(4.29758,62.99872,0.));
#65809=CARTESIAN_POINT('Origin',(4.29758,62.99872,0.));
#65810=CARTESIAN_POINT('',(4.79669,17.,0.));
#65811=CARTESIAN_POINT('',(3.79847,17.,0.));
#65812=CARTESIAN_POINT('Origin',(4.29758,17.,0.));
#65813=CARTESIAN_POINT('Origin',(4.29758,17.,0.));
#65814=CARTESIAN_POINT('',(4.79758,21.99872,0.));
#65815=CARTESIAN_POINT('',(3.79758,21.99872,0.));
#65816=CARTESIAN_POINT('Origin',(4.29758,21.99872,0.));
#65817=CARTESIAN_POINT('Origin',(4.29758,21.99872,0.));
#65818=CARTESIAN_POINT('',(4.79758,25.00128,0.));
#65819=CARTESIAN_POINT('',(3.79758,25.00128,0.));
#65820=CARTESIAN_POINT('Origin',(4.29758,25.00128,0.));
#65821=CARTESIAN_POINT('Origin',(4.29758,25.00128,0.));
#65822=CARTESIAN_POINT('',(46.947056,96.697056,0.));
#65823=CARTESIAN_POINT('',(46.447056,96.697056,0.));
#65824=CARTESIAN_POINT('Origin',(46.697056,96.697056,0.));
#65825=CARTESIAN_POINT('Origin',(46.697056,96.697056,0.));
#65826=CARTESIAN_POINT('',(46.50242,21.99872,0.));
#65827=CARTESIAN_POINT('',(45.50242,21.99872,0.));
#65828=CARTESIAN_POINT('Origin',(46.00242,21.99872,0.));
#65829=CARTESIAN_POINT('Origin',(46.00242,21.99872,0.));
#65830=CARTESIAN_POINT('',(45.25,92.6,0.));
#65831=CARTESIAN_POINT('',(44.75,92.6,0.));
#65832=CARTESIAN_POINT('Origin',(45.,92.6,0.));
#65833=CARTESIAN_POINT('Origin',(45.,92.6,0.));
#65834=CARTESIAN_POINT('',(46.50153,17.,0.));
#65835=CARTESIAN_POINT('',(45.50331,17.,0.));
#65836=CARTESIAN_POINT('Origin',(46.00242,17.,0.));
#65837=CARTESIAN_POINT('Origin',(46.00242,17.,0.));
#65838=CARTESIAN_POINT('',(18.9,13.96202,0.));
#65839=CARTESIAN_POINT('',(18.1,13.96202,0.));
#65840=CARTESIAN_POINT('Origin',(18.5,13.96202,0.));
#65841=CARTESIAN_POINT('Origin',(18.5,13.96202,0.));
#65842=CARTESIAN_POINT('',(13.9,13.96202,0.));
#65843=CARTESIAN_POINT('',(13.1,13.96202,0.));
#65844=CARTESIAN_POINT('Origin',(13.5,13.96202,0.));
#65845=CARTESIAN_POINT('Origin',(13.5,13.96202,0.));
#65846=CARTESIAN_POINT('',(4.79758,12.00128,0.));
#65847=CARTESIAN_POINT('',(3.79758,12.00128,0.));
#65848=CARTESIAN_POINT('Origin',(4.29758,12.00128,0.));
#65849=CARTESIAN_POINT('Origin',(4.29758,12.00128,0.));
#65850=CARTESIAN_POINT('',(6.947056,6.697056,0.));
#65851=CARTESIAN_POINT('',(6.447056,6.697056,0.));
#65852=CARTESIAN_POINT('Origin',(6.697056,6.697056,0.));
#65853=CARTESIAN_POINT('Origin',(6.697056,6.697056,0.));
#65854=CARTESIAN_POINT('',(6.6,5.,0.));
#65855=CARTESIAN_POINT('',(3.4,5.,0.));
#65856=CARTESIAN_POINT('Origin',(5.,5.,0.));
#65857=CARTESIAN_POINT('Origin',(5.,5.,0.));
#65858=CARTESIAN_POINT('',(3.552944,6.697056,0.));
#65859=CARTESIAN_POINT('',(3.052944,6.697056,0.));
#65860=CARTESIAN_POINT('Origin',(3.302944,6.697056,0.));
#65861=CARTESIAN_POINT('Origin',(3.302944,6.697056,0.));
#65862=CARTESIAN_POINT('',(6.947056,3.302944,0.));
#65863=CARTESIAN_POINT('',(6.447056,3.302944,0.));
#65864=CARTESIAN_POINT('Origin',(6.697056,3.302944,0.));
#65865=CARTESIAN_POINT('Origin',(6.697056,3.302944,0.));
#65866=CARTESIAN_POINT('',(3.552944,3.302944,0.));
#65867=CARTESIAN_POINT('',(3.052944,3.302944,0.));
#65868=CARTESIAN_POINT('Origin',(3.302944,3.302944,0.));
#65869=CARTESIAN_POINT('Origin',(3.302944,3.302944,0.));
#65870=CARTESIAN_POINT('',(5.25,7.4,0.));
#65871=CARTESIAN_POINT('',(4.75,7.4,0.));
#65872=CARTESIAN_POINT('Origin',(5.,7.4,0.));
#65873=CARTESIAN_POINT('Origin',(5.,7.4,0.));
#65874=CARTESIAN_POINT('',(46.6,5.,0.));
#65875=CARTESIAN_POINT('',(43.4,5.,0.));
#65876=CARTESIAN_POINT('Origin',(45.,5.,0.));
#65877=CARTESIAN_POINT('Origin',(45.,5.,0.));
#65878=CARTESIAN_POINT('',(43.552944,6.697056,0.));
#65879=CARTESIAN_POINT('',(43.052944,6.697056,0.));
#65880=CARTESIAN_POINT('Origin',(43.302944,6.697056,0.));
#65881=CARTESIAN_POINT('Origin',(43.302944,6.697056,0.));
#65882=CARTESIAN_POINT('',(46.947056,6.697056,0.));
#65883=CARTESIAN_POINT('',(46.447056,6.697056,0.));
#65884=CARTESIAN_POINT('Origin',(46.697056,6.697056,0.));
#65885=CARTESIAN_POINT('Origin',(46.697056,6.697056,0.));
#65886=CARTESIAN_POINT('',(43.552944,3.302944,0.));
#65887=CARTESIAN_POINT('',(43.052944,3.302944,0.));
#65888=CARTESIAN_POINT('Origin',(43.302944,3.302944,0.));
#65889=CARTESIAN_POINT('Origin',(43.302944,3.302944,0.));
#65890=CARTESIAN_POINT('',(46.947056,3.302944,0.));
#65891=CARTESIAN_POINT('',(46.447056,3.302944,0.));
#65892=CARTESIAN_POINT('Origin',(46.697056,3.302944,0.));
#65893=CARTESIAN_POINT('Origin',(46.697056,3.302944,0.));
#65894=CARTESIAN_POINT('',(42.85,5.,0.));
#65895=CARTESIAN_POINT('',(42.35,5.,0.));
#65896=CARTESIAN_POINT('Origin',(42.6,5.,0.));
#65897=CARTESIAN_POINT('Origin',(42.6,5.,0.));
#65898=CARTESIAN_POINT('',(45.25,7.4,0.));
#65899=CARTESIAN_POINT('',(44.75,7.4,0.));
#65900=CARTESIAN_POINT('Origin',(45.,7.4,0.));
#65901=CARTESIAN_POINT('Origin',(45.,7.4,0.));
#65902=CARTESIAN_POINT('',(41.50027,12.00128,0.));
#65903=CARTESIAN_POINT('',(40.50205,12.00128,0.));
#65904=CARTESIAN_POINT('Origin',(41.00116,12.00128,0.));
#65905=CARTESIAN_POINT('Origin',(41.00116,12.00128,0.));
#65906=CARTESIAN_POINT('',(46.50242,12.00128,0.));
#65907=CARTESIAN_POINT('',(45.50242,12.00128,0.));
#65908=CARTESIAN_POINT('Origin',(46.00242,12.00128,0.));
#65909=CARTESIAN_POINT('Origin',(46.00242,12.00128,0.));
#65910=CARTESIAN_POINT('',(41.50027,14.50064,0.));
#65911=CARTESIAN_POINT('',(40.50205,14.50064,0.));
#65912=CARTESIAN_POINT('Origin',(41.00116,14.50064,0.));
#65913=CARTESIAN_POINT('Origin',(41.00116,14.50064,0.));
#65914=CARTESIAN_POINT('',(41.50027,21.99872,0.));
#65915=CARTESIAN_POINT('',(40.50205,21.99872,0.));
#65916=CARTESIAN_POINT('Origin',(41.00116,21.99872,0.));
#65917=CARTESIAN_POINT('Origin',(41.00116,21.99872,0.));
#65918=CARTESIAN_POINT('',(46.947056,93.302944,0.));
#65919=CARTESIAN_POINT('',(46.447056,93.302944,0.));
#65920=CARTESIAN_POINT('Origin',(46.697056,93.302944,0.));
#65921=CARTESIAN_POINT('Origin',(46.697056,93.302944,0.));
#65922=CARTESIAN_POINT('',(46.6,95.,0.));
#65923=CARTESIAN_POINT('',(43.4,95.,0.));
#65924=CARTESIAN_POINT('Origin',(45.,95.,0.));
#65925=CARTESIAN_POINT('Origin',(45.,95.,0.));
#65926=CARTESIAN_POINT('',(40.,90.,0.));
#65927=CARTESIAN_POINT('',(40.,91.,0.));
#65928=CARTESIAN_POINT('Origin',(40.,90.5,0.));
#65929=CARTESIAN_POINT('',(38.,91.,0.));
#65930=CARTESIAN_POINT('',(40.,91.,0.));
#65931=CARTESIAN_POINT('',(38.,90.,0.));
#65932=CARTESIAN_POINT('Origin',(38.,90.5,0.));
#65933=CARTESIAN_POINT('',(38.,90.,0.));
#65934=CARTESIAN_POINT('',(41.7,84.7,0.));
#65935=CARTESIAN_POINT('',(42.7,84.7,0.));
#65936=CARTESIAN_POINT('Origin',(42.2,84.7,0.));
#65937=CARTESIAN_POINT('',(42.7,86.7,0.));
#65938=CARTESIAN_POINT('',(42.7,84.7,0.));
#65939=CARTESIAN_POINT('',(41.7,86.7,0.));
#65940=CARTESIAN_POINT('Origin',(42.2,86.7,0.));
#65941=CARTESIAN_POINT('',(41.7,86.7,0.));
#65942=CARTESIAN_POINT('',(41.15,54.5,0.));
#65943=CARTESIAN_POINT('',(40.35,54.5,0.));
#65944=CARTESIAN_POINT('Origin',(40.75,54.5,0.));
#65945=CARTESIAN_POINT('Origin',(40.75,54.5,0.));
#65946=CARTESIAN_POINT('',(41.5,72.5,0.));
#65947=CARTESIAN_POINT('',(40.5,72.5,0.));
#65948=CARTESIAN_POINT('Origin',(41.,72.5,0.));
#65949=CARTESIAN_POINT('Origin',(41.,72.5,0.));
#65950=CARTESIAN_POINT('',(41.15,52.5,0.));
#65951=CARTESIAN_POINT('',(40.35,52.5,0.));
#65952=CARTESIAN_POINT('Origin',(40.75,52.5,0.));
#65953=CARTESIAN_POINT('Origin',(40.75,52.5,0.));
#65954=CARTESIAN_POINT('',(36.65,12.,0.));
#65955=CARTESIAN_POINT('',(35.85,12.,0.));
#65956=CARTESIAN_POINT('Origin',(36.25,12.,0.));
#65957=CARTESIAN_POINT('Origin',(36.25,12.,0.));
#65958=CARTESIAN_POINT('',(36.65,22.,0.));
#65959=CARTESIAN_POINT('',(35.85,22.,0.));
#65960=CARTESIAN_POINT('Origin',(36.25,22.,0.));
#65961=CARTESIAN_POINT('Origin',(36.25,22.,0.));
#65962=CARTESIAN_POINT('',(36.42,72.5,0.));
#65963=CARTESIAN_POINT('',(35.42,72.5,0.));
#65964=CARTESIAN_POINT('Origin',(35.92,72.5,0.));
#65965=CARTESIAN_POINT('Origin',(35.92,72.5,0.));
#65966=CARTESIAN_POINT('',(7.65,5.,0.));
#65967=CARTESIAN_POINT('',(7.15,5.,0.));
#65968=CARTESIAN_POINT('Origin',(7.4,5.,0.));
#65969=CARTESIAN_POINT('Origin',(7.4,5.,0.));
#65970=CARTESIAN_POINT('',(9.79795,14.50064,0.));
#65971=CARTESIAN_POINT('',(8.79973,14.50064,0.));
#65972=CARTESIAN_POINT('Origin',(9.29884,14.50064,0.));
#65973=CARTESIAN_POINT('Origin',(9.29884,14.50064,0.));
#65974=CARTESIAN_POINT('',(9.79795,12.00128,0.));
#65975=CARTESIAN_POINT('',(8.79973,12.00128,0.));
#65976=CARTESIAN_POINT('Origin',(9.29884,12.00128,0.));
#65977=CARTESIAN_POINT('Origin',(9.29884,12.00128,0.));
#65978=CARTESIAN_POINT('',(9.79795,34.99872,0.));
#65979=CARTESIAN_POINT('',(8.79973,34.99872,0.));
#65980=CARTESIAN_POINT('Origin',(9.29884,34.99872,0.));
#65981=CARTESIAN_POINT('Origin',(9.29884,34.99872,0.));
#65982=CARTESIAN_POINT('',(9.79795,25.00128,0.));
#65983=CARTESIAN_POINT('',(8.79973,25.00128,0.));
#65984=CARTESIAN_POINT('Origin',(9.29884,25.00128,0.));
#65985=CARTESIAN_POINT('Origin',(9.29884,25.00128,0.));
#65986=CARTESIAN_POINT('',(18.9,26.96202,0.));
#65987=CARTESIAN_POINT('',(18.1,26.96202,0.));
#65988=CARTESIAN_POINT('Origin',(18.5,26.96202,0.));
#65989=CARTESIAN_POINT('Origin',(18.5,26.96202,0.));
#65990=CARTESIAN_POINT('',(9.79795,38.00128,0.));
#65991=CARTESIAN_POINT('',(8.79973,38.00128,0.));
#65992=CARTESIAN_POINT('Origin',(9.29884,38.00128,0.));
#65993=CARTESIAN_POINT('Origin',(9.29884,38.00128,0.));
#65994=CARTESIAN_POINT('',(9.79795,40.50064,0.));
#65995=CARTESIAN_POINT('',(8.79973,40.50064,0.));
#65996=CARTESIAN_POINT('Origin',(9.29884,40.50064,0.));
#65997=CARTESIAN_POINT('Origin',(9.29884,40.50064,0.));
#65998=CARTESIAN_POINT('',(18.9,80.96202,0.));
#65999=CARTESIAN_POINT('',(18.1,80.96202,0.));
#66000=CARTESIAN_POINT('Origin',(18.5,80.96202,0.));
#66001=CARTESIAN_POINT('Origin',(18.5,80.96202,0.));
#66002=CARTESIAN_POINT('',(9.79795,81.50064,0.));
#66003=CARTESIAN_POINT('',(8.79973,81.50064,0.));
#66004=CARTESIAN_POINT('Origin',(9.29884,81.50064,0.));
#66005=CARTESIAN_POINT('Origin',(9.29884,81.50064,0.));
#66006=CARTESIAN_POINT('',(13.9,80.96202,0.));
#66007=CARTESIAN_POINT('',(13.1,80.96202,0.));
#66008=CARTESIAN_POINT('Origin',(13.5,80.96202,0.));
#66009=CARTESIAN_POINT('Origin',(13.5,80.96202,0.));
#66010=CARTESIAN_POINT('',(9.79795,73.49936,0.));
#66011=CARTESIAN_POINT('',(8.79973,73.49936,0.));
#66012=CARTESIAN_POINT('Origin',(9.29884,73.49936,0.));
#66013=CARTESIAN_POINT('Origin',(9.29884,73.49936,0.));
#66014=CARTESIAN_POINT('',(13.9,74.03798,0.));
#66015=CARTESIAN_POINT('',(13.1,74.03798,0.));
#66016=CARTESIAN_POINT('Origin',(13.5,74.03798,0.));
#66017=CARTESIAN_POINT('Origin',(13.5,74.03798,0.));
#66018=CARTESIAN_POINT('',(18.9,61.03798,0.));
#66019=CARTESIAN_POINT('',(18.1,61.03798,0.));
#66020=CARTESIAN_POINT('Origin',(18.5,61.03798,0.));
#66021=CARTESIAN_POINT('Origin',(18.5,61.03798,0.));
#66022=CARTESIAN_POINT('',(13.9,67.96202,0.));
#66023=CARTESIAN_POINT('',(13.1,67.96202,0.));
#66024=CARTESIAN_POINT('Origin',(13.5,67.96202,0.));
#66025=CARTESIAN_POINT('Origin',(13.5,67.96202,0.));
#66026=CARTESIAN_POINT('',(9.79884,71.,0.));
#66027=CARTESIAN_POINT('',(8.79884,71.,0.));
#66028=CARTESIAN_POINT('Origin',(9.29884,71.,0.));
#66029=CARTESIAN_POINT('Origin',(9.29884,71.,0.));
#66030=CARTESIAN_POINT('',(9.79795,68.50064,0.));
#66031=CARTESIAN_POINT('',(8.79973,68.50064,0.));
#66032=CARTESIAN_POINT('Origin',(9.29884,68.50064,0.));
#66033=CARTESIAN_POINT('Origin',(9.29884,68.50064,0.));
#66034=CARTESIAN_POINT('',(9.79795,45.49936,0.));
#66035=CARTESIAN_POINT('',(8.79973,45.49936,0.));
#66036=CARTESIAN_POINT('Origin',(9.29884,45.49936,0.));
#66037=CARTESIAN_POINT('Origin',(9.29884,45.49936,0.));
#66038=CARTESIAN_POINT('',(9.79795,53.00128,0.));
#66039=CARTESIAN_POINT('',(8.79973,53.00128,0.));
#66040=CARTESIAN_POINT('Origin',(9.29884,53.00128,0.));
#66041=CARTESIAN_POINT('Origin',(9.29884,53.00128,0.));
#66042=CARTESIAN_POINT('',(18.9,54.96202,0.));
#66043=CARTESIAN_POINT('',(18.1,54.96202,0.));
#66044=CARTESIAN_POINT('Origin',(18.5,54.96202,0.));
#66045=CARTESIAN_POINT('Origin',(18.5,54.96202,0.));
#66046=CARTESIAN_POINT('',(18.9,87.03798,0.));
#66047=CARTESIAN_POINT('',(18.1,87.03798,0.));
#66048=CARTESIAN_POINT('Origin',(18.5,87.03798,0.));
#66049=CARTESIAN_POINT('Origin',(18.5,87.03798,0.));
#66050=CARTESIAN_POINT('',(9.79884,84.,0.));
#66051=CARTESIAN_POINT('',(8.79884,84.,0.));
#66052=CARTESIAN_POINT('Origin',(9.29884,84.,0.));
#66053=CARTESIAN_POINT('Origin',(9.29884,84.,0.));
#66054=CARTESIAN_POINT('',(34.65,12.,0.));
#66055=CARTESIAN_POINT('',(33.85,12.,0.));
#66056=CARTESIAN_POINT('Origin',(34.25,12.,0.));
#66057=CARTESIAN_POINT('Origin',(34.25,12.,0.));
#66058=CARTESIAN_POINT('',(22.55,98.,0.));
#66059=CARTESIAN_POINT('',(21.45,98.,0.));
#66060=CARTESIAN_POINT('Origin',(22.,98.,0.));
#66061=CARTESIAN_POINT('Origin',(22.,98.,0.));
#66062=CARTESIAN_POINT('',(27.05,98.,0.));
#66063=CARTESIAN_POINT('',(25.95,98.,0.));
#66064=CARTESIAN_POINT('Origin',(26.5,98.,0.));
#66065=CARTESIAN_POINT('Origin',(26.5,98.,0.));
#66066=CARTESIAN_POINT('',(45.25,97.4,0.));
#66067=CARTESIAN_POINT('',(44.75,97.4,0.));
#66068=CARTESIAN_POINT('Origin',(45.,97.4,0.));
#66069=CARTESIAN_POINT('Origin',(45.,97.4,0.));
#66070=CARTESIAN_POINT('',(5.25,97.4,0.));
#66071=CARTESIAN_POINT('',(4.75,97.4,0.));
#66072=CARTESIAN_POINT('Origin',(5.,97.4,0.));
#66073=CARTESIAN_POINT('Origin',(5.,97.4,0.));
#66074=CARTESIAN_POINT('',(45.25,2.6,0.));
#66075=CARTESIAN_POINT('',(44.75,2.6,0.));
#66076=CARTESIAN_POINT('Origin',(45.,2.6,0.));
#66077=CARTESIAN_POINT('Origin',(45.,2.6,0.));
#66078=CARTESIAN_POINT('',(5.25,2.6,0.));
#66079=CARTESIAN_POINT('',(4.75,2.6,0.));
#66080=CARTESIAN_POINT('Origin',(5.,2.6,0.));
#66081=CARTESIAN_POINT('Origin',(5.,2.6,0.));
#66082=CARTESIAN_POINT('',(49.00178,21.99872,0.));
#66083=CARTESIAN_POINT('',(48.00178,21.99872,0.));
#66084=CARTESIAN_POINT('Origin',(48.50178,21.99872,0.));
#66085=CARTESIAN_POINT('Origin',(48.50178,21.99872,0.));
#66086=CARTESIAN_POINT('',(47.65,95.,0.));
#66087=CARTESIAN_POINT('',(47.15,95.,0.));
#66088=CARTESIAN_POINT('Origin',(47.4,95.,0.));
#66089=CARTESIAN_POINT('Origin',(47.4,95.,0.));
#66090=CARTESIAN_POINT('',(47.65,5.,0.));
#66091=CARTESIAN_POINT('',(47.15,5.,0.));
#66092=CARTESIAN_POINT('Origin',(47.4,5.,0.));
#66093=CARTESIAN_POINT('Origin',(47.4,5.,0.));
#66094=CARTESIAN_POINT('',(49.00178,12.00128,0.));
#66095=CARTESIAN_POINT('',(48.00178,12.00128,0.));
#66096=CARTESIAN_POINT('Origin',(48.50178,12.00128,0.));
#66097=CARTESIAN_POINT('Origin',(48.50178,12.00128,0.));
#66098=CARTESIAN_POINT('',(2.29822,25.00128,0.));
#66099=CARTESIAN_POINT('',(1.29822,25.00128,0.));
#66100=CARTESIAN_POINT('Origin',(1.79822,25.00128,0.));
#66101=CARTESIAN_POINT('Origin',(1.79822,25.00128,0.));
#66102=CARTESIAN_POINT('',(2.29822,38.00128,0.));
#66103=CARTESIAN_POINT('',(1.29822,38.00128,0.));
#66104=CARTESIAN_POINT('Origin',(1.79822,38.00128,0.));
#66105=CARTESIAN_POINT('Origin',(1.79822,38.00128,0.));
#66106=CARTESIAN_POINT('',(2.29822,34.99872,0.));
#66107=CARTESIAN_POINT('',(1.29822,34.99872,0.));
#66108=CARTESIAN_POINT('Origin',(1.79822,34.99872,0.));
#66109=CARTESIAN_POINT('Origin',(1.79822,34.99872,0.));
#66110=CARTESIAN_POINT('',(2.29822,47.99872,0.));
#66111=CARTESIAN_POINT('',(1.29822,47.99872,0.));
#66112=CARTESIAN_POINT('Origin',(1.79822,47.99872,0.));
#66113=CARTESIAN_POINT('Origin',(1.79822,47.99872,0.));
#66114=CARTESIAN_POINT('',(2.29822,79.00128,0.));
#66115=CARTESIAN_POINT('',(1.29822,79.00128,0.));
#66116=CARTESIAN_POINT('Origin',(1.79822,79.00128,0.));
#66117=CARTESIAN_POINT('Origin',(1.79822,79.00128,0.));
#66118=CARTESIAN_POINT('',(2.29822,75.99872,0.));
#66119=CARTESIAN_POINT('',(1.29822,75.99872,0.));
#66120=CARTESIAN_POINT('Origin',(1.79822,75.99872,0.));
#66121=CARTESIAN_POINT('Origin',(1.79822,75.99872,0.));
#66122=CARTESIAN_POINT('',(2.29822,53.00128,0.));
#66123=CARTESIAN_POINT('',(1.29822,53.00128,0.));
#66124=CARTESIAN_POINT('Origin',(1.79822,53.00128,0.));
#66125=CARTESIAN_POINT('Origin',(1.79822,53.00128,0.));
#66126=CARTESIAN_POINT('',(2.29822,66.00128,0.));
#66127=CARTESIAN_POINT('',(1.29822,66.00128,0.));
#66128=CARTESIAN_POINT('Origin',(1.79822,66.00128,0.));
#66129=CARTESIAN_POINT('Origin',(1.79822,66.00128,0.));
#66130=CARTESIAN_POINT('',(2.29822,62.99872,0.));
#66131=CARTESIAN_POINT('',(1.29822,62.99872,0.));
#66132=CARTESIAN_POINT('Origin',(1.79822,62.99872,0.));
#66133=CARTESIAN_POINT('Origin',(1.79822,62.99872,0.));
#66134=CARTESIAN_POINT('',(2.85,5.,0.));
#66135=CARTESIAN_POINT('',(2.35,5.,0.));
#66136=CARTESIAN_POINT('Origin',(2.6,5.,0.));
#66137=CARTESIAN_POINT('Origin',(2.6,5.,0.));
#66138=CARTESIAN_POINT('',(2.29822,12.00128,0.));
#66139=CARTESIAN_POINT('',(1.29822,12.00128,0.));
#66140=CARTESIAN_POINT('Origin',(1.79822,12.00128,0.));
#66141=CARTESIAN_POINT('Origin',(1.79822,12.00128,0.));
#66142=CARTESIAN_POINT('',(2.29822,21.99872,0.));
#66143=CARTESIAN_POINT('',(1.29822,21.99872,0.));
#66144=CARTESIAN_POINT('Origin',(1.79822,21.99872,0.));
#66145=CARTESIAN_POINT('Origin',(1.79822,21.99872,0.));
#66146=CARTESIAN_POINT('',(2.29822,88.99872,0.));
#66147=CARTESIAN_POINT('',(1.29822,88.99872,0.));
#66148=CARTESIAN_POINT('Origin',(1.79822,88.99872,0.));
#66149=CARTESIAN_POINT('Origin',(1.79822,88.99872,0.));
#66150=CARTESIAN_POINT('',(2.85,95.,0.));
#66151=CARTESIAN_POINT('',(2.35,95.,0.));
#66152=CARTESIAN_POINT('Origin',(2.6,95.,0.));
#66153=CARTESIAN_POINT('Origin',(2.6,95.,0.));
#66154=CARTESIAN_POINT('Origin',(25.,50.,1.6));
#66155=CARTESIAN_POINT('',(0.,0.,1.6));
#66156=CARTESIAN_POINT('',(2.85,5.,1.6));
#66157=CARTESIAN_POINT('',(2.35,5.,1.6));
#66158=CARTESIAN_POINT('Origin',(2.6,5.,1.6));
#66159=CARTESIAN_POINT('Origin',(2.6,5.,1.6));
#66160=CARTESIAN_POINT('',(5.25,7.4,1.6));
#66161=CARTESIAN_POINT('',(4.75,7.4,1.6));
#66162=CARTESIAN_POINT('Origin',(5.,7.4,1.6));
#66163=CARTESIAN_POINT('Origin',(5.,7.4,1.6));
#66164=CARTESIAN_POINT('',(4.79758,12.00128,1.6));
#66165=CARTESIAN_POINT('',(3.79758,12.00128,1.6));
#66166=CARTESIAN_POINT('Origin',(4.29758,12.00128,1.6));
#66167=CARTESIAN_POINT('Origin',(4.29758,12.00128,1.6));
#66168=CARTESIAN_POINT('',(9.79795,12.00128,1.6));
#66169=CARTESIAN_POINT('',(8.79973,12.00128,1.6));
#66170=CARTESIAN_POINT('Origin',(9.29884,12.00128,1.6));
#66171=CARTESIAN_POINT('Origin',(9.29884,12.00128,1.6));
#66172=CARTESIAN_POINT('',(9.79795,14.50064,1.6));
#66173=CARTESIAN_POINT('',(8.79973,14.50064,1.6));
#66174=CARTESIAN_POINT('Origin',(9.29884,14.50064,1.6));
#66175=CARTESIAN_POINT('Origin',(9.29884,14.50064,1.6));
#66176=CARTESIAN_POINT('',(9.79795,27.50064,1.6));
#66177=CARTESIAN_POINT('',(8.79973,27.50064,1.6));
#66178=CARTESIAN_POINT('Origin',(9.29884,27.50064,1.6));
#66179=CARTESIAN_POINT('Origin',(9.29884,27.50064,1.6));
#66180=CARTESIAN_POINT('',(9.79795,25.00128,1.6));
#66181=CARTESIAN_POINT('',(8.79973,25.00128,1.6));
#66182=CARTESIAN_POINT('Origin',(9.29884,25.00128,1.6));
#66183=CARTESIAN_POINT('Origin',(9.29884,25.00128,1.6));
#66184=CARTESIAN_POINT('',(4.79758,25.00128,1.6));
#66185=CARTESIAN_POINT('',(3.79758,25.00128,1.6));
#66186=CARTESIAN_POINT('Origin',(4.29758,25.00128,1.6));
#66187=CARTESIAN_POINT('Origin',(4.29758,25.00128,1.6));
#66188=CARTESIAN_POINT('',(4.79758,21.99872,1.6));
#66189=CARTESIAN_POINT('',(3.79758,21.99872,1.6));
#66190=CARTESIAN_POINT('Origin',(4.29758,21.99872,1.6));
#66191=CARTESIAN_POINT('Origin',(4.29758,21.99872,1.6));
#66192=CARTESIAN_POINT('',(9.79795,21.99872,1.6));
#66193=CARTESIAN_POINT('',(8.79973,21.99872,1.6));
#66194=CARTESIAN_POINT('Origin',(9.29884,21.99872,1.6));
#66195=CARTESIAN_POINT('Origin',(9.29884,21.99872,1.6));
#66196=CARTESIAN_POINT('',(9.79884,17.,1.6));
#66197=CARTESIAN_POINT('',(8.79884,17.,1.6));
#66198=CARTESIAN_POINT('Origin',(9.29884,17.,1.6));
#66199=CARTESIAN_POINT('Origin',(9.29884,17.,1.6));
#66200=CARTESIAN_POINT('',(9.79795,19.49936,1.6));
#66201=CARTESIAN_POINT('',(8.79973,19.49936,1.6));
#66202=CARTESIAN_POINT('Origin',(9.29884,19.49936,1.6));
#66203=CARTESIAN_POINT('Origin',(9.29884,19.49936,1.6));
#66204=CARTESIAN_POINT('',(4.79669,17.,1.6));
#66205=CARTESIAN_POINT('',(3.79847,17.,1.6));
#66206=CARTESIAN_POINT('Origin',(4.29758,17.,1.6));
#66207=CARTESIAN_POINT('Origin',(4.29758,17.,1.6));
#66208=CARTESIAN_POINT('',(34.65,12.,1.6));
#66209=CARTESIAN_POINT('',(33.85,12.,1.6));
#66210=CARTESIAN_POINT('Origin',(34.25,12.,1.6));
#66211=CARTESIAN_POINT('Origin',(34.25,12.,1.6));
#66212=CARTESIAN_POINT('',(13.9,20.03798,1.6));
#66213=CARTESIAN_POINT('',(13.1,20.03798,1.6));
#66214=CARTESIAN_POINT('Origin',(13.5,20.03798,1.6));
#66215=CARTESIAN_POINT('Origin',(13.5,20.03798,1.6));
#66216=CARTESIAN_POINT('',(18.9,20.03798,1.6));
#66217=CARTESIAN_POINT('',(18.1,20.03798,1.6));
#66218=CARTESIAN_POINT('Origin',(18.5,20.03798,1.6));
#66219=CARTESIAN_POINT('Origin',(18.5,20.03798,1.6));
#66220=CARTESIAN_POINT('',(18.9,13.96202,1.6));
#66221=CARTESIAN_POINT('',(18.1,13.96202,1.6));
#66222=CARTESIAN_POINT('Origin',(18.5,13.96202,1.6));
#66223=CARTESIAN_POINT('Origin',(18.5,13.96202,1.6));
#66224=CARTESIAN_POINT('',(13.9,13.96202,1.6));
#66225=CARTESIAN_POINT('',(13.1,13.96202,1.6));
#66226=CARTESIAN_POINT('Origin',(13.5,13.96202,1.6));
#66227=CARTESIAN_POINT('Origin',(13.5,13.96202,1.6));
#66228=CARTESIAN_POINT('',(18.9,26.96202,1.6));
#66229=CARTESIAN_POINT('',(18.1,26.96202,1.6));
#66230=CARTESIAN_POINT('Origin',(18.5,26.96202,1.6));
#66231=CARTESIAN_POINT('Origin',(18.5,26.96202,1.6));
#66232=CARTESIAN_POINT('',(34.65,22.,1.6));
#66233=CARTESIAN_POINT('',(33.85,22.,1.6));
#66234=CARTESIAN_POINT('Origin',(34.25,22.,1.6));
#66235=CARTESIAN_POINT('Origin',(34.25,22.,1.6));
#66236=CARTESIAN_POINT('',(13.9,26.96202,1.6));
#66237=CARTESIAN_POINT('',(13.1,26.96202,1.6));
#66238=CARTESIAN_POINT('Origin',(13.5,26.96202,1.6));
#66239=CARTESIAN_POINT('Origin',(13.5,26.96202,1.6));
#66240=CARTESIAN_POINT('',(9.79884,30.,1.6));
#66241=CARTESIAN_POINT('',(8.79884,30.,1.6));
#66242=CARTESIAN_POINT('Origin',(9.29884,30.,1.6));
#66243=CARTESIAN_POINT('Origin',(9.29884,30.,1.6));
#66244=CARTESIAN_POINT('',(4.79669,30.,1.6));
#66245=CARTESIAN_POINT('',(3.79847,30.,1.6));
#66246=CARTESIAN_POINT('Origin',(4.29758,30.,1.6));
#66247=CARTESIAN_POINT('Origin',(4.29758,30.,1.6));
#66248=CARTESIAN_POINT('',(36.65,22.,1.6));
#66249=CARTESIAN_POINT('',(35.85,22.,1.6));
#66250=CARTESIAN_POINT('Origin',(36.25,22.,1.6));
#66251=CARTESIAN_POINT('Origin',(36.25,22.,1.6));
#66252=CARTESIAN_POINT('',(36.65,12.,1.6));
#66253=CARTESIAN_POINT('',(35.85,12.,1.6));
#66254=CARTESIAN_POINT('Origin',(36.25,12.,1.6));
#66255=CARTESIAN_POINT('Origin',(36.25,12.,1.6));
#66256=CARTESIAN_POINT('',(41.50027,19.49936,1.6));
#66257=CARTESIAN_POINT('',(40.50205,19.49936,1.6));
#66258=CARTESIAN_POINT('Origin',(41.00116,19.49936,1.6));
#66259=CARTESIAN_POINT('Origin',(41.00116,19.49936,1.6));
#66260=CARTESIAN_POINT('',(41.50116,17.,1.6));
#66261=CARTESIAN_POINT('',(40.50116,17.,1.6));
#66262=CARTESIAN_POINT('Origin',(41.00116,17.,1.6));
#66263=CARTESIAN_POINT('Origin',(41.00116,17.,1.6));
#66264=CARTESIAN_POINT('',(41.50027,14.50064,1.6));
#66265=CARTESIAN_POINT('',(40.50205,14.50064,1.6));
#66266=CARTESIAN_POINT('Origin',(41.00116,14.50064,1.6));
#66267=CARTESIAN_POINT('Origin',(41.00116,14.50064,1.6));
#66268=CARTESIAN_POINT('',(41.50027,12.00128,1.6));
#66269=CARTESIAN_POINT('',(40.50205,12.00128,1.6));
#66270=CARTESIAN_POINT('Origin',(41.00116,12.00128,1.6));
#66271=CARTESIAN_POINT('Origin',(41.00116,12.00128,1.6));
#66272=CARTESIAN_POINT('',(41.50027,21.99872,1.6));
#66273=CARTESIAN_POINT('',(40.50205,21.99872,1.6));
#66274=CARTESIAN_POINT('Origin',(41.00116,21.99872,1.6));
#66275=CARTESIAN_POINT('Origin',(41.00116,21.99872,1.6));
#66276=CARTESIAN_POINT('',(41.15,52.5,1.6));
#66277=CARTESIAN_POINT('',(40.35,52.5,1.6));
#66278=CARTESIAN_POINT('Origin',(40.75,52.5,1.6));
#66279=CARTESIAN_POINT('Origin',(40.75,52.5,1.6));
#66280=CARTESIAN_POINT('',(41.15,46.5,1.6));
#66281=CARTESIAN_POINT('',(40.35,46.5,1.6));
#66282=CARTESIAN_POINT('Origin',(40.75,46.5,1.6));
#66283=CARTESIAN_POINT('Origin',(40.75,46.5,1.6));
#66284=CARTESIAN_POINT('',(41.15,48.5,1.6));
#66285=CARTESIAN_POINT('',(40.35,48.5,1.6));
#66286=CARTESIAN_POINT('Origin',(40.75,48.5,1.6));
#66287=CARTESIAN_POINT('Origin',(40.75,48.5,1.6));
#66288=CARTESIAN_POINT('',(18.9,46.03798,1.6));
#66289=CARTESIAN_POINT('',(18.1,46.03798,1.6));
#66290=CARTESIAN_POINT('Origin',(18.5,46.03798,1.6));
#66291=CARTESIAN_POINT('Origin',(18.5,46.03798,1.6));
#66292=CARTESIAN_POINT('',(18.9,39.96202,1.6));
#66293=CARTESIAN_POINT('',(18.1,39.96202,1.6));
#66294=CARTESIAN_POINT('Origin',(18.5,39.96202,1.6));
#66295=CARTESIAN_POINT('Origin',(18.5,39.96202,1.6));
#66296=CARTESIAN_POINT('',(4.79758,53.00128,1.6));
#66297=CARTESIAN_POINT('',(3.79758,53.00128,1.6));
#66298=CARTESIAN_POINT('Origin',(4.29758,53.00128,1.6));
#66299=CARTESIAN_POINT('Origin',(4.29758,53.00128,1.6));
#66300=CARTESIAN_POINT('',(9.79795,53.00128,1.6));
#66301=CARTESIAN_POINT('',(8.79973,53.00128,1.6));
#66302=CARTESIAN_POINT('Origin',(9.29884,53.00128,1.6));
#66303=CARTESIAN_POINT('Origin',(9.29884,53.00128,1.6));
#66304=CARTESIAN_POINT('',(9.79795,47.99872,1.6));
#66305=CARTESIAN_POINT('',(8.79973,47.99872,1.6));
#66306=CARTESIAN_POINT('Origin',(9.29884,47.99872,1.6));
#66307=CARTESIAN_POINT('Origin',(9.29884,47.99872,1.6));
#66308=CARTESIAN_POINT('',(4.79758,47.99872,1.6));
#66309=CARTESIAN_POINT('',(3.79758,47.99872,1.6));
#66310=CARTESIAN_POINT('Origin',(4.29758,47.99872,1.6));
#66311=CARTESIAN_POINT('Origin',(4.29758,47.99872,1.6));
#66312=CARTESIAN_POINT('',(9.79795,40.50064,1.6));
#66313=CARTESIAN_POINT('',(8.79973,40.50064,1.6));
#66314=CARTESIAN_POINT('Origin',(9.29884,40.50064,1.6));
#66315=CARTESIAN_POINT('Origin',(9.29884,40.50064,1.6));
#66316=CARTESIAN_POINT('',(9.79884,43.,1.6));
#66317=CARTESIAN_POINT('',(8.79884,43.,1.6));
#66318=CARTESIAN_POINT('Origin',(9.29884,43.,1.6));
#66319=CARTESIAN_POINT('Origin',(9.29884,43.,1.6));
#66320=CARTESIAN_POINT('',(9.79795,45.49936,1.6));
#66321=CARTESIAN_POINT('',(8.79973,45.49936,1.6));
#66322=CARTESIAN_POINT('Origin',(9.29884,45.49936,1.6));
#66323=CARTESIAN_POINT('Origin',(9.29884,45.49936,1.6));
#66324=CARTESIAN_POINT('',(4.79669,43.,1.6));
#66325=CARTESIAN_POINT('',(3.79847,43.,1.6));
#66326=CARTESIAN_POINT('Origin',(4.29758,43.,1.6));
#66327=CARTESIAN_POINT('Origin',(4.29758,43.,1.6));
#66328=CARTESIAN_POINT('',(13.9,46.03798,1.6));
#66329=CARTESIAN_POINT('',(13.1,46.03798,1.6));
#66330=CARTESIAN_POINT('Origin',(13.5,46.03798,1.6));
#66331=CARTESIAN_POINT('Origin',(13.5,46.03798,1.6));
#66332=CARTESIAN_POINT('',(13.9,39.96202,1.6));
#66333=CARTESIAN_POINT('',(13.1,39.96202,1.6));
#66334=CARTESIAN_POINT('Origin',(13.5,39.96202,1.6));
#66335=CARTESIAN_POINT('Origin',(13.5,39.96202,1.6));
#66336=CARTESIAN_POINT('',(4.79758,38.00128,1.6));
#66337=CARTESIAN_POINT('',(3.79758,38.00128,1.6));
#66338=CARTESIAN_POINT('Origin',(4.29758,38.00128,1.6));
#66339=CARTESIAN_POINT('Origin',(4.29758,38.00128,1.6));
#66340=CARTESIAN_POINT('',(9.79795,38.00128,1.6));
#66341=CARTESIAN_POINT('',(8.79973,38.00128,1.6));
#66342=CARTESIAN_POINT('Origin',(9.29884,38.00128,1.6));
#66343=CARTESIAN_POINT('Origin',(9.29884,38.00128,1.6));
#66344=CARTESIAN_POINT('',(18.9,33.03798,1.6));
#66345=CARTESIAN_POINT('',(18.1,33.03798,1.6));
#66346=CARTESIAN_POINT('Origin',(18.5,33.03798,1.6));
#66347=CARTESIAN_POINT('Origin',(18.5,33.03798,1.6));
#66348=CARTESIAN_POINT('',(9.79795,34.99872,1.6));
#66349=CARTESIAN_POINT('',(8.79973,34.99872,1.6));
#66350=CARTESIAN_POINT('Origin',(9.29884,34.99872,1.6));
#66351=CARTESIAN_POINT('Origin',(9.29884,34.99872,1.6));
#66352=CARTESIAN_POINT('',(9.79795,32.49936,1.6));
#66353=CARTESIAN_POINT('',(8.79973,32.49936,1.6));
#66354=CARTESIAN_POINT('Origin',(9.29884,32.49936,1.6));
#66355=CARTESIAN_POINT('Origin',(9.29884,32.49936,1.6));
#66356=CARTESIAN_POINT('',(13.9,33.03798,1.6));
#66357=CARTESIAN_POINT('',(13.1,33.03798,1.6));
#66358=CARTESIAN_POINT('Origin',(13.5,33.03798,1.6));
#66359=CARTESIAN_POINT('Origin',(13.5,33.03798,1.6));
#66360=CARTESIAN_POINT('',(4.79758,34.99872,1.6));
#66361=CARTESIAN_POINT('',(3.79758,34.99872,1.6));
#66362=CARTESIAN_POINT('Origin',(4.29758,34.99872,1.6));
#66363=CARTESIAN_POINT('Origin',(4.29758,34.99872,1.6));
#66364=CARTESIAN_POINT('',(46.50242,12.00128,1.6));
#66365=CARTESIAN_POINT('',(45.50242,12.00128,1.6));
#66366=CARTESIAN_POINT('Origin',(46.00242,12.00128,1.6));
#66367=CARTESIAN_POINT('Origin',(46.00242,12.00128,1.6));
#66368=CARTESIAN_POINT('',(42.85,5.,1.6));
#66369=CARTESIAN_POINT('',(42.35,5.,1.6));
#66370=CARTESIAN_POINT('Origin',(42.6,5.,1.6));
#66371=CARTESIAN_POINT('Origin',(42.6,5.,1.6));
#66372=CARTESIAN_POINT('',(45.25,7.4,1.6));
#66373=CARTESIAN_POINT('',(44.75,7.4,1.6));
#66374=CARTESIAN_POINT('Origin',(45.,7.4,1.6));
#66375=CARTESIAN_POINT('Origin',(45.,7.4,1.6));
#66376=CARTESIAN_POINT('',(46.50242,21.99872,1.6));
#66377=CARTESIAN_POINT('',(45.50242,21.99872,1.6));
#66378=CARTESIAN_POINT('Origin',(46.00242,21.99872,1.6));
#66379=CARTESIAN_POINT('Origin',(46.00242,21.99872,1.6));
#66380=CARTESIAN_POINT('',(46.50153,17.,1.6));
#66381=CARTESIAN_POINT('',(45.50331,17.,1.6));
#66382=CARTESIAN_POINT('Origin',(46.00242,17.,1.6));
#66383=CARTESIAN_POINT('Origin',(46.00242,17.,1.6));
#66384=CARTESIAN_POINT('',(13.9,87.03798,1.6));
#66385=CARTESIAN_POINT('',(13.1,87.03798,1.6));
#66386=CARTESIAN_POINT('Origin',(13.5,87.03798,1.6));
#66387=CARTESIAN_POINT('Origin',(13.5,87.03798,1.6));
#66388=CARTESIAN_POINT('',(13.9,80.96202,1.6));
#66389=CARTESIAN_POINT('',(13.1,80.96202,1.6));
#66390=CARTESIAN_POINT('Origin',(13.5,80.96202,1.6));
#66391=CARTESIAN_POINT('Origin',(13.5,80.96202,1.6));
#66392=CARTESIAN_POINT('',(18.9,87.03798,1.6));
#66393=CARTESIAN_POINT('',(18.1,87.03798,1.6));
#66394=CARTESIAN_POINT('Origin',(18.5,87.03798,1.6));
#66395=CARTESIAN_POINT('Origin',(18.5,87.03798,1.6));
#66396=CARTESIAN_POINT('',(18.9,80.96202,1.6));
#66397=CARTESIAN_POINT('',(18.1,80.96202,1.6));
#66398=CARTESIAN_POINT('Origin',(18.5,80.96202,1.6));
#66399=CARTESIAN_POINT('Origin',(18.5,80.96202,1.6));
#66400=CARTESIAN_POINT('',(13.9,74.03798,1.6));
#66401=CARTESIAN_POINT('',(13.1,74.03798,1.6));
#66402=CARTESIAN_POINT('Origin',(13.5,74.03798,1.6));
#66403=CARTESIAN_POINT('Origin',(13.5,74.03798,1.6));
#66404=CARTESIAN_POINT('',(18.9,74.03798,1.6));
#66405=CARTESIAN_POINT('',(18.1,74.03798,1.6));
#66406=CARTESIAN_POINT('Origin',(18.5,74.03798,1.6));
#66407=CARTESIAN_POINT('Origin',(18.5,74.03798,1.6));
#66408=CARTESIAN_POINT('',(36.42,72.5,1.6));
#66409=CARTESIAN_POINT('',(35.42,72.5,1.6));
#66410=CARTESIAN_POINT('Origin',(35.92,72.5,1.6));
#66411=CARTESIAN_POINT('Origin',(35.92,72.5,1.6));
#66412=CARTESIAN_POINT('',(33.88,72.5,1.6));
#66413=CARTESIAN_POINT('',(32.88,72.5,1.6));
#66414=CARTESIAN_POINT('Origin',(33.38,72.5,1.6));
#66415=CARTESIAN_POINT('Origin',(33.38,72.5,1.6));
#66416=CARTESIAN_POINT('',(35.5,84.5,1.6));
#66417=CARTESIAN_POINT('',(36.5,84.5,1.6));
#66418=CARTESIAN_POINT('Origin',(36.,84.5,1.6));
#66419=CARTESIAN_POINT('',(35.5,86.9,1.6));
#66420=CARTESIAN_POINT('',(35.5,86.9,1.6));
#66421=CARTESIAN_POINT('',(36.5,86.9,1.6));
#66422=CARTESIAN_POINT('Origin',(36.,86.9,1.6));
#66423=CARTESIAN_POINT('',(36.5,84.5,1.6));
#66424=CARTESIAN_POINT('',(9.79795,75.99872,1.6));
#66425=CARTESIAN_POINT('',(8.79973,75.99872,1.6));
#66426=CARTESIAN_POINT('Origin',(9.29884,75.99872,1.6));
#66427=CARTESIAN_POINT('Origin',(9.29884,75.99872,1.6));
#66428=CARTESIAN_POINT('',(9.79795,79.00128,1.6));
#66429=CARTESIAN_POINT('',(8.79973,79.00128,1.6));
#66430=CARTESIAN_POINT('Origin',(9.29884,79.00128,1.6));
#66431=CARTESIAN_POINT('Origin',(9.29884,79.00128,1.6));
#66432=CARTESIAN_POINT('',(9.79795,81.50064,1.6));
#66433=CARTESIAN_POINT('',(8.79973,81.50064,1.6));
#66434=CARTESIAN_POINT('Origin',(9.29884,81.50064,1.6));
#66435=CARTESIAN_POINT('Origin',(9.29884,81.50064,1.6));
#66436=CARTESIAN_POINT('',(9.79884,84.,1.6));
#66437=CARTESIAN_POINT('',(8.79884,84.,1.6));
#66438=CARTESIAN_POINT('Origin',(9.29884,84.,1.6));
#66439=CARTESIAN_POINT('Origin',(9.29884,84.,1.6));
#66440=CARTESIAN_POINT('',(9.79795,86.49936,1.6));
#66441=CARTESIAN_POINT('',(8.79973,86.49936,1.6));
#66442=CARTESIAN_POINT('Origin',(9.29884,86.49936,1.6));
#66443=CARTESIAN_POINT('Origin',(9.29884,86.49936,1.6));
#66444=CARTESIAN_POINT('',(9.79795,73.49936,1.6));
#66445=CARTESIAN_POINT('',(8.79973,73.49936,1.6));
#66446=CARTESIAN_POINT('Origin',(9.29884,73.49936,1.6));
#66447=CARTESIAN_POINT('Origin',(9.29884,73.49936,1.6));
#66448=CARTESIAN_POINT('',(4.79758,75.99872,1.6));
#66449=CARTESIAN_POINT('',(3.79758,75.99872,1.6));
#66450=CARTESIAN_POINT('Origin',(4.29758,75.99872,1.6));
#66451=CARTESIAN_POINT('Origin',(4.29758,75.99872,1.6));
#66452=CARTESIAN_POINT('',(4.79758,79.00128,1.6));
#66453=CARTESIAN_POINT('',(3.79758,79.00128,1.6));
#66454=CARTESIAN_POINT('Origin',(4.29758,79.00128,1.6));
#66455=CARTESIAN_POINT('Origin',(4.29758,79.00128,1.6));
#66456=CARTESIAN_POINT('',(4.79669,84.,1.6));
#66457=CARTESIAN_POINT('',(3.79847,84.,1.6));
#66458=CARTESIAN_POINT('Origin',(4.29758,84.,1.6));
#66459=CARTESIAN_POINT('Origin',(4.29758,84.,1.6));
#66460=CARTESIAN_POINT('',(9.79884,58.,1.6));
#66461=CARTESIAN_POINT('',(8.79884,58.,1.6));
#66462=CARTESIAN_POINT('Origin',(9.29884,58.,1.6));
#66463=CARTESIAN_POINT('Origin',(9.29884,58.,1.6));
#66464=CARTESIAN_POINT('',(9.79795,62.99872,1.6));
#66465=CARTESIAN_POINT('',(8.79973,62.99872,1.6));
#66466=CARTESIAN_POINT('Origin',(9.29884,62.99872,1.6));
#66467=CARTESIAN_POINT('Origin',(9.29884,62.99872,1.6));
#66468=CARTESIAN_POINT('',(9.79795,60.49936,1.6));
#66469=CARTESIAN_POINT('',(8.79973,60.49936,1.6));
#66470=CARTESIAN_POINT('Origin',(9.29884,60.49936,1.6));
#66471=CARTESIAN_POINT('Origin',(9.29884,60.49936,1.6));
#66472=CARTESIAN_POINT('',(4.79758,62.99872,1.6));
#66473=CARTESIAN_POINT('',(3.79758,62.99872,1.6));
#66474=CARTESIAN_POINT('Origin',(4.29758,62.99872,1.6));
#66475=CARTESIAN_POINT('Origin',(4.29758,62.99872,1.6));
#66476=CARTESIAN_POINT('',(4.79669,58.,1.6));
#66477=CARTESIAN_POINT('',(3.79847,58.,1.6));
#66478=CARTESIAN_POINT('Origin',(4.29758,58.,1.6));
#66479=CARTESIAN_POINT('Origin',(4.29758,58.,1.6));
#66480=CARTESIAN_POINT('',(13.9,61.03798,1.6));
#66481=CARTESIAN_POINT('',(13.1,61.03798,1.6));
#66482=CARTESIAN_POINT('Origin',(13.5,61.03798,1.6));
#66483=CARTESIAN_POINT('Origin',(13.5,61.03798,1.6));
#66484=CARTESIAN_POINT('',(9.79795,66.00128,1.6));
#66485=CARTESIAN_POINT('',(8.79973,66.00128,1.6));
#66486=CARTESIAN_POINT('Origin',(9.29884,66.00128,1.6));
#66487=CARTESIAN_POINT('Origin',(9.29884,66.00128,1.6));
#66488=CARTESIAN_POINT('',(4.79758,66.00128,1.6));
#66489=CARTESIAN_POINT('',(3.79758,66.00128,1.6));
#66490=CARTESIAN_POINT('Origin',(4.29758,66.00128,1.6));
#66491=CARTESIAN_POINT('Origin',(4.29758,66.00128,1.6));
#66492=CARTESIAN_POINT('',(9.79795,68.50064,1.6));
#66493=CARTESIAN_POINT('',(8.79973,68.50064,1.6));
#66494=CARTESIAN_POINT('Origin',(9.29884,68.50064,1.6));
#66495=CARTESIAN_POINT('Origin',(9.29884,68.50064,1.6));
#66496=CARTESIAN_POINT('',(9.79884,71.,1.6));
#66497=CARTESIAN_POINT('',(8.79884,71.,1.6));
#66498=CARTESIAN_POINT('Origin',(9.29884,71.,1.6));
#66499=CARTESIAN_POINT('Origin',(9.29884,71.,1.6));
#66500=CARTESIAN_POINT('',(4.79669,71.,1.6));
#66501=CARTESIAN_POINT('',(3.79847,71.,1.6));
#66502=CARTESIAN_POINT('Origin',(4.29758,71.,1.6));
#66503=CARTESIAN_POINT('Origin',(4.29758,71.,1.6));
#66504=CARTESIAN_POINT('',(18.9,67.96202,1.6));
#66505=CARTESIAN_POINT('',(18.1,67.96202,1.6));
#66506=CARTESIAN_POINT('Origin',(18.5,67.96202,1.6));
#66507=CARTESIAN_POINT('Origin',(18.5,67.96202,1.6));
#66508=CARTESIAN_POINT('',(18.9,61.03798,1.6));
#66509=CARTESIAN_POINT('',(18.1,61.03798,1.6));
#66510=CARTESIAN_POINT('Origin',(18.5,61.03798,1.6));
#66511=CARTESIAN_POINT('Origin',(18.5,61.03798,1.6));
#66512=CARTESIAN_POINT('',(41.15,54.5,1.6));
#66513=CARTESIAN_POINT('',(40.35,54.5,1.6));
#66514=CARTESIAN_POINT('Origin',(40.75,54.5,1.6));
#66515=CARTESIAN_POINT('Origin',(40.75,54.5,1.6));
#66516=CARTESIAN_POINT('',(9.79795,55.50064,1.6));
#66517=CARTESIAN_POINT('',(8.79973,55.50064,1.6));
#66518=CARTESIAN_POINT('Origin',(9.29884,55.50064,1.6));
#66519=CARTESIAN_POINT('Origin',(9.29884,55.50064,1.6));
#66520=CARTESIAN_POINT('',(13.9,54.96202,1.6));
#66521=CARTESIAN_POINT('',(13.1,54.96202,1.6));
#66522=CARTESIAN_POINT('Origin',(13.5,54.96202,1.6));
#66523=CARTESIAN_POINT('Origin',(13.5,54.96202,1.6));
#66524=CARTESIAN_POINT('',(18.9,54.96202,1.6));
#66525=CARTESIAN_POINT('',(18.1,54.96202,1.6));
#66526=CARTESIAN_POINT('Origin',(18.5,54.96202,1.6));
#66527=CARTESIAN_POINT('Origin',(18.5,54.96202,1.6));
#66528=CARTESIAN_POINT('',(41.5,72.5,1.6));
#66529=CARTESIAN_POINT('',(40.5,72.5,1.6));
#66530=CARTESIAN_POINT('Origin',(41.,72.5,1.6));
#66531=CARTESIAN_POINT('Origin',(41.,72.5,1.6));
#66532=CARTESIAN_POINT('',(38.96,72.5,1.6));
#66533=CARTESIAN_POINT('',(37.96,72.5,1.6));
#66534=CARTESIAN_POINT('Origin',(38.46,72.5,1.6));
#66535=CARTESIAN_POINT('Origin',(38.46,72.5,1.6));
#66536=CARTESIAN_POINT('',(31.34,72.5,1.6));
#66537=CARTESIAN_POINT('',(30.34,72.5,1.6));
#66538=CARTESIAN_POINT('Origin',(30.84,72.5,1.6));
#66539=CARTESIAN_POINT('Origin',(30.84,72.5,1.6));
#66540=CARTESIAN_POINT('',(13.9,67.96202,1.6));
#66541=CARTESIAN_POINT('',(13.1,67.96202,1.6));
#66542=CARTESIAN_POINT('Origin',(13.5,67.96202,1.6));
#66543=CARTESIAN_POINT('Origin',(13.5,67.96202,1.6));
#66544=CARTESIAN_POINT('',(41.7,84.7,1.6));
#66545=CARTESIAN_POINT('',(42.7,84.7,1.6));
#66546=CARTESIAN_POINT('Origin',(42.2,84.7,1.6));
#66547=CARTESIAN_POINT('',(41.7,86.7,1.6));
#66548=CARTESIAN_POINT('',(41.7,86.7,1.6));
#66549=CARTESIAN_POINT('',(42.7,86.7,1.6));
#66550=CARTESIAN_POINT('Origin',(42.2,86.7,1.6));
#66551=CARTESIAN_POINT('',(42.7,84.7,1.6));
#66552=CARTESIAN_POINT('',(45.25,2.6,1.6));
#66553=CARTESIAN_POINT('',(44.75,2.6,1.6));
#66554=CARTESIAN_POINT('Origin',(45.,2.6,1.6));
#66555=CARTESIAN_POINT('Origin',(45.,2.6,1.6));
#66556=CARTESIAN_POINT('',(46.6,5.,1.6));
#66557=CARTESIAN_POINT('',(43.4,5.,1.6));
#66558=CARTESIAN_POINT('Origin',(45.,5.,1.6));
#66559=CARTESIAN_POINT('Origin',(45.,5.,1.6));
#66560=CARTESIAN_POINT('',(46.947056,6.697056,1.6));
#66561=CARTESIAN_POINT('',(46.447056,6.697056,1.6));
#66562=CARTESIAN_POINT('Origin',(46.697056,6.697056,1.6));
#66563=CARTESIAN_POINT('Origin',(46.697056,6.697056,1.6));
#66564=CARTESIAN_POINT('',(43.552944,3.302944,1.6));
#66565=CARTESIAN_POINT('',(43.052944,3.302944,1.6));
#66566=CARTESIAN_POINT('Origin',(43.302944,3.302944,1.6));
#66567=CARTESIAN_POINT('Origin',(43.302944,3.302944,1.6));
#66568=CARTESIAN_POINT('',(43.552944,6.697056,1.6));
#66569=CARTESIAN_POINT('',(43.052944,6.697056,1.6));
#66570=CARTESIAN_POINT('Origin',(43.302944,6.697056,1.6));
#66571=CARTESIAN_POINT('Origin',(43.302944,6.697056,1.6));
#66572=CARTESIAN_POINT('',(46.947056,3.302944,1.6));
#66573=CARTESIAN_POINT('',(46.447056,3.302944,1.6));
#66574=CARTESIAN_POINT('Origin',(46.697056,3.302944,1.6));
#66575=CARTESIAN_POINT('Origin',(46.697056,3.302944,1.6));
#66576=CARTESIAN_POINT('',(3.552944,3.302944,1.6));
#66577=CARTESIAN_POINT('',(3.052944,3.302944,1.6));
#66578=CARTESIAN_POINT('Origin',(3.302944,3.302944,1.6));
#66579=CARTESIAN_POINT('Origin',(3.302944,3.302944,1.6));
#66580=CARTESIAN_POINT('',(6.947056,3.302944,1.6));
#66581=CARTESIAN_POINT('',(6.447056,3.302944,1.6));
#66582=CARTESIAN_POINT('Origin',(6.697056,3.302944,1.6));
#66583=CARTESIAN_POINT('Origin',(6.697056,3.302944,1.6));
#66584=CARTESIAN_POINT('',(3.552944,6.697056,1.6));
#66585=CARTESIAN_POINT('',(3.052944,6.697056,1.6));
#66586=CARTESIAN_POINT('Origin',(3.302944,6.697056,1.6));
#66587=CARTESIAN_POINT('Origin',(3.302944,6.697056,1.6));
#66588=CARTESIAN_POINT('',(6.6,5.,1.6));
#66589=CARTESIAN_POINT('',(3.4,5.,1.6));
#66590=CARTESIAN_POINT('Origin',(5.,5.,1.6));
#66591=CARTESIAN_POINT('Origin',(5.,5.,1.6));
#66592=CARTESIAN_POINT('',(6.947056,6.697056,1.6));
#66593=CARTESIAN_POINT('',(6.447056,6.697056,1.6));
#66594=CARTESIAN_POINT('Origin',(6.697056,6.697056,1.6));
#66595=CARTESIAN_POINT('Origin',(6.697056,6.697056,1.6));
#66596=CARTESIAN_POINT('',(7.65,5.,1.6));
#66597=CARTESIAN_POINT('',(7.15,5.,1.6));
#66598=CARTESIAN_POINT('Origin',(7.4,5.,1.6));
#66599=CARTESIAN_POINT('Origin',(7.4,5.,1.6));
#66600=CARTESIAN_POINT('',(5.25,2.6,1.6));
#66601=CARTESIAN_POINT('',(4.75,2.6,1.6));
#66602=CARTESIAN_POINT('Origin',(5.,2.6,1.6));
#66603=CARTESIAN_POINT('Origin',(5.,2.6,1.6));
#66604=CARTESIAN_POINT('',(22.55,98.,1.6));
#66605=CARTESIAN_POINT('',(21.45,98.,1.6));
#66606=CARTESIAN_POINT('Origin',(22.,98.,1.6));
#66607=CARTESIAN_POINT('Origin',(22.,98.,1.6));
#66608=CARTESIAN_POINT('',(27.05,98.,1.6));
#66609=CARTESIAN_POINT('',(25.95,98.,1.6));
#66610=CARTESIAN_POINT('Origin',(26.5,98.,1.6));
#66611=CARTESIAN_POINT('Origin',(26.5,98.,1.6));
#66612=CARTESIAN_POINT('',(45.25,92.6,1.6));
#66613=CARTESIAN_POINT('',(44.75,92.6,1.6));
#66614=CARTESIAN_POINT('Origin',(45.,92.6,1.6));
#66615=CARTESIAN_POINT('Origin',(45.,92.6,1.6));
#66616=CARTESIAN_POINT('',(43.552944,93.302944,1.6));
#66617=CARTESIAN_POINT('',(43.052944,93.302944,1.6));
#66618=CARTESIAN_POINT('Origin',(43.302944,93.302944,1.6));
#66619=CARTESIAN_POINT('Origin',(43.302944,93.302944,1.6));
#66620=CARTESIAN_POINT('',(46.6,95.,1.6));
#66621=CARTESIAN_POINT('',(43.4,95.,1.6));
#66622=CARTESIAN_POINT('Origin',(45.,95.,1.6));
#66623=CARTESIAN_POINT('Origin',(45.,95.,1.6));
#66624=CARTESIAN_POINT('',(46.947056,96.697056,1.6));
#66625=CARTESIAN_POINT('',(46.447056,96.697056,1.6));
#66626=CARTESIAN_POINT('Origin',(46.697056,96.697056,1.6));
#66627=CARTESIAN_POINT('Origin',(46.697056,96.697056,1.6));
#66628=CARTESIAN_POINT('',(46.947056,93.302944,1.6));
#66629=CARTESIAN_POINT('',(46.447056,93.302944,1.6));
#66630=CARTESIAN_POINT('Origin',(46.697056,93.302944,1.6));
#66631=CARTESIAN_POINT('Origin',(46.697056,93.302944,1.6));
#66632=CARTESIAN_POINT('',(43.552944,96.697056,1.6));
#66633=CARTESIAN_POINT('',(43.052944,96.697056,1.6));
#66634=CARTESIAN_POINT('Origin',(43.302944,96.697056,1.6));
#66635=CARTESIAN_POINT('Origin',(43.302944,96.697056,1.6));
#66636=CARTESIAN_POINT('',(45.25,97.4,1.6));
#66637=CARTESIAN_POINT('',(44.75,97.4,1.6));
#66638=CARTESIAN_POINT('Origin',(45.,97.4,1.6));
#66639=CARTESIAN_POINT('Origin',(45.,97.4,1.6));
#66640=CARTESIAN_POINT('',(42.85,95.,1.6));
#66641=CARTESIAN_POINT('',(42.35,95.,1.6));
#66642=CARTESIAN_POINT('Origin',(42.6,95.,1.6));
#66643=CARTESIAN_POINT('Origin',(42.6,95.,1.6));
#66644=CARTESIAN_POINT('',(6.947056,93.302944,1.6));
#66645=CARTESIAN_POINT('',(6.447056,93.302944,1.6));
#66646=CARTESIAN_POINT('Origin',(6.697056,93.302944,1.6));
#66647=CARTESIAN_POINT('Origin',(6.697056,93.302944,1.6));
#66648=CARTESIAN_POINT('',(6.6,95.,1.6));
#66649=CARTESIAN_POINT('',(3.4,95.,1.6));
#66650=CARTESIAN_POINT('Origin',(5.,95.,1.6));
#66651=CARTESIAN_POINT('Origin',(5.,95.,1.6));
#66652=CARTESIAN_POINT('',(6.947056,96.697056,1.6));
#66653=CARTESIAN_POINT('',(6.447056,96.697056,1.6));
#66654=CARTESIAN_POINT('Origin',(6.697056,96.697056,1.6));
#66655=CARTESIAN_POINT('Origin',(6.697056,96.697056,1.6));
#66656=CARTESIAN_POINT('',(3.552944,93.302944,1.6));
#66657=CARTESIAN_POINT('',(3.052944,93.302944,1.6));
#66658=CARTESIAN_POINT('Origin',(3.302944,93.302944,1.6));
#66659=CARTESIAN_POINT('Origin',(3.302944,93.302944,1.6));
#66660=CARTESIAN_POINT('',(3.552944,96.697056,1.6));
#66661=CARTESIAN_POINT('',(3.052944,96.697056,1.6));
#66662=CARTESIAN_POINT('Origin',(3.302944,96.697056,1.6));
#66663=CARTESIAN_POINT('Origin',(3.302944,96.697056,1.6));
#66664=CARTESIAN_POINT('',(7.65,95.,1.6));
#66665=CARTESIAN_POINT('',(7.15,95.,1.6));
#66666=CARTESIAN_POINT('Origin',(7.4,95.,1.6));
#66667=CARTESIAN_POINT('Origin',(7.4,95.,1.6));
#66668=CARTESIAN_POINT('',(5.25,97.4,1.6));
#66669=CARTESIAN_POINT('',(4.75,97.4,1.6));
#66670=CARTESIAN_POINT('Origin',(5.,97.4,1.6));
#66671=CARTESIAN_POINT('Origin',(5.,97.4,1.6));
#66672=CARTESIAN_POINT('',(5.25,92.6,1.6));
#66673=CARTESIAN_POINT('',(4.75,92.6,1.6));
#66674=CARTESIAN_POINT('Origin',(5.,92.6,1.6));
#66675=CARTESIAN_POINT('Origin',(5.,92.6,1.6));
#66676=CARTESIAN_POINT('',(22.55,91.5,1.6));
#66677=CARTESIAN_POINT('',(21.45,91.5,1.6));
#66678=CARTESIAN_POINT('Origin',(22.,91.5,1.6));
#66679=CARTESIAN_POINT('Origin',(22.,91.5,1.6));
#66680=CARTESIAN_POINT('',(27.05,91.5,1.6));
#66681=CARTESIAN_POINT('',(25.95,91.5,1.6));
#66682=CARTESIAN_POINT('Origin',(26.5,91.5,1.6));
#66683=CARTESIAN_POINT('Origin',(26.5,91.5,1.6));
#66684=CARTESIAN_POINT('',(38.,90.,1.6));
#66685=CARTESIAN_POINT('',(40.,90.,1.6));
#66686=CARTESIAN_POINT('',(38.,90.,1.6));
#66687=CARTESIAN_POINT('',(38.,91.,1.6));
#66688=CARTESIAN_POINT('Origin',(38.,90.5,1.6));
#66689=CARTESIAN_POINT('',(40.,91.,1.6));
#66690=CARTESIAN_POINT('',(40.,91.,1.6));
#66691=CARTESIAN_POINT('Origin',(40.,90.5,1.6));
#66692=CARTESIAN_POINT('',(9.79795,88.99872,1.6));
#66693=CARTESIAN_POINT('',(8.79973,88.99872,1.6));
#66694=CARTESIAN_POINT('Origin',(9.29884,88.99872,1.6));
#66695=CARTESIAN_POINT('Origin',(9.29884,88.99872,1.6));
#66696=CARTESIAN_POINT('',(4.79758,88.99872,1.6));
#66697=CARTESIAN_POINT('',(3.79758,88.99872,1.6));
#66698=CARTESIAN_POINT('Origin',(4.29758,88.99872,1.6));
#66699=CARTESIAN_POINT('Origin',(4.29758,88.99872,1.6));
#66700=CARTESIAN_POINT('',(2.85,95.,1.6));
#66701=CARTESIAN_POINT('',(2.35,95.,1.6));
#66702=CARTESIAN_POINT('Origin',(2.6,95.,1.6));
#66703=CARTESIAN_POINT('Origin',(2.6,95.,1.6));
#66704=CARTESIAN_POINT('',(2.29822,66.00128,1.6));
#66705=CARTESIAN_POINT('',(1.29822,66.00128,1.6));
#66706=CARTESIAN_POINT('Origin',(1.79822,66.00128,1.6));
#66707=CARTESIAN_POINT('Origin',(1.79822,66.00128,1.6));
#66708=CARTESIAN_POINT('',(2.29822,62.99872,1.6));
#66709=CARTESIAN_POINT('',(1.29822,62.99872,1.6));
#66710=CARTESIAN_POINT('Origin',(1.79822,62.99872,1.6));
#66711=CARTESIAN_POINT('Origin',(1.79822,62.99872,1.6));
#66712=CARTESIAN_POINT('',(2.29822,47.99872,1.6));
#66713=CARTESIAN_POINT('',(1.29822,47.99872,1.6));
#66714=CARTESIAN_POINT('Origin',(1.79822,47.99872,1.6));
#66715=CARTESIAN_POINT('Origin',(1.79822,47.99872,1.6));
#66716=CARTESIAN_POINT('',(2.29822,53.00128,1.6));
#66717=CARTESIAN_POINT('',(1.29822,53.00128,1.6));
#66718=CARTESIAN_POINT('Origin',(1.79822,53.00128,1.6));
#66719=CARTESIAN_POINT('Origin',(1.79822,53.00128,1.6));
#66720=CARTESIAN_POINT('',(2.29822,12.00128,1.6));
#66721=CARTESIAN_POINT('',(1.29822,12.00128,1.6));
#66722=CARTESIAN_POINT('Origin',(1.79822,12.00128,1.6));
#66723=CARTESIAN_POINT('Origin',(1.79822,12.00128,1.6));
#66724=CARTESIAN_POINT('',(2.29822,25.00128,1.6));
#66725=CARTESIAN_POINT('',(1.29822,25.00128,1.6));
#66726=CARTESIAN_POINT('Origin',(1.79822,25.00128,1.6));
#66727=CARTESIAN_POINT('Origin',(1.79822,25.00128,1.6));
#66728=CARTESIAN_POINT('',(2.29822,21.99872,1.6));
#66729=CARTESIAN_POINT('',(1.29822,21.99872,1.6));
#66730=CARTESIAN_POINT('Origin',(1.79822,21.99872,1.6));
#66731=CARTESIAN_POINT('Origin',(1.79822,21.99872,1.6));
#66732=CARTESIAN_POINT('',(2.29822,38.00128,1.6));
#66733=CARTESIAN_POINT('',(1.29822,38.00128,1.6));
#66734=CARTESIAN_POINT('Origin',(1.79822,38.00128,1.6));
#66735=CARTESIAN_POINT('Origin',(1.79822,38.00128,1.6));
#66736=CARTESIAN_POINT('',(2.29822,34.99872,1.6));
#66737=CARTESIAN_POINT('',(1.29822,34.99872,1.6));
#66738=CARTESIAN_POINT('Origin',(1.79822,34.99872,1.6));
#66739=CARTESIAN_POINT('Origin',(1.79822,34.99872,1.6));
#66740=CARTESIAN_POINT('',(2.29822,88.99872,1.6));
#66741=CARTESIAN_POINT('',(1.29822,88.99872,1.6));
#66742=CARTESIAN_POINT('Origin',(1.79822,88.99872,1.6));
#66743=CARTESIAN_POINT('Origin',(1.79822,88.99872,1.6));
#66744=CARTESIAN_POINT('',(2.29822,79.00128,1.6));
#66745=CARTESIAN_POINT('',(1.29822,79.00128,1.6));
#66746=CARTESIAN_POINT('Origin',(1.79822,79.00128,1.6));
#66747=CARTESIAN_POINT('Origin',(1.79822,79.00128,1.6));
#66748=CARTESIAN_POINT('',(2.29822,75.99872,1.6));
#66749=CARTESIAN_POINT('',(1.29822,75.99872,1.6));
#66750=CARTESIAN_POINT('Origin',(1.79822,75.99872,1.6));
#66751=CARTESIAN_POINT('Origin',(1.79822,75.99872,1.6));
#66752=CARTESIAN_POINT('',(47.65,5.,1.6));
#66753=CARTESIAN_POINT('',(47.15,5.,1.6));
#66754=CARTESIAN_POINT('Origin',(47.4,5.,1.6));
#66755=CARTESIAN_POINT('Origin',(47.4,5.,1.6));
#66756=CARTESIAN_POINT('',(47.65,95.,1.6));
#66757=CARTESIAN_POINT('',(47.15,95.,1.6));
#66758=CARTESIAN_POINT('Origin',(47.4,95.,1.6));
#66759=CARTESIAN_POINT('Origin',(47.4,95.,1.6));
#66760=CARTESIAN_POINT('',(49.00178,12.00128,1.6));
#66761=CARTESIAN_POINT('',(48.00178,12.00128,1.6));
#66762=CARTESIAN_POINT('Origin',(48.50178,12.00128,1.6));
#66763=CARTESIAN_POINT('Origin',(48.50178,12.00128,1.6));
#66764=CARTESIAN_POINT('',(49.00178,21.99872,1.6));
#66765=CARTESIAN_POINT('',(48.00178,21.99872,1.6));
#66766=CARTESIAN_POINT('Origin',(48.50178,21.99872,1.6));
#66767=CARTESIAN_POINT('Origin',(48.50178,21.99872,1.6));
#66768=CARTESIAN_POINT('Origin',(0.,0.,0.));
#66769=CARTESIAN_POINT('Origin',(6.697056,93.302944,-0.8));
#66770=CARTESIAN_POINT('',(6.447056,93.302944,-0.8));
#66771=CARTESIAN_POINT('Origin',(7.4,95.,-0.8));
#66772=CARTESIAN_POINT('',(7.15,95.,-0.8));
#66773=CARTESIAN_POINT('Origin',(43.302944,96.697056,-0.8));
#66774=CARTESIAN_POINT('',(43.052944,96.697056,-0.8));
#66775=CARTESIAN_POINT('Origin',(43.302944,93.302944,-0.8));
#66776=CARTESIAN_POINT('',(43.052944,93.302944,-0.8));
#66777=CARTESIAN_POINT('Origin',(42.6,95.,-0.8));
#66778=CARTESIAN_POINT('',(42.35,95.,-0.8));
#66779=CARTESIAN_POINT('Origin',(36.5,84.5,0.));
#66780=CARTESIAN_POINT('',(36.5,84.5,0.));
#66781=CARTESIAN_POINT('',(36.5,86.9,0.));
#66782=CARTESIAN_POINT('Origin',(36.,84.5,0.));
#66783=CARTESIAN_POINT('',(35.5,84.5,0.));
#66784=CARTESIAN_POINT('Origin',(36.,86.9,0.));
#66785=CARTESIAN_POINT('',(35.5,86.9,0.));
#66786=CARTESIAN_POINT('Origin',(35.5,86.9,0.));
#66787=CARTESIAN_POINT('Origin',(9.29884,86.49936,-0.8));
#66788=CARTESIAN_POINT('',(8.79973,86.49936,-0.8));
#66789=CARTESIAN_POINT('Origin',(13.5,87.03798,-0.8));
#66790=CARTESIAN_POINT('',(13.1,87.03798,-0.8));
#66791=CARTESIAN_POINT('Origin',(22.,91.5,-0.8));
#66792=CARTESIAN_POINT('',(21.45,91.5,-0.8));
#66793=CARTESIAN_POINT('Origin',(9.29884,88.99872,-0.8));
#66794=CARTESIAN_POINT('',(8.79973,88.99872,-0.8));
#66795=CARTESIAN_POINT('Origin',(26.5,91.5,-0.8));
#66796=CARTESIAN_POINT('',(25.95,91.5,-0.8));
#66797=CARTESIAN_POINT('Origin',(9.29884,75.99872,-0.8));
#66798=CARTESIAN_POINT('',(8.79973,75.99872,-0.8));
#66799=CARTESIAN_POINT('Origin',(9.29884,79.00128,-0.8));
#66800=CARTESIAN_POINT('',(8.79973,79.00128,-0.8));
#66801=CARTESIAN_POINT('Origin',(9.29884,66.00128,-0.8));
#66802=CARTESIAN_POINT('',(8.79973,66.00128,-0.8));
#66803=CARTESIAN_POINT('Origin',(18.5,67.96202,-0.8));
#66804=CARTESIAN_POINT('',(18.1,67.96202,-0.8));
#66805=CARTESIAN_POINT('Origin',(30.84,72.5,-0.8));
#66806=CARTESIAN_POINT('',(30.34,72.5,-0.8));
#66807=CARTESIAN_POINT('Origin',(33.38,72.5,-0.8));
#66808=CARTESIAN_POINT('',(32.88,72.5,-0.8));
#66809=CARTESIAN_POINT('Origin',(38.46,72.5,-0.8));
#66810=CARTESIAN_POINT('',(37.96,72.5,-0.8));
#66811=CARTESIAN_POINT('Origin',(18.5,74.03798,-0.8));
#66812=CARTESIAN_POINT('',(18.1,74.03798,-0.8));
#66813=CARTESIAN_POINT('Origin',(9.29884,60.49936,-0.8));
#66814=CARTESIAN_POINT('',(8.79973,60.49936,-0.8));
#66815=CARTESIAN_POINT('Origin',(9.29884,62.99872,-0.8));
#66816=CARTESIAN_POINT('',(8.79973,62.99872,-0.8));
#66817=CARTESIAN_POINT('Origin',(13.5,61.03798,-0.8));
#66818=CARTESIAN_POINT('',(13.1,61.03798,-0.8));
#66819=CARTESIAN_POINT('Origin',(9.29884,17.,-0.8));
#66820=CARTESIAN_POINT('',(8.79884,17.,-0.8));
#66821=CARTESIAN_POINT('Origin',(9.29884,19.49936,-0.8));
#66822=CARTESIAN_POINT('',(8.79973,19.49936,-0.8));
#66823=CARTESIAN_POINT('Origin',(9.29884,21.99872,-0.8));
#66824=CARTESIAN_POINT('',(8.79973,21.99872,-0.8));
#66825=CARTESIAN_POINT('Origin',(9.29884,27.50064,-0.8));
#66826=CARTESIAN_POINT('',(8.79973,27.50064,-0.8));
#66827=CARTESIAN_POINT('Origin',(9.29884,32.49936,-0.8));
#66828=CARTESIAN_POINT('',(8.79973,32.49936,-0.8));
#66829=CARTESIAN_POINT('Origin',(9.29884,30.,-0.8));
#66830=CARTESIAN_POINT('',(8.79884,30.,-0.8));
#66831=CARTESIAN_POINT('Origin',(9.29884,55.50064,-0.8));
#66832=CARTESIAN_POINT('',(8.79973,55.50064,-0.8));
#66833=CARTESIAN_POINT('Origin',(9.29884,47.99872,-0.8));
#66834=CARTESIAN_POINT('',(8.79973,47.99872,-0.8));
#66835=CARTESIAN_POINT('Origin',(9.29884,43.,-0.8));
#66836=CARTESIAN_POINT('',(8.79884,43.,-0.8));
#66837=CARTESIAN_POINT('Origin',(18.5,33.03798,-0.8));
#66838=CARTESIAN_POINT('',(18.1,33.03798,-0.8));
#66839=CARTESIAN_POINT('Origin',(13.5,33.03798,-0.8));
#66840=CARTESIAN_POINT('',(13.1,33.03798,-0.8));
#66841=CARTESIAN_POINT('Origin',(13.5,20.03798,-0.8));
#66842=CARTESIAN_POINT('',(13.1,20.03798,-0.8));
#66843=CARTESIAN_POINT('Origin',(13.5,26.96202,-0.8));
#66844=CARTESIAN_POINT('',(13.1,26.96202,-0.8));
#66845=CARTESIAN_POINT('Origin',(18.5,20.03798,-0.8));
#66846=CARTESIAN_POINT('',(18.1,20.03798,-0.8));
#66847=CARTESIAN_POINT('Origin',(13.5,46.03798,-0.8));
#66848=CARTESIAN_POINT('',(13.1,46.03798,-0.8));
#66849=CARTESIAN_POINT('Origin',(13.5,39.96202,-0.8));
#66850=CARTESIAN_POINT('',(13.1,39.96202,-0.8));
#66851=CARTESIAN_POINT('Origin',(18.5,46.03798,-0.8));
#66852=CARTESIAN_POINT('',(18.1,46.03798,-0.8));
#66853=CARTESIAN_POINT('Origin',(18.5,39.96202,-0.8));
#66854=CARTESIAN_POINT('',(18.1,39.96202,-0.8));
#66855=CARTESIAN_POINT('Origin',(13.5,54.96202,-0.8));
#66856=CARTESIAN_POINT('',(13.1,54.96202,-0.8));
#66857=CARTESIAN_POINT('Origin',(40.75,46.5,-0.8));
#66858=CARTESIAN_POINT('',(40.35,46.5,-0.8));
#66859=CARTESIAN_POINT('Origin',(41.00116,19.49936,-0.8));
#66860=CARTESIAN_POINT('',(40.50205,19.49936,-0.8));
#66861=CARTESIAN_POINT('Origin',(34.25,22.,-0.8));
#66862=CARTESIAN_POINT('',(33.85,22.,-0.8));
#66863=CARTESIAN_POINT('Origin',(41.00116,17.,-0.8));
#66864=CARTESIAN_POINT('',(40.50116,17.,-0.8));
#66865=CARTESIAN_POINT('Origin',(40.75,48.5,-0.8));
#66866=CARTESIAN_POINT('',(40.35,48.5,-0.8));
#66867=CARTESIAN_POINT('Origin',(9.29884,58.,-0.8));
#66868=CARTESIAN_POINT('',(8.79884,58.,-0.8));
#66869=CARTESIAN_POINT('Origin',(3.302944,96.697056,-0.8));
#66870=CARTESIAN_POINT('',(3.052944,96.697056,-0.8));
#66871=CARTESIAN_POINT('Origin',(5.,95.,-0.8));
#66872=CARTESIAN_POINT('',(3.4,95.,-0.8));
#66873=CARTESIAN_POINT('Origin',(3.302944,93.302944,-0.8));
#66874=CARTESIAN_POINT('',(3.052944,93.302944,-0.8));
#66875=CARTESIAN_POINT('Origin',(6.697056,96.697056,-0.8));
#66876=CARTESIAN_POINT('',(6.447056,96.697056,-0.8));
#66877=CARTESIAN_POINT('Origin',(4.29758,88.99872,-0.8));
#66878=CARTESIAN_POINT('',(3.79758,88.99872,-0.8));
#66879=CARTESIAN_POINT('Origin',(5.,92.6,-0.8));
#66880=CARTESIAN_POINT('',(4.75,92.6,-0.8));
#66881=CARTESIAN_POINT('Origin',(4.29758,79.00128,-0.8));
#66882=CARTESIAN_POINT('',(3.79758,79.00128,-0.8));
#66883=CARTESIAN_POINT('Origin',(4.29758,84.,-0.8));
#66884=CARTESIAN_POINT('',(3.79847,84.,-0.8));
#66885=CARTESIAN_POINT('Origin',(4.29758,66.00128,-0.8));
#66886=CARTESIAN_POINT('',(3.79758,66.00128,-0.8));
#66887=CARTESIAN_POINT('Origin',(4.29758,71.,-0.8));
#66888=CARTESIAN_POINT('',(3.79847,71.,-0.8));
#66889=CARTESIAN_POINT('Origin',(4.29758,75.99872,-0.8));
#66890=CARTESIAN_POINT('',(3.79758,75.99872,-0.8));
#66891=CARTESIAN_POINT('Origin',(4.29758,30.,-0.8));
#66892=CARTESIAN_POINT('',(3.79847,30.,-0.8));
#66893=CARTESIAN_POINT('Origin',(4.29758,38.00128,-0.8));
#66894=CARTESIAN_POINT('',(3.79758,38.00128,-0.8));
#66895=CARTESIAN_POINT('Origin',(4.29758,34.99872,-0.8));
#66896=CARTESIAN_POINT('',(3.79758,34.99872,-0.8));
#66897=CARTESIAN_POINT('Origin',(4.29758,43.,-0.8));
#66898=CARTESIAN_POINT('',(3.79847,43.,-0.8));
#66899=CARTESIAN_POINT('Origin',(4.29758,58.,-0.8));
#66900=CARTESIAN_POINT('',(3.79847,58.,-0.8));
#66901=CARTESIAN_POINT('Origin',(4.29758,53.00128,-0.8));
#66902=CARTESIAN_POINT('',(3.79758,53.00128,-0.8));
#66903=CARTESIAN_POINT('Origin',(4.29758,47.99872,-0.8));
#66904=CARTESIAN_POINT('',(3.79758,47.99872,-0.8));
#66905=CARTESIAN_POINT('Origin',(4.29758,62.99872,-0.8));
#66906=CARTESIAN_POINT('',(3.79758,62.99872,-0.8));
#66907=CARTESIAN_POINT('Origin',(4.29758,17.,-0.8));
#66908=CARTESIAN_POINT('',(3.79847,17.,-0.8));
#66909=CARTESIAN_POINT('Origin',(4.29758,21.99872,-0.8));
#66910=CARTESIAN_POINT('',(3.79758,21.99872,-0.8));
#66911=CARTESIAN_POINT('Origin',(4.29758,25.00128,-0.8));
#66912=CARTESIAN_POINT('',(3.79758,25.00128,-0.8));
#66913=CARTESIAN_POINT('Origin',(46.697056,96.697056,-0.8));
#66914=CARTESIAN_POINT('',(46.447056,96.697056,-0.8));
#66915=CARTESIAN_POINT('Origin',(46.00242,21.99872,-0.8));
#66916=CARTESIAN_POINT('',(45.50242,21.99872,-0.8));
#66917=CARTESIAN_POINT('Origin',(45.,92.6,-0.8));
#66918=CARTESIAN_POINT('',(44.75,92.6,-0.8));
#66919=CARTESIAN_POINT('Origin',(46.00242,17.,-0.8));
#66920=CARTESIAN_POINT('',(45.50331,17.,-0.8));
#66921=CARTESIAN_POINT('Origin',(18.5,13.96202,-0.8));
#66922=CARTESIAN_POINT('',(18.1,13.96202,-0.8));
#66923=CARTESIAN_POINT('Origin',(13.5,13.96202,-0.8));
#66924=CARTESIAN_POINT('',(13.1,13.96202,-0.8));
#66925=CARTESIAN_POINT('Origin',(4.29758,12.00128,-0.8));
#66926=CARTESIAN_POINT('',(3.79758,12.00128,-0.8));
#66927=CARTESIAN_POINT('Origin',(6.697056,6.697056,-0.8));
#66928=CARTESIAN_POINT('',(6.447056,6.697056,-0.8));
#66929=CARTESIAN_POINT('Origin',(5.,5.,-0.8));
#66930=CARTESIAN_POINT('',(3.4,5.,-0.8));
#66931=CARTESIAN_POINT('Origin',(3.302944,6.697056,-0.8));
#66932=CARTESIAN_POINT('',(3.052944,6.697056,-0.8));
#66933=CARTESIAN_POINT('Origin',(6.697056,3.302944,-0.8));
#66934=CARTESIAN_POINT('',(6.447056,3.302944,-0.8));
#66935=CARTESIAN_POINT('Origin',(3.302944,3.302944,-0.8));
#66936=CARTESIAN_POINT('',(3.052944,3.302944,-0.8));
#66937=CARTESIAN_POINT('Origin',(5.,7.4,-0.8));
#66938=CARTESIAN_POINT('',(4.75,7.4,-0.8));
#66939=CARTESIAN_POINT('Origin',(45.,5.,-0.8));
#66940=CARTESIAN_POINT('',(43.4,5.,-0.8));
#66941=CARTESIAN_POINT('Origin',(43.302944,6.697056,-0.8));
#66942=CARTESIAN_POINT('',(43.052944,6.697056,-0.8));
#66943=CARTESIAN_POINT('Origin',(46.697056,6.697056,-0.8));
#66944=CARTESIAN_POINT('',(46.447056,6.697056,-0.8));
#66945=CARTESIAN_POINT('Origin',(43.302944,3.302944,-0.8));
#66946=CARTESIAN_POINT('',(43.052944,3.302944,-0.8));
#66947=CARTESIAN_POINT('Origin',(46.697056,3.302944,-0.8));
#66948=CARTESIAN_POINT('',(46.447056,3.302944,-0.8));
#66949=CARTESIAN_POINT('Origin',(42.6,5.,-0.8));
#66950=CARTESIAN_POINT('',(42.35,5.,-0.8));
#66951=CARTESIAN_POINT('Origin',(45.,7.4,-0.8));
#66952=CARTESIAN_POINT('',(44.75,7.4,-0.8));
#66953=CARTESIAN_POINT('Origin',(41.00116,12.00128,-0.8));
#66954=CARTESIAN_POINT('',(40.50205,12.00128,-0.8));
#66955=CARTESIAN_POINT('Origin',(46.00242,12.00128,-0.8));
#66956=CARTESIAN_POINT('',(45.50242,12.00128,-0.8));
#66957=CARTESIAN_POINT('Origin',(41.00116,14.50064,-0.8));
#66958=CARTESIAN_POINT('',(40.50205,14.50064,-0.8));
#66959=CARTESIAN_POINT('Origin',(41.00116,21.99872,-0.8));
#66960=CARTESIAN_POINT('',(40.50205,21.99872,-0.8));
#66961=CARTESIAN_POINT('Origin',(46.697056,93.302944,-0.8));
#66962=CARTESIAN_POINT('',(46.447056,93.302944,-0.8));
#66963=CARTESIAN_POINT('Origin',(45.,95.,-0.8));
#66964=CARTESIAN_POINT('',(43.4,95.,-0.8));
#66965=CARTESIAN_POINT('Origin',(40.,90.5,0.));
#66966=CARTESIAN_POINT('',(40.,90.,0.));
#66967=CARTESIAN_POINT('',(40.,91.,0.));
#66968=CARTESIAN_POINT('Origin',(38.,90.,0.));
#66969=CARTESIAN_POINT('',(38.,90.,0.));
#66970=CARTESIAN_POINT('Origin',(40.,91.,0.));
#66971=CARTESIAN_POINT('',(38.,91.,0.));
#66972=CARTESIAN_POINT('Origin',(38.,90.5,0.));
#66973=CARTESIAN_POINT('Origin',(42.2,84.7,0.));
#66974=CARTESIAN_POINT('',(41.7,84.7,0.));
#66975=CARTESIAN_POINT('',(42.7,84.7,0.));
#66976=CARTESIAN_POINT('Origin',(41.7,86.7,0.));
#66977=CARTESIAN_POINT('',(41.7,86.7,0.));
#66978=CARTESIAN_POINT('Origin',(42.7,84.7,0.));
#66979=CARTESIAN_POINT('',(42.7,86.7,0.));
#66980=CARTESIAN_POINT('Origin',(42.2,86.7,0.));
#66981=CARTESIAN_POINT('Origin',(40.75,54.5,-0.8));
#66982=CARTESIAN_POINT('',(40.35,54.5,-0.8));
#66983=CARTESIAN_POINT('Origin',(41.,72.5,-0.8));
#66984=CARTESIAN_POINT('',(40.5,72.5,-0.8));
#66985=CARTESIAN_POINT('Origin',(40.75,52.5,-0.8));
#66986=CARTESIAN_POINT('',(40.35,52.5,-0.8));
#66987=CARTESIAN_POINT('Origin',(36.25,12.,-0.8));
#66988=CARTESIAN_POINT('',(35.85,12.,-0.8));
#66989=CARTESIAN_POINT('Origin',(36.25,22.,-0.8));
#66990=CARTESIAN_POINT('',(35.85,22.,-0.8));
#66991=CARTESIAN_POINT('Origin',(35.92,72.5,-0.8));
#66992=CARTESIAN_POINT('',(35.42,72.5,-0.8));
#66993=CARTESIAN_POINT('Origin',(7.4,5.,-0.8));
#66994=CARTESIAN_POINT('',(7.15,5.,-0.8));
#66995=CARTESIAN_POINT('Origin',(9.29884,14.50064,-0.8));
#66996=CARTESIAN_POINT('',(8.79973,14.50064,-0.8));
#66997=CARTESIAN_POINT('Origin',(9.29884,12.00128,-0.8));
#66998=CARTESIAN_POINT('',(8.79973,12.00128,-0.8));
#66999=CARTESIAN_POINT('Origin',(9.29884,34.99872,-0.8));
#67000=CARTESIAN_POINT('',(8.79973,34.99872,-0.8));
#67001=CARTESIAN_POINT('Origin',(9.29884,25.00128,-0.8));
#67002=CARTESIAN_POINT('',(8.79973,25.00128,-0.8));
#67003=CARTESIAN_POINT('Origin',(18.5,26.96202,-0.8));
#67004=CARTESIAN_POINT('',(18.1,26.96202,-0.8));
#67005=CARTESIAN_POINT('Origin',(9.29884,38.00128,-0.8));
#67006=CARTESIAN_POINT('',(8.79973,38.00128,-0.8));
#67007=CARTESIAN_POINT('Origin',(9.29884,40.50064,-0.8));
#67008=CARTESIAN_POINT('',(8.79973,40.50064,-0.8));
#67009=CARTESIAN_POINT('Origin',(18.5,80.96202,-0.8));
#67010=CARTESIAN_POINT('',(18.1,80.96202,-0.8));
#67011=CARTESIAN_POINT('Origin',(9.29884,81.50064,-0.8));
#67012=CARTESIAN_POINT('',(8.79973,81.50064,-0.8));
#67013=CARTESIAN_POINT('Origin',(13.5,80.96202,-0.8));
#67014=CARTESIAN_POINT('',(13.1,80.96202,-0.8));
#67015=CARTESIAN_POINT('Origin',(9.29884,73.49936,-0.8));
#67016=CARTESIAN_POINT('',(8.79973,73.49936,-0.8));
#67017=CARTESIAN_POINT('Origin',(13.5,74.03798,-0.8));
#67018=CARTESIAN_POINT('',(13.1,74.03798,-0.8));
#67019=CARTESIAN_POINT('Origin',(18.5,61.03798,-0.8));
#67020=CARTESIAN_POINT('',(18.1,61.03798,-0.8));
#67021=CARTESIAN_POINT('Origin',(13.5,67.96202,-0.8));
#67022=CARTESIAN_POINT('',(13.1,67.96202,-0.8));
#67023=CARTESIAN_POINT('Origin',(9.29884,71.,-0.8));
#67024=CARTESIAN_POINT('',(8.79884,71.,-0.8));
#67025=CARTESIAN_POINT('Origin',(9.29884,68.50064,-0.8));
#67026=CARTESIAN_POINT('',(8.79973,68.50064,-0.8));
#67027=CARTESIAN_POINT('Origin',(9.29884,45.49936,-0.8));
#67028=CARTESIAN_POINT('',(8.79973,45.49936,-0.8));
#67029=CARTESIAN_POINT('Origin',(9.29884,53.00128,-0.8));
#67030=CARTESIAN_POINT('',(8.79973,53.00128,-0.8));
#67031=CARTESIAN_POINT('Origin',(18.5,54.96202,-0.8));
#67032=CARTESIAN_POINT('',(18.1,54.96202,-0.8));
#67033=CARTESIAN_POINT('Origin',(18.5,87.03798,-0.8));
#67034=CARTESIAN_POINT('',(18.1,87.03798,-0.8));
#67035=CARTESIAN_POINT('Origin',(9.29884,84.,-0.8));
#67036=CARTESIAN_POINT('',(8.79884,84.,-0.8));
#67037=CARTESIAN_POINT('Origin',(34.25,12.,-0.8));
#67038=CARTESIAN_POINT('',(33.85,12.,-0.8));
#67039=CARTESIAN_POINT('Origin',(22.,98.,-0.8));
#67040=CARTESIAN_POINT('',(21.45,98.,-0.8));
#67041=CARTESIAN_POINT('Origin',(26.5,98.,-0.8));
#67042=CARTESIAN_POINT('',(25.95,98.,-0.8));
#67043=CARTESIAN_POINT('Origin',(45.,97.4,-0.8));
#67044=CARTESIAN_POINT('',(44.75,97.4,-0.8));
#67045=CARTESIAN_POINT('Origin',(5.,97.4,-0.8));
#67046=CARTESIAN_POINT('',(4.75,97.4,-0.8));
#67047=CARTESIAN_POINT('Origin',(45.,2.6,-0.8));
#67048=CARTESIAN_POINT('',(44.75,2.6,-0.8));
#67049=CARTESIAN_POINT('Origin',(5.,2.6,-0.8));
#67050=CARTESIAN_POINT('',(4.75,2.6,-0.8));
#67051=CARTESIAN_POINT('Origin',(48.50178,21.99872,-0.8));
#67052=CARTESIAN_POINT('',(48.00178,21.99872,-0.8));
#67053=CARTESIAN_POINT('Origin',(47.4,95.,-0.8));
#67054=CARTESIAN_POINT('',(47.15,95.,-0.8));
#67055=CARTESIAN_POINT('Origin',(47.4,5.,-0.8));
#67056=CARTESIAN_POINT('',(47.15,5.,-0.8));
#67057=CARTESIAN_POINT('Origin',(48.50178,12.00128,-0.8));
#67058=CARTESIAN_POINT('',(48.00178,12.00128,-0.8));
#67059=CARTESIAN_POINT('Origin',(1.79822,25.00128,-0.8));
#67060=CARTESIAN_POINT('',(1.29822,25.00128,-0.8));
#67061=CARTESIAN_POINT('Origin',(1.79822,38.00128,-0.8));
#67062=CARTESIAN_POINT('',(1.29822,38.00128,-0.8));
#67063=CARTESIAN_POINT('Origin',(1.79822,34.99872,-0.8));
#67064=CARTESIAN_POINT('',(1.29822,34.99872,-0.8));
#67065=CARTESIAN_POINT('Origin',(1.79822,47.99872,-0.8));
#67066=CARTESIAN_POINT('',(1.29822,47.99872,-0.8));
#67067=CARTESIAN_POINT('Origin',(1.79822,79.00128,-0.8));
#67068=CARTESIAN_POINT('',(1.29822,79.00128,-0.8));
#67069=CARTESIAN_POINT('Origin',(1.79822,75.99872,-0.8));
#67070=CARTESIAN_POINT('',(1.29822,75.99872,-0.8));
#67071=CARTESIAN_POINT('Origin',(1.79822,53.00128,-0.8));
#67072=CARTESIAN_POINT('',(1.29822,53.00128,-0.8));
#67073=CARTESIAN_POINT('Origin',(1.79822,66.00128,-0.8));
#67074=CARTESIAN_POINT('',(1.29822,66.00128,-0.8));
#67075=CARTESIAN_POINT('Origin',(1.79822,62.99872,-0.8));
#67076=CARTESIAN_POINT('',(1.29822,62.99872,-0.8));
#67077=CARTESIAN_POINT('Origin',(2.6,5.,-0.8));
#67078=CARTESIAN_POINT('',(2.35,5.,-0.8));
#67079=CARTESIAN_POINT('Origin',(1.79822,12.00128,-0.8));
#67080=CARTESIAN_POINT('',(1.29822,12.00128,-0.8));
#67081=CARTESIAN_POINT('Origin',(1.79822,21.99872,-0.8));
#67082=CARTESIAN_POINT('',(1.29822,21.99872,-0.8));
#67083=CARTESIAN_POINT('Origin',(1.79822,88.99872,-0.8));
#67084=CARTESIAN_POINT('',(1.29822,88.99872,-0.8));
#67085=CARTESIAN_POINT('Origin',(2.6,95.,-0.8));
#67086=CARTESIAN_POINT('',(2.35,95.,-0.8));
#67087=CARTESIAN_POINT('',(3.8,84.,-5.55));
#67088=CARTESIAN_POINT('',(3.8,58.,-5.55));
#67089=CARTESIAN_POINT('',(3.8,43.,-5.55));
#67090=CARTESIAN_POINT('',(3.8,71.,-5.55));
#67091=CARTESIAN_POINT('',(3.8,17.,-5.55));
#67092=CARTESIAN_POINT('',(3.8,30.,-5.55));
#67093=CARTESIAN_POINT('',(11.8,84.,1.65));
#67094=CARTESIAN_POINT('',(38.5,17.,1.65));
#67095=CARTESIAN_POINT('',(11.8,71.,1.65));
#67096=CARTESIAN_POINT('',(11.8,58.,1.65));
#67097=CARTESIAN_POINT('',(11.8,43.,1.65));
#67098=CARTESIAN_POINT('',(11.8,30.,1.65));
#67099=CARTESIAN_POINT('',(11.8,17.,1.65));
#67100=CARTESIAN_POINT('',(27.25,69.8525,1.65));
#67101=CARTESIAN_POINT('',(13.8,83.9875,-0.05));
#67102=CARTESIAN_POINT('',(14.,58.,-0.05));
#67103=CARTESIAN_POINT('',(14.,17.,-0.05));
#67104=CARTESIAN_POINT('',(13.8,70.9875,-0.05));
#67105=CARTESIAN_POINT('',(14.,43.,-0.05));
#67106=CARTESIAN_POINT('',(14.,30.,-0.05));
#67107=CARTESIAN_POINT('',(12.,84.02,-0.05));
#67108=CARTESIAN_POINT('',(12.,58.02,-0.05));
#67109=CARTESIAN_POINT('',(12.,17.,-0.05));
#67110=CARTESIAN_POINT('',(12.,70.98,-0.05));
#67111=CARTESIAN_POINT('',(12.,43.,-0.05));
#67112=CARTESIAN_POINT('',(12.,30.,-0.05));
#67113=CARTESIAN_POINT('',(34.25,22.,1.65));
#67114=CARTESIAN_POINT('',(34.25,12.,1.65));
#67115=CARTESIAN_POINT('',(40.75,54.5,1.65));
#67116=CARTESIAN_POINT('',(40.75,46.5,1.65));
#67117=CARTESIAN_POINT('',(18.5,80.96202,1.65));
#67118=CARTESIAN_POINT('',(18.5,67.96202,1.65));
#67119=CARTESIAN_POINT('',(18.5,54.96202,1.65));
#67120=CARTESIAN_POINT('',(18.5,39.96202,1.65));
#67121=CARTESIAN_POINT('',(18.5,26.96202,1.65));
#67122=CARTESIAN_POINT('',(18.5,13.96202,1.65));
#67123=CARTESIAN_POINT('',(18.5,87.03798,1.65));
#67124=CARTESIAN_POINT('',(18.5,74.03798,1.65));
#67125=CARTESIAN_POINT('',(18.5,61.03798,1.65));
#67126=CARTESIAN_POINT('',(18.5,46.03798,1.65));
#67127=CARTESIAN_POINT('',(18.5,33.03798,1.65));
#67128=CARTESIAN_POINT('',(18.5,20.03798,1.65));
#67129=CARTESIAN_POINT('',(34.915,64.62,1.65));
#67130=CARTESIAN_POINT('',(26.75,78.1,1.65));
#67131=CARTESIAN_POINT('',(22.,91.5,1.65));
#67132=CARTESIAN_POINT('',(34.7125,69.,-0.05));
#67133=CARTESIAN_POINT('',(34.7125,70.75,-0.05));
#67134=CARTESIAN_POINT('',(40.7125,68.25,-0.05));
#67135=CARTESIAN_POINT('',(24.,83.,1.65));
#67136=CARTESIAN_POINT('',(43.5,67.7125,1.65));
#67137=CARTESIAN_POINT('',(37.36,31.6525,-0.05));
#67138=CARTESIAN_POINT('',(35.455,31.6525,-0.05));
#67139=CARTESIAN_POINT('',(41.,72.5,1.65));
#67140=CARTESIAN_POINT('',(41.5,65.6875,-0.05));
#67141=CARTESIAN_POINT('',(29.6825,69.065,1.65));
#67142=CARTESIAN_POINT('',(34.7875,61.,-0.05));
#67143=CARTESIAN_POINT('',(26.6,73.6,1.65));
#67144=CARTESIAN_POINT('',(34.7875,63.,-0.05));
#67145=CARTESIAN_POINT('',(34.7875,66.5,-0.05));
#67146=CARTESIAN_POINT('',(31.96,77.3125,1.65));
#67147=CARTESIAN_POINT('',(41.17,42.6,-0.05));
#67148=CARTESIAN_POINT('',(39.265,42.6,-0.05));
#67149=CARTESIAN_POINT('',(26.75,35.7875,-0.05));
#67150=CARTESIAN_POINT('',(35.455,35.7675,-0.05));
#67151=CARTESIAN_POINT('',(33.55,35.7675,-0.05));
#67152=CARTESIAN_POINT('',(37.36,35.7675,-0.05));
#67153=CARTESIAN_POINT('',(39.265,35.7675,-0.05));
#67154=CARTESIAN_POINT('',(37.995,50.855,-0.05));
#67155=CARTESIAN_POINT('',(37.995,48.95,-0.05));
#67156=CARTESIAN_POINT('',(0.,0.,0.));
#67157=CARTESIAN_POINT('',(0.,0.,0.));
#67158=CARTESIAN_POINT('Origin',(0.625,0.25,1.45));
#67159=CARTESIAN_POINT('',(-1.45,0.5,1.2));
#67160=CARTESIAN_POINT('',(1.45,0.5,1.2));
#67161=CARTESIAN_POINT('',(0.625,0.5,1.2));
#67162=CARTESIAN_POINT('',(1.95,0.,1.7));
#67163=CARTESIAN_POINT('',(1.45,0.5,1.2));
#67164=CARTESIAN_POINT('',(-1.95,0.,1.7));
#67165=CARTESIAN_POINT('',(0.625,0.,1.7));
#67166=CARTESIAN_POINT('',(-1.95,0.,1.7));
#67167=CARTESIAN_POINT('Origin',(1.7,0.25,-0.5));
#67168=CARTESIAN_POINT('',(1.95,0.,-1.7));
#67169=CARTESIAN_POINT('',(1.95,0.,-0.5));
#67170=CARTESIAN_POINT('',(1.45,0.5,-1.2));
#67171=CARTESIAN_POINT('',(1.45,0.5,-0.5));
#67172=CARTESIAN_POINT('',(1.95,0.,-1.7));
#67173=CARTESIAN_POINT('Origin',(-1.7,0.25,0.5));
#67174=CARTESIAN_POINT('',(-1.45,0.5,-1.2));
#67175=CARTESIAN_POINT('',(-1.45,0.5,0.5));
#67176=CARTESIAN_POINT('',(-1.95,0.,-1.7));
#67177=CARTESIAN_POINT('',(-1.95,0.,0.5));
#67178=CARTESIAN_POINT('',(-1.95,0.,-1.7));
#67179=CARTESIAN_POINT('Origin',(-0.625,0.25,-1.45));
#67180=CARTESIAN_POINT('',(-0.625,0.5,-1.2));
#67181=CARTESIAN_POINT('',(-0.625,0.,-1.7));
#67182=CARTESIAN_POINT('Origin',(1.45,0.,-1.));
#67183=CARTESIAN_POINT('',(1.45,5.,1.2));
#67184=CARTESIAN_POINT('',(1.45,0.,1.2));
#67185=CARTESIAN_POINT('',(1.45,5.,-1.2));
#67186=CARTESIAN_POINT('',(1.45,5.,-0.5));
#67187=CARTESIAN_POINT('',(1.45,0.,-1.2));
#67188=CARTESIAN_POINT('Origin',(1.25,0.,1.2));
#67189=CARTESIAN_POINT('',(-1.45,5.,1.2));
#67190=CARTESIAN_POINT('',(-1.45,0.,1.2));
#67191=CARTESIAN_POINT('',(0.625,5.,1.2));
#67192=CARTESIAN_POINT('Origin',(0.,0.,0.));
#67193=CARTESIAN_POINT('',(-3.3,0.,5.));
#67194=CARTESIAN_POINT('',(-3.3,0.,-5.));
#67195=CARTESIAN_POINT('',(-3.3,0.,-1.75));
#67196=CARTESIAN_POINT('',(3.3,0.,-5.));
#67197=CARTESIAN_POINT('',(-5.,0.,-5.));
#67198=CARTESIAN_POINT('',(3.3,0.,5.));
#67199=CARTESIAN_POINT('',(3.3,0.,1.75));
#67200=CARTESIAN_POINT('',(5.,0.,5.));
#67201=CARTESIAN_POINT('Origin',(2.9,0.,-3.1));
#67202=CARTESIAN_POINT('',(3.18284271247462,6.11715728752538,-3.38284271247462));
#67203=CARTESIAN_POINT('',(3.3,6.,-3.1));
#67204=CARTESIAN_POINT('Origin',(2.9,6.4,-3.1));
#67205=CARTESIAN_POINT('',(3.3,1.,-3.1));
#67206=CARTESIAN_POINT('',(3.3,0.,-3.1));
#67207=CARTESIAN_POINT('',(2.9,1.,-3.5));
#67208=CARTESIAN_POINT('Origin',(2.9,1.,-3.1));
#67209=CARTESIAN_POINT('',(2.9,6.,-3.5));
#67210=CARTESIAN_POINT('',(2.9,0.,-3.5));
#67211=CARTESIAN_POINT('Origin',(2.9,6.4,-3.1));
#67212=CARTESIAN_POINT('Origin',(1.75,6.5,-3.));
#67213=CARTESIAN_POINT('',(-2.9,6.,-3.5));
#67214=CARTESIAN_POINT('',(1.75,6.,-3.5));
#67215=CARTESIAN_POINT('',(-3.18284271247462,6.11715728752538,-3.38284271247462));
#67216=CARTESIAN_POINT('Origin',(-2.9,6.4,-3.1));
#67217=CARTESIAN_POINT('',(-2.3,7.,-2.5));
#67218=CARTESIAN_POINT('',(-2.3,7.,-2.5));
#67219=CARTESIAN_POINT('',(2.3,7.,-2.5));
#67220=CARTESIAN_POINT('',(1.75,7.,-2.5));
#67221=CARTESIAN_POINT('',(2.3,7.,-2.5));
#67222=CARTESIAN_POINT('Origin',(3.5,0.,-3.5));
#67223=CARTESIAN_POINT('',(-2.9,1.,-3.5));
#67224=CARTESIAN_POINT('',(1.75,1.,-3.5));
#67225=CARTESIAN_POINT('',(-2.9,0.,-3.5));
#67226=CARTESIAN_POINT('Origin',(-2.9,0.,-3.1));
#67227=CARTESIAN_POINT('',(-3.3,1.,-3.1));
#67228=CARTESIAN_POINT('Origin',(-2.9,1.,-3.1));
#67229=CARTESIAN_POINT('',(-3.3,6.,-3.1));
#67230=CARTESIAN_POINT('',(-3.3,0.,-3.1));
#67231=CARTESIAN_POINT('Origin',(-2.9,6.4,-3.1));
#67232=CARTESIAN_POINT('Origin',(-2.9,0.,3.1));
#67233=CARTESIAN_POINT('',(-3.18284271247462,6.11715728752538,3.38284271247462));
#67234=CARTESIAN_POINT('',(-3.3,6.,3.1));
#67235=CARTESIAN_POINT('Origin',(-2.9,6.4,3.1));
#67236=CARTESIAN_POINT('',(-3.3,1.,3.1));
#67237=CARTESIAN_POINT('',(-3.3,0.,3.1));
#67238=CARTESIAN_POINT('',(-2.9,1.,3.5));
#67239=CARTESIAN_POINT('Origin',(-2.9,1.,3.1));
#67240=CARTESIAN_POINT('',(-2.9,6.,3.5));
#67241=CARTESIAN_POINT('',(-2.9,0.,3.5));
#67242=CARTESIAN_POINT('Origin',(-2.9,6.4,3.1));
#67243=CARTESIAN_POINT('Origin',(-1.75,6.5,3.));
#67244=CARTESIAN_POINT('',(2.9,6.,3.5));
#67245=CARTESIAN_POINT('',(-1.75,6.,3.5));
#67246=CARTESIAN_POINT('',(3.18284271247462,6.11715728752538,3.38284271247462));
#67247=CARTESIAN_POINT('Origin',(2.9,6.4,3.1));
#67248=CARTESIAN_POINT('',(2.3,7.,2.5));
#67249=CARTESIAN_POINT('',(2.3,7.,2.5));
#67250=CARTESIAN_POINT('',(-2.3,7.,2.5));
#67251=CARTESIAN_POINT('',(-1.75,7.,2.5));
#67252=CARTESIAN_POINT('',(-2.3,7.,2.5));
#67253=CARTESIAN_POINT('Origin',(-3.5,0.,3.5));
#67254=CARTESIAN_POINT('',(2.9,1.,3.5));
#67255=CARTESIAN_POINT('',(-1.75,1.,3.5));
#67256=CARTESIAN_POINT('',(2.9,0.,3.5));
#67257=CARTESIAN_POINT('Origin',(2.9,0.,3.1));
#67258=CARTESIAN_POINT('',(3.3,1.,3.1));
#67259=CARTESIAN_POINT('Origin',(2.9,1.,3.1));
#67260=CARTESIAN_POINT('',(3.3,6.,3.1));
#67261=CARTESIAN_POINT('',(3.3,0.,3.1));
#67262=CARTESIAN_POINT('Origin',(2.9,6.4,3.1));
#67263=CARTESIAN_POINT('Origin',(2.8,6.5,1.75));
#67264=CARTESIAN_POINT('',(2.3,7.,1.75));
#67265=CARTESIAN_POINT('',(3.3,6.,1.75));
#67266=CARTESIAN_POINT('Origin',(-2.8,6.5,-1.75));
#67267=CARTESIAN_POINT('',(-3.3,6.,-1.75));
#67268=CARTESIAN_POINT('',(-2.3,7.,-1.75));
#67269=CARTESIAN_POINT('Origin',(-3.3,0.,-3.5));
#67270=CARTESIAN_POINT('',(-3.3,1.,-5.));
#67271=CARTESIAN_POINT('',(-3.3,1.,-3.87500001862645));
#67272=CARTESIAN_POINT('',(-3.3,0.,-5.));
#67273=CARTESIAN_POINT('',(-3.3,1.,5.));
#67274=CARTESIAN_POINT('',(-3.3,0.,5.));
#67275=CARTESIAN_POINT('',(-3.3,1.,0.375000018626452));
#67276=CARTESIAN_POINT('Origin',(0.,7.,-5.55111512312578E-16));
#67277=CARTESIAN_POINT('Origin',(0.,5.,-5.55111512312578E-16));
#67278=CARTESIAN_POINT('',(-1.45,5.,-1.2));
#67279=CARTESIAN_POINT('',(-1.45,5.,0.5));
#67280=CARTESIAN_POINT('',(-0.625,5.,-1.2));
#67281=CARTESIAN_POINT('Origin',(-3.5,0.,5.));
#67282=CARTESIAN_POINT('',(3.3,1.,5.));
#67283=CARTESIAN_POINT('',(3.3,0.,5.));
#67284=CARTESIAN_POINT('',(5.,1.,5.));
#67285=CARTESIAN_POINT('Origin',(0.,1.,4.2500000372529));
#67286=CARTESIAN_POINT('',(3.3,1.,3.87500001862645));
#67287=CARTESIAN_POINT('Origin',(3.5,0.,-5.));
#67288=CARTESIAN_POINT('',(3.3,1.,-5.));
#67289=CARTESIAN_POINT('',(-5.,1.,-5.));
#67290=CARTESIAN_POINT('',(3.3,0.,-5.));
#67291=CARTESIAN_POINT('Origin',(5.55111512312578E-16,1.,-4.2500000372529));
#67292=CARTESIAN_POINT('',(3.3,1.,-0.375000018626452));
#67293=CARTESIAN_POINT('Origin',(-1.25,0.,-1.2));
#67294=CARTESIAN_POINT('',(-1.45,0.,-1.2));
#67295=CARTESIAN_POINT('Origin',(-1.45,0.,1.));
#67296=CARTESIAN_POINT('Origin',(3.3,0.,3.5));
#67297=CARTESIAN_POINT('',(0.,0.,0.));
#67298=CARTESIAN_POINT('Origin',(-1.95,74.5,6.9));
#67299=CARTESIAN_POINT('',(-2.2,27.3542486889354,7.15));
#67300=CARTESIAN_POINT('',(-1.69999999999999,26.8775010008008,6.65));
#67301=CARTESIAN_POINT('Origin',(0.800000000000005,30.,4.15));
#67302=CARTESIAN_POINT('',(-1.69999999999999,20.1224989991992,6.65));
#67303=CARTESIAN_POINT('',(-1.7,74.5,6.65));
#67304=CARTESIAN_POINT('',(-2.2,19.6457513110646,7.15));
#67305=CARTESIAN_POINT('Origin',(0.800000000000007,17.,4.15));
#67306=CARTESIAN_POINT('',(-2.2,74.5,7.15));
#67307=CARTESIAN_POINT('Origin',(-2.2,30.,4.15));
#67308=CARTESIAN_POINT('',(-2.2,32.6457513110646,7.15));
#67309=CARTESIAN_POINT('Origin',(-2.2,30.,4.15));
#67310=CARTESIAN_POINT('',(-1.7,33.1224989991992,6.65));
#67311=CARTESIAN_POINT('Origin',(0.799999999999998,30.,4.15));
#67312=CARTESIAN_POINT('',(-0.299999999999996,33.1224989991992,6.65));
#67313=CARTESIAN_POINT('',(-2.2,33.1224989991992,6.65));
#67314=CARTESIAN_POINT('',(-0.299999999999997,31.9364916731035,7.6500000000001));
#67315=CARTESIAN_POINT('Origin',(-0.299999999999998,30.,4.15));
#67316=CARTESIAN_POINT('',(-0.299999999999996,28.0635083268965,7.6500000000001));
#67317=CARTESIAN_POINT('Origin',(-0.299999999999998,30.,4.15));
#67318=CARTESIAN_POINT('',(-0.299999999999996,26.8775010008008,6.65));
#67319=CARTESIAN_POINT('Origin',(-0.299999999999998,30.,4.15));
#67320=CARTESIAN_POINT('',(-2.2,26.8775010008008,6.65));
#67321=CARTESIAN_POINT('Origin',(-2.2,99.,12.1));
#67322=CARTESIAN_POINT('',(-2.2,14.3542486889354,7.15));
#67323=CARTESIAN_POINT('Origin',(-2.2,17.,4.15));
#67324=CARTESIAN_POINT('',(-2.2,0.999999999999996,7.14999999999999));
#67325=CARTESIAN_POINT('',(-2.2,74.5,7.15));
#67326=CARTESIAN_POINT('',(-2.2,0.999999999999996,13.1));
#67327=CARTESIAN_POINT('',(-2.2,0.999999999999996,12.1));
#67328=CARTESIAN_POINT('',(-2.20000000000001,99.,13.1));
#67329=CARTESIAN_POINT('',(-2.2,99.,13.1));
#67330=CARTESIAN_POINT('',(-2.20000000000001,99.,7.14999999999999));
#67331=CARTESIAN_POINT('',(-2.20000000000001,99.,12.1));
#67332=CARTESIAN_POINT('',(-2.2,86.6457513110646,7.14999999999999));
#67333=CARTESIAN_POINT('',(-2.2,74.5,7.15));
#67334=CARTESIAN_POINT('',(-2.2,81.3542486889354,7.15));
#67335=CARTESIAN_POINT('Origin',(-2.2,84.,4.15));
#67336=CARTESIAN_POINT('',(-2.2,73.6457513110646,7.15));
#67337=CARTESIAN_POINT('',(-2.2,74.5,7.15));
#67338=CARTESIAN_POINT('',(-2.2,68.3542486889354,7.15));
#67339=CARTESIAN_POINT('Origin',(-2.2,71.,4.15));
#67340=CARTESIAN_POINT('',(-2.2,60.6457513110646,7.15));
#67341=CARTESIAN_POINT('',(-2.2,74.5,7.15));
#67342=CARTESIAN_POINT('',(-2.2,55.3542486889354,7.15));
#67343=CARTESIAN_POINT('Origin',(-2.2,58.,4.15));
#67344=CARTESIAN_POINT('',(-2.2,45.6457513110646,7.15));
#67345=CARTESIAN_POINT('',(-2.2,74.5,7.15));
#67346=CARTESIAN_POINT('',(-2.2,40.3542486889354,7.15));
#67347=CARTESIAN_POINT('Origin',(-2.2,43.,4.15));
#67348=CARTESIAN_POINT('',(-2.2,74.5,7.15));
#67349=CARTESIAN_POINT('Origin',(-1.95,74.5,6.9));
#67350=CARTESIAN_POINT('',(-1.7,39.8775010008008,6.65));
#67351=CARTESIAN_POINT('Origin',(0.799999999999996,43.,4.15));
#67352=CARTESIAN_POINT('',(-1.7,74.5,6.65));
#67353=CARTESIAN_POINT('Origin',(-2.2,43.,4.15));
#67354=CARTESIAN_POINT('',(-1.7,46.1224989991992,6.65));
#67355=CARTESIAN_POINT('Origin',(0.799999999999996,43.,4.15));
#67356=CARTESIAN_POINT('',(-0.299999999999998,46.1224989991992,6.65));
#67357=CARTESIAN_POINT('',(-2.2,46.1224989991992,6.65));
#67358=CARTESIAN_POINT('',(-0.299999999999998,44.9364916731036,7.65000000000008));
#67359=CARTESIAN_POINT('Origin',(-0.299999999999998,43.,4.15));
#67360=CARTESIAN_POINT('',(-0.299999999999997,41.0635083268964,7.65000000000008));
#67361=CARTESIAN_POINT('Origin',(-0.299999999999998,43.,4.15));
#67362=CARTESIAN_POINT('',(-0.299999999999997,39.8775010008008,6.65));
#67363=CARTESIAN_POINT('Origin',(-0.299999999999998,43.,4.15));
#67364=CARTESIAN_POINT('',(-2.2,39.8775010008008,6.65));
#67365=CARTESIAN_POINT('Origin',(-1.95,74.5,6.9));
#67366=CARTESIAN_POINT('',(-1.7,54.8775010008008,6.65));
#67367=CARTESIAN_POINT('Origin',(0.799999999999992,58.,4.15));
#67368=CARTESIAN_POINT('',(-1.7,74.5,6.65));
#67369=CARTESIAN_POINT('Origin',(-2.2,58.,4.15));
#67370=CARTESIAN_POINT('',(-1.7,61.1224989991992,6.65));
#67371=CARTESIAN_POINT('Origin',(0.799999999999999,58.,4.15));
#67372=CARTESIAN_POINT('',(-0.299999999999999,61.1224989991992,6.65));
#67373=CARTESIAN_POINT('',(-2.2,61.1224989991992,6.65));
#67374=CARTESIAN_POINT('',(-0.299999999999999,59.9364916731036,7.65000000000005));
#67375=CARTESIAN_POINT('Origin',(-0.299999999999998,58.,4.15));
#67376=CARTESIAN_POINT('',(-0.299999999999998,56.0635083268964,7.65000000000006));
#67377=CARTESIAN_POINT('Origin',(-0.299999999999998,58.,4.15));
#67378=CARTESIAN_POINT('',(-0.299999999999998,54.8775010008008,6.65));
#67379=CARTESIAN_POINT('Origin',(-0.299999999999998,58.,4.15));
#67380=CARTESIAN_POINT('',(-2.2,54.8775010008008,6.65));
#67381=CARTESIAN_POINT('Origin',(-1.95,74.5,6.9));
#67382=CARTESIAN_POINT('',(-1.7,67.8775010008008,6.65));
#67383=CARTESIAN_POINT('Origin',(0.799999999999996,71.,4.15));
#67384=CARTESIAN_POINT('',(-1.7,74.5,6.65));
#67385=CARTESIAN_POINT('Origin',(-2.2,71.,4.15));
#67386=CARTESIAN_POINT('',(-1.7,74.1224989991992,6.65));
#67387=CARTESIAN_POINT('Origin',(0.79999999999999,71.,4.15));
#67388=CARTESIAN_POINT('',(-0.3,74.1224989991992,6.64999999999999));
#67389=CARTESIAN_POINT('',(-2.2,74.1224989991992,6.65));
#67390=CARTESIAN_POINT('',(-0.3,72.9364916731036,7.65000000000003));
#67391=CARTESIAN_POINT('Origin',(-0.299999999999998,71.,4.15));
#67392=CARTESIAN_POINT('',(-0.299999999999999,69.0635083268964,7.65000000000004));
#67393=CARTESIAN_POINT('Origin',(-0.299999999999998,71.,4.15));
#67394=CARTESIAN_POINT('',(-0.3,67.8775010008008,6.65));
#67395=CARTESIAN_POINT('Origin',(-0.299999999999998,71.,4.15));
#67396=CARTESIAN_POINT('',(-2.2,67.8775010008008,6.65));
#67397=CARTESIAN_POINT('Origin',(-1.95,74.5,6.9));
#67398=CARTESIAN_POINT('',(-1.7,80.8775010008008,6.64999999999999));
#67399=CARTESIAN_POINT('Origin',(0.799999999999987,84.,4.15));
#67400=CARTESIAN_POINT('',(-1.7,74.5,6.65));
#67401=CARTESIAN_POINT('Origin',(-2.2,84.,4.15));
#67402=CARTESIAN_POINT('',(-1.7,87.1224989991992,6.64999999999999));
#67403=CARTESIAN_POINT('Origin',(0.799999999999993,84.,4.15));
#67404=CARTESIAN_POINT('',(-0.300000000000001,87.1224989991992,6.64999999999999));
#67405=CARTESIAN_POINT('',(-2.2,87.1224989991992,6.64999999999999));
#67406=CARTESIAN_POINT('',(-0.300000000000001,85.9364916731037,7.65000000000001));
#67407=CARTESIAN_POINT('Origin',(-0.299999999999998,84.,4.15));
#67408=CARTESIAN_POINT('',(-0.300000000000001,82.0635083268963,7.65000000000002));
#67409=CARTESIAN_POINT('Origin',(-0.299999999999998,84.,4.15));
#67410=CARTESIAN_POINT('',(-0.300000000000001,80.8775010008008,6.64999999999999));
#67411=CARTESIAN_POINT('Origin',(-0.299999999999998,84.,4.15));
#67412=CARTESIAN_POINT('',(-2.2,80.8775010008008,6.64999999999999));
#67413=CARTESIAN_POINT('Origin',(52.25,25.5,6.9));
#67414=CARTESIAN_POINT('',(52.,13.8775010008008,6.65));
#67415=CARTESIAN_POINT('',(52.5,14.3542486889354,7.15));
#67416=CARTESIAN_POINT('Origin',(49.5000000000001,17.,4.15));
#67417=CARTESIAN_POINT('',(52.5,0.999999999999996,7.15));
#67418=CARTESIAN_POINT('',(52.5,25.5,7.15));
#67419=CARTESIAN_POINT('',(52.,0.999999999999996,6.65));
#67420=CARTESIAN_POINT('',(52.5,0.999999999999996,7.15));
#67421=CARTESIAN_POINT('',(52.,25.5,6.65));
#67422=CARTESIAN_POINT('Origin',(49.5,0.999999999999996,6.9));
#67423=CARTESIAN_POINT('',(49.5,-2.,7.14999999999999));
#67424=CARTESIAN_POINT('',(49.5,-1.5,6.65));
#67425=CARTESIAN_POINT('',(49.5,-2.,7.14999999999999));
#67426=CARTESIAN_POINT('Origin',(49.5,0.999999999999996,6.65));
#67427=CARTESIAN_POINT('Origin',(49.5,0.999999999999996,7.14999999999999));
#67428=CARTESIAN_POINT('Origin',(12.975,-1.75,6.9));
#67429=CARTESIAN_POINT('',(0.800000000000005,-2.00000000000001,7.15));
#67430=CARTESIAN_POINT('',(0.800000000000005,-1.5,6.65));
#67431=CARTESIAN_POINT('',(0.800000000000005,-2.00000000000001,7.15));
#67432=CARTESIAN_POINT('',(12.975,-1.5,6.65));
#67433=CARTESIAN_POINT('',(12.975,-2.,7.15));
#67434=CARTESIAN_POINT('Origin',(0.800000000000005,0.999999999999996,6.9));
#67435=CARTESIAN_POINT('',(-1.7,0.999999999999996,6.65));
#67436=CARTESIAN_POINT('',(-2.2,0.999999999999996,7.14999999999999));
#67437=CARTESIAN_POINT('Origin',(0.800000000000005,0.999999999999996,6.65));
#67438=CARTESIAN_POINT('Origin',(0.800000000000005,0.999999999999996,7.14999999999999));
#67439=CARTESIAN_POINT('Origin',(-1.95,74.5,6.9));
#67440=CARTESIAN_POINT('',(-1.69999999999999,13.8775010008008,6.65));
#67441=CARTESIAN_POINT('Origin',(0.800000000000001,17.,4.15));
#67442=CARTESIAN_POINT('',(-1.7,74.5,6.65));
#67443=CARTESIAN_POINT('Origin',(0.800000000000005,0.999999999999996,12.1));
#67444=CARTESIAN_POINT('',(0.800000000000005,-2.00000000000001,13.1));
#67445=CARTESIAN_POINT('',(0.800000000000005,-2.00000000000001,12.1));
#67446=CARTESIAN_POINT('Origin',(0.800000000000005,0.999999999999996,13.1));
#67447=CARTESIAN_POINT('Origin',(0.800000000000005,-2.,12.1));
#67448=CARTESIAN_POINT('',(49.5,-2.,13.1));
#67449=CARTESIAN_POINT('',(49.5,-2.,12.1));
#67450=CARTESIAN_POINT('',(0.800000000000001,-2.,13.1));
#67451=CARTESIAN_POINT('Origin',(49.5,0.999999999999996,12.1));
#67452=CARTESIAN_POINT('',(52.5,0.999999999999996,13.1));
#67453=CARTESIAN_POINT('',(52.5,0.999999999999996,12.1));
#67454=CARTESIAN_POINT('Origin',(49.5,0.999999999999996,13.1));
#67455=CARTESIAN_POINT('Origin',(52.5,0.999999999999996,12.1));
#67456=CARTESIAN_POINT('',(52.5,19.6457513110646,7.15));
#67457=CARTESIAN_POINT('Origin',(52.5,17.,4.15));
#67458=CARTESIAN_POINT('',(52.5,99.,7.15));
#67459=CARTESIAN_POINT('',(52.5,25.5,7.15));
#67460=CARTESIAN_POINT('',(52.5,99.,13.1));
#67461=CARTESIAN_POINT('',(52.5,99.,12.1));
#67462=CARTESIAN_POINT('',(52.5,0.999999999999996,13.1));
#67463=CARTESIAN_POINT('Origin',(52.5,17.,4.15));
#67464=CARTESIAN_POINT('',(50.6,13.8775010008008,6.65));
#67465=CARTESIAN_POINT('',(52.5,13.8775010008008,6.65));
#67466=CARTESIAN_POINT('',(50.6,15.0635083268963,7.65));
#67467=CARTESIAN_POINT('Origin',(50.6,17.,4.15));
#67468=CARTESIAN_POINT('',(50.6,18.9364916731037,7.65));
#67469=CARTESIAN_POINT('Origin',(50.6,17.,4.15));
#67470=CARTESIAN_POINT('',(50.6,20.1224989991992,6.65));
#67471=CARTESIAN_POINT('Origin',(50.6,17.,4.15));
#67472=CARTESIAN_POINT('',(52.,20.1224989991992,6.65));
#67473=CARTESIAN_POINT('',(52.5,20.1224989991992,6.65));
#67474=CARTESIAN_POINT('Origin',(49.5,17.,4.15));
#67475=CARTESIAN_POINT('Origin',(-1.95,74.5,6.9));
#67476=CARTESIAN_POINT('',(-1.7,99.,6.64999999999999));
#67477=CARTESIAN_POINT('',(-2.20000000000001,99.,7.14999999999999));
#67478=CARTESIAN_POINT('',(-1.7,74.5,6.65));
#67479=CARTESIAN_POINT('Origin',(0.800000000000001,99.,6.9));
#67480=CARTESIAN_POINT('',(0.799999999999996,102.,7.15));
#67481=CARTESIAN_POINT('',(0.799999999999997,101.5,6.64999999999999));
#67482=CARTESIAN_POINT('',(0.799999999999996,102.,7.15));
#67483=CARTESIAN_POINT('Origin',(0.800000000000001,99.,6.64999999999999));
#67484=CARTESIAN_POINT('Origin',(0.800000000000001,99.,7.15));
#67485=CARTESIAN_POINT('Origin',(37.325,101.75,6.89999999999999));
#67486=CARTESIAN_POINT('',(49.5,102.,7.14999999999999));
#67487=CARTESIAN_POINT('',(49.5,101.5,6.64999999999999));
#67488=CARTESIAN_POINT('',(49.5,102.,7.14999999999999));
#67489=CARTESIAN_POINT('',(37.325,101.5,6.64999999999999));
#67490=CARTESIAN_POINT('',(37.325,102.,7.14999999999999));
#67491=CARTESIAN_POINT('Origin',(49.5,99.,6.9));
#67492=CARTESIAN_POINT('',(52.,99.,6.64999999999999));
#67493=CARTESIAN_POINT('',(52.5,99.,7.15));
#67494=CARTESIAN_POINT('Origin',(49.5,99.,6.64999999999999));
#67495=CARTESIAN_POINT('Origin',(49.5,99.,7.15));
#67496=CARTESIAN_POINT('Origin',(52.25,25.5,6.9));
#67497=CARTESIAN_POINT('',(52.,25.5,6.65));
#67498=CARTESIAN_POINT('Origin',(25.15,50.,6.65));
#67499=CARTESIAN_POINT('',(50.6,24.,6.65));
#67500=CARTESIAN_POINT('',(50.6,24.95,6.65));
#67501=CARTESIAN_POINT('',(50.6,99.1,6.64999999999999));
#67502=CARTESIAN_POINT('',(50.6,24.95,6.65));
#67503=CARTESIAN_POINT('',(49.6,100.1,6.64999999999999));
#67504=CARTESIAN_POINT('Origin',(49.6,99.1,6.65));
#67505=CARTESIAN_POINT('',(0.699999999999998,100.1,6.64999999999999));
#67506=CARTESIAN_POINT('',(37.875,100.1,6.64999999999999));
#67507=CARTESIAN_POINT('',(-0.300000000000002,99.1,6.64999999999999));
#67508=CARTESIAN_POINT('Origin',(0.699999999999998,99.1,6.65));
#67509=CARTESIAN_POINT('',(-0.300000000000002,91.,6.64999999999999));
#67510=CARTESIAN_POINT('',(-0.3,75.05,6.64999999999999));
#67511=CARTESIAN_POINT('',(-0.3,75.05,6.64999999999999));
#67512=CARTESIAN_POINT('Origin',(5.,95.,12.425));
#67513=CARTESIAN_POINT('',(7.85,95.,13.1));
#67514=CARTESIAN_POINT('',(2.14999999999999,95.,13.1));
#67515=CARTESIAN_POINT('Origin',(5.,95.,13.1));
#67516=CARTESIAN_POINT('Origin',(5.,95.,13.1));
#67517=CARTESIAN_POINT('',(3.5,95.,11.75));
#67518=CARTESIAN_POINT('',(2.825,95.,12.425));
#67519=CARTESIAN_POINT('',(6.5,95.,11.75));
#67520=CARTESIAN_POINT('Origin',(5.,95.,11.75));
#67521=CARTESIAN_POINT('Origin',(5.,95.,11.75));
#67522=CARTESIAN_POINT('Origin',(5.,95.,3.1));
#67523=CARTESIAN_POINT('',(3.5,95.,10.1));
#67524=CARTESIAN_POINT('',(3.5,95.,3.1));
#67525=CARTESIAN_POINT('Origin',(5.,95.,10.1));
#67526=CARTESIAN_POINT('Origin',(5.,5.,12.425));
#67527=CARTESIAN_POINT('',(7.85,5.,13.1));
#67528=CARTESIAN_POINT('',(2.15,5.,13.1));
#67529=CARTESIAN_POINT('Origin',(5.,5.,13.1));
#67530=CARTESIAN_POINT('Origin',(5.,5.,13.1));
#67531=CARTESIAN_POINT('',(3.5,5.,11.75));
#67532=CARTESIAN_POINT('',(2.825,5.,12.425));
#67533=CARTESIAN_POINT('',(6.5,5.,11.75));
#67534=CARTESIAN_POINT('Origin',(5.,5.,11.75));
#67535=CARTESIAN_POINT('Origin',(5.,5.,11.75));
#67536=CARTESIAN_POINT('Origin',(5.,5.,3.1));
#67537=CARTESIAN_POINT('',(3.5,5.,10.1));
#67538=CARTESIAN_POINT('',(3.5,5.,3.1));
#67539=CARTESIAN_POINT('Origin',(5.,5.,10.1));
#67540=CARTESIAN_POINT('Origin',(45.,5.,12.425));
#67541=CARTESIAN_POINT('',(47.85,5.,13.1));
#67542=CARTESIAN_POINT('',(42.15,5.,13.1));
#67543=CARTESIAN_POINT('Origin',(45.,5.,13.1));
#67544=CARTESIAN_POINT('Origin',(45.,5.,13.1));
#67545=CARTESIAN_POINT('',(43.5,5.,11.75));
#67546=CARTESIAN_POINT('',(42.825,5.,12.425));
#67547=CARTESIAN_POINT('',(46.5,5.,11.75));
#67548=CARTESIAN_POINT('Origin',(45.,5.,11.75));
#67549=CARTESIAN_POINT('Origin',(45.,5.,11.75));
#67550=CARTESIAN_POINT('Origin',(45.,5.,3.1));
#67551=CARTESIAN_POINT('',(43.5,5.,10.1));
#67552=CARTESIAN_POINT('',(43.5,5.,3.1));
#67553=CARTESIAN_POINT('Origin',(45.,5.,10.1));
#67554=CARTESIAN_POINT('Origin',(45.,95.,12.425));
#67555=CARTESIAN_POINT('',(47.85,95.,13.1));
#67556=CARTESIAN_POINT('',(42.15,95.,13.1));
#67557=CARTESIAN_POINT('Origin',(45.,95.,13.1));
#67558=CARTESIAN_POINT('Origin',(45.,95.,13.1));
#67559=CARTESIAN_POINT('',(43.5,95.,11.75));
#67560=CARTESIAN_POINT('',(42.825,95.,12.425));
#67561=CARTESIAN_POINT('',(46.5,95.,11.75));
#67562=CARTESIAN_POINT('Origin',(45.,95.,11.75));
#67563=CARTESIAN_POINT('Origin',(45.,95.,11.75));
#67564=CARTESIAN_POINT('Origin',(45.,95.,3.1));
#67565=CARTESIAN_POINT('',(43.5,95.,10.1));
#67566=CARTESIAN_POINT('',(43.5,95.,3.1));
#67567=CARTESIAN_POINT('Origin',(45.,95.,10.1));
#67568=CARTESIAN_POINT('Origin',(4.99999999999999,5.19999999999999,12.1));
#67569=CARTESIAN_POINT('',(7.99999999999999,5.19999999999998,2.1));
#67570=CARTESIAN_POINT('',(4.99999999999999,8.19999999999999,2.1));
#67571=CARTESIAN_POINT('Origin',(4.99999999999999,5.19999999999999,2.1));
#67572=CARTESIAN_POINT('',(4.99999999999999,8.19999999999999,10.1));
#67573=CARTESIAN_POINT('',(4.99999999999999,8.19999999999999,12.1));
#67574=CARTESIAN_POINT('',(7.99999999999999,5.19999999999998,10.1));
#67575=CARTESIAN_POINT('Origin',(4.99999999999999,5.19999999999999,10.1));
#67576=CARTESIAN_POINT('',(7.99999999999999,5.19999999999998,12.1));
#67577=CARTESIAN_POINT('Origin',(1.30000000000001,8.19999999999999,12.1));
#67578=CARTESIAN_POINT('',(1.30000000000001,8.19999999999999,2.1));
#67579=CARTESIAN_POINT('',(13.225,8.19999999999999,2.1));
#67580=CARTESIAN_POINT('',(1.30000000000001,8.2,10.1));
#67581=CARTESIAN_POINT('',(1.30000000000001,8.19999999999999,12.1));
#67582=CARTESIAN_POINT('',(13.225,8.19999999999999,10.1));
#67583=CARTESIAN_POINT('Origin',(25.15,50.,2.1));
#67584=CARTESIAN_POINT('',(7.99999999999997,1.5,2.1));
#67585=CARTESIAN_POINT('',(8.00000000000006,29.1,2.1));
#67586=CARTESIAN_POINT('',(42.3,1.5,2.1));
#67587=CARTESIAN_POINT('',(16.575,1.49999999999999,2.1));
#67588=CARTESIAN_POINT('',(42.3,5.2,2.1));
#67589=CARTESIAN_POINT('',(42.3,25.75,2.1));
#67590=CARTESIAN_POINT('',(45.3,8.19999999999999,2.1));
#67591=CARTESIAN_POINT('Origin',(45.3,5.19999999999999,2.1));
#67592=CARTESIAN_POINT('',(49.,8.19999999999999,2.1));
#67593=CARTESIAN_POINT('',(33.725,8.19999999999999,2.1));
#67594=CARTESIAN_POINT('',(49.,10.,2.1));
#67595=CARTESIAN_POINT('',(49.,29.1,2.1));
#67596=CARTESIAN_POINT('',(50.6,10.,2.1));
#67597=CARTESIAN_POINT('',(37.075,10.,2.1));
#67598=CARTESIAN_POINT('',(50.6,0.899999999999999,2.1));
#67599=CARTESIAN_POINT('',(50.6,75.05,2.1));
#67600=CARTESIAN_POINT('',(49.6,-0.0999999999999958,2.1));
#67601=CARTESIAN_POINT('Origin',(49.6,0.899999999999999,2.1));
#67602=CARTESIAN_POINT('',(0.700000000000007,-0.100000000000004,2.1));
#67603=CARTESIAN_POINT('',(37.875,-0.0999999999999979,2.1));
#67604=CARTESIAN_POINT('',(-0.299999999999994,0.899999999999994,2.1));
#67605=CARTESIAN_POINT('Origin',(0.700000000000007,0.899999999999993,2.1));
#67606=CARTESIAN_POINT('',(-0.299999999999994,10.,2.1));
#67607=CARTESIAN_POINT('',(-0.299999999999996,24.95,2.1));
#67608=CARTESIAN_POINT('',(1.30000000000001,10.,2.1));
#67609=CARTESIAN_POINT('',(13.225,10.,2.1));
#67610=CARTESIAN_POINT('',(1.3,70.9,2.1));
#67611=CARTESIAN_POINT('',(43.4,5.,2.1));
#67612=CARTESIAN_POINT('Origin',(45.,5.,2.1));
#67613=CARTESIAN_POINT('',(3.4,5.,2.1));
#67614=CARTESIAN_POINT('Origin',(5.,5.,2.1));
#67615=CARTESIAN_POINT('Origin',(45.3,5.19999999999999,12.1));
#67616=CARTESIAN_POINT('',(42.3,5.2,10.1));
#67617=CARTESIAN_POINT('',(42.3,5.19999999999999,12.1));
#67618=CARTESIAN_POINT('',(45.3,8.19999999999999,10.1));
#67619=CARTESIAN_POINT('Origin',(45.3,5.19999999999999,10.1));
#67620=CARTESIAN_POINT('',(45.3,8.19999999999999,12.1));
#67621=CARTESIAN_POINT('Origin',(42.3,1.5,12.1));
#67622=CARTESIAN_POINT('',(42.3,1.5,10.1));
#67623=CARTESIAN_POINT('',(42.3,1.5,12.1));
#67624=CARTESIAN_POINT('',(42.3,25.75,10.1));
#67625=CARTESIAN_POINT('Origin',(4.99999999999998,94.8,12.1));
#67626=CARTESIAN_POINT('',(4.99999999999998,91.8,2.1));
#67627=CARTESIAN_POINT('',(7.99999999999998,94.8,2.1));
#67628=CARTESIAN_POINT('Origin',(4.99999999999998,94.8,2.1));
#67629=CARTESIAN_POINT('',(7.99999999999998,94.8,10.1));
#67630=CARTESIAN_POINT('',(7.99999999999998,94.8,12.1));
#67631=CARTESIAN_POINT('',(4.99999999999998,91.8,10.1));
#67632=CARTESIAN_POINT('Origin',(4.99999999999998,94.8,10.1));
#67633=CARTESIAN_POINT('',(4.99999999999998,91.8,12.1));
#67634=CARTESIAN_POINT('Origin',(7.99999999999998,98.5,12.1));
#67635=CARTESIAN_POINT('',(7.99999999999998,98.5,2.1));
#67636=CARTESIAN_POINT('',(8.,74.25,2.1));
#67637=CARTESIAN_POINT('',(7.99999999999998,98.5,10.1));
#67638=CARTESIAN_POINT('',(7.99999999999998,98.5,12.1));
#67639=CARTESIAN_POINT('',(8.,74.25,10.1));
#67640=CARTESIAN_POINT('Origin',(25.15,50.,2.1));
#67641=CARTESIAN_POINT('',(1.3,91.8,2.1));
#67642=CARTESIAN_POINT('',(16.575,91.8,2.1));
#67643=CARTESIAN_POINT('',(1.3,91.,2.1));
#67644=CARTESIAN_POINT('',(1.3,70.9,2.1));
#67645=CARTESIAN_POINT('',(-0.300000000000002,91.,2.1));
#67646=CARTESIAN_POINT('',(13.225,91.,2.1));
#67647=CARTESIAN_POINT('',(-0.300000000000002,99.1,2.1));
#67648=CARTESIAN_POINT('',(-0.299999999999996,24.95,2.1));
#67649=CARTESIAN_POINT('',(0.699999999999998,100.1,2.1));
#67650=CARTESIAN_POINT('Origin',(0.699999999999998,99.1,2.1));
#67651=CARTESIAN_POINT('',(49.6,100.1,2.1));
#67652=CARTESIAN_POINT('',(12.425,100.1,2.1));
#67653=CARTESIAN_POINT('',(50.6,99.1,2.1));
#67654=CARTESIAN_POINT('Origin',(49.6,99.1,2.1));
#67655=CARTESIAN_POINT('',(50.6,73.0360611941566,2.1));
#67656=CARTESIAN_POINT('',(50.6,75.05,2.1));
#67657=CARTESIAN_POINT('',(49.,73.0360611941566,2.1));
#67658=CARTESIAN_POINT('',(37.075,73.0360611941566,2.1));
#67659=CARTESIAN_POINT('',(49.,91.8,2.1));
#67660=CARTESIAN_POINT('',(49.,29.1,2.1));
#67661=CARTESIAN_POINT('',(45.3,91.8,2.1));
#67662=CARTESIAN_POINT('',(37.075,91.8,2.1));
#67663=CARTESIAN_POINT('',(42.3,94.8,2.1));
#67664=CARTESIAN_POINT('Origin',(45.3,94.8,2.1));
#67665=CARTESIAN_POINT('',(42.3,98.5,2.1));
#67666=CARTESIAN_POINT('',(42.3,70.9,2.1));
#67667=CARTESIAN_POINT('',(33.725,98.5,2.1));
#67668=CARTESIAN_POINT('',(43.4,95.,2.1));
#67669=CARTESIAN_POINT('Origin',(45.,95.,2.1));
#67670=CARTESIAN_POINT('',(3.4,95.,2.1));
#67671=CARTESIAN_POINT('Origin',(5.,95.,2.1));
#67672=CARTESIAN_POINT('Origin',(45.3,94.8,12.1));
#67673=CARTESIAN_POINT('',(45.3,91.8,10.1));
#67674=CARTESIAN_POINT('',(45.3,91.8,12.1));
#67675=CARTESIAN_POINT('',(42.3,94.8,10.1));
#67676=CARTESIAN_POINT('Origin',(45.3,94.8,10.1));
#67677=CARTESIAN_POINT('',(42.3,94.8,12.1));
#67678=CARTESIAN_POINT('Origin',(49.,91.8,12.1));
#67679=CARTESIAN_POINT('',(49.,91.8,10.1));
#67680=CARTESIAN_POINT('',(49.,91.8,12.1));
#67681=CARTESIAN_POINT('',(37.075,91.8,10.1));
#67682=CARTESIAN_POINT('Origin',(49.6,99.1,12.1));
#67683=CARTESIAN_POINT('',(49.6,100.1,12.1));
#67684=CARTESIAN_POINT('',(50.6,99.1,12.1));
#67685=CARTESIAN_POINT('Origin',(-0.300000000000002,100.1,12.1));
#67686=CARTESIAN_POINT('',(0.699999999999998,100.1,12.1));
#67687=CARTESIAN_POINT('Origin',(49.6,0.899999999999999,12.1));
#67688=CARTESIAN_POINT('',(50.6,0.899999999999999,6.65));
#67689=CARTESIAN_POINT('',(50.6,0.899999999999998,12.1));
#67690=CARTESIAN_POINT('',(49.6,-0.0999999999999958,6.65));
#67691=CARTESIAN_POINT('Origin',(49.6,0.899999999999999,6.65));
#67692=CARTESIAN_POINT('',(49.6,-0.099999999999998,12.1));
#67693=CARTESIAN_POINT('Origin',(50.6,-0.0999999999999979,12.1));
#67694=CARTESIAN_POINT('',(0.700000000000007,-0.100000000000004,6.65));
#67695=CARTESIAN_POINT('',(12.425,-0.100000000000002,6.65));
#67696=CARTESIAN_POINT('',(0.700000000000007,-0.100000000000007,12.1));
#67697=CARTESIAN_POINT('Origin',(0.700000000000007,0.899999999999993,12.1));
#67698=CARTESIAN_POINT('',(-0.299999999999994,0.89999999999999,6.65));
#67699=CARTESIAN_POINT('Origin',(0.700000000000007,0.899999999999993,6.65));
#67700=CARTESIAN_POINT('',(-0.299999999999994,0.899999999999993,12.1));
#67701=CARTESIAN_POINT('Origin',(0.699999999999998,99.1,12.1));
#67702=CARTESIAN_POINT('',(-0.300000000000002,99.1,12.1));
#67703=CARTESIAN_POINT('Origin',(-0.299999999999994,-0.100000000000016,
12.1));
#67704=CARTESIAN_POINT('',(-0.300000000000002,91.,9.875));
#67705=CARTESIAN_POINT('Origin',(50.6,41.5180305970783,4.875));
#67706=CARTESIAN_POINT('',(50.6,24.,7.65));
#67707=CARTESIAN_POINT('',(50.6,32.7590152985392,7.65));
#67708=CARTESIAN_POINT('',(50.6,24.,3.4875));
#67709=CARTESIAN_POINT('Origin',(49.,24.,7.65));
#67710=CARTESIAN_POINT('',(50.6,10.,7.65));
#67711=CARTESIAN_POINT('',(50.6,32.7590152985392,7.65));
#67712=CARTESIAN_POINT('',(49.,10.,7.65));
#67713=CARTESIAN_POINT('',(49.,10.,7.65));
#67714=CARTESIAN_POINT('',(49.,24.,7.65));
#67715=CARTESIAN_POINT('',(49.,16.1,7.65));
#67716=CARTESIAN_POINT('',(49.,24.,7.65));
#67717=CARTESIAN_POINT('',(50.6,62.05,7.65000000000001));
#67718=CARTESIAN_POINT('Origin',(49.,24.,2.1));
#67719=CARTESIAN_POINT('',(49.,24.,2.1));
#67720=CARTESIAN_POINT('',(49.,24.,7.1));
#67721=CARTESIAN_POINT('',(50.6,24.,2.1));
#67722=CARTESIAN_POINT('',(37.075,24.,2.1));
#67723=CARTESIAN_POINT('',(50.6,24.,7.1));
#67724=CARTESIAN_POINT('Origin',(49.,10.,7.65));
#67725=CARTESIAN_POINT('',(50.6,9.99999999999999,6.65));
#67726=CARTESIAN_POINT('',(50.6,10.,9.875));
#67727=CARTESIAN_POINT('',(49.,10.,9.875));
#67728=CARTESIAN_POINT('',(50.6,9.99999999999999,6.2625));
#67729=CARTESIAN_POINT('Origin',(50.6,41.5180305970783,4.875));
#67730=CARTESIAN_POINT('',(50.6,24.95,6.65));
#67731=CARTESIAN_POINT('Origin',(49.,60.0360611941566,4.6));
#67732=CARTESIAN_POINT('',(50.6,60.0360611941566,2.1));
#67733=CARTESIAN_POINT('',(50.6,60.0360611941566,4.6));
#67734=CARTESIAN_POINT('',(50.6,60.0360611941566,8.35));
#67735=CARTESIAN_POINT('',(49.,60.0360611941566,2.1));
#67736=CARTESIAN_POINT('',(37.075,60.0360611941566,2.1));
#67737=CARTESIAN_POINT('',(49.,60.0360611941566,4.6));
#67738=CARTESIAN_POINT('',(49.,60.0360611941566,8.35));
#67739=CARTESIAN_POINT('',(49.,60.0360611941566,4.6));
#67740=CARTESIAN_POINT('Origin',(49.,73.0360611941566,4.6));
#67741=CARTESIAN_POINT('',(50.6,73.0360611941566,4.6));
#67742=CARTESIAN_POINT('',(50.6,86.5680305970783,4.6));
#67743=CARTESIAN_POINT('',(49.,73.0360611941566,4.6));
#67744=CARTESIAN_POINT('',(49.,40.6180305970783,4.6));
#67745=CARTESIAN_POINT('',(49.,73.0360611941566,4.6));
#67746=CARTESIAN_POINT('Origin',(49.,73.0360611941566,2.1));
#67747=CARTESIAN_POINT('',(50.6,73.0360611941566,7.1));
#67748=CARTESIAN_POINT('',(49.,73.0360611941566,7.1));
#67749=CARTESIAN_POINT('Origin',(50.6,100.1,12.1));
#67750=CARTESIAN_POINT('',(50.6,75.05,2.1));
#67751=CARTESIAN_POINT('Origin',(50.6,100.1,12.1));
#67752=CARTESIAN_POINT('',(50.6,24.95,6.65));
#67753=CARTESIAN_POINT('Origin',(50.6,100.1,12.1));
#67754=CARTESIAN_POINT('Origin',(25.15,50.,2.1));
#67755=CARTESIAN_POINT('',(49.,29.1,2.1));
#67756=CARTESIAN_POINT('Origin',(25.15,50.,6.65));
#67757=CARTESIAN_POINT('',(-0.299999999999995,13.8775010008008,6.65));
#67758=CARTESIAN_POINT('',(-2.19999999999999,13.8775010008008,6.65));
#67759=CARTESIAN_POINT('',(-0.299999999999994,10.,6.65));
#67760=CARTESIAN_POINT('',(-0.3,75.05,6.64999999999999));
#67761=CARTESIAN_POINT('',(-0.3,75.05,6.64999999999999));
#67762=CARTESIAN_POINT('Origin',(-0.299999999999998,50.5,4.87500000000007));
#67763=CARTESIAN_POINT('',(-0.299999999999996,30.25,7.6500000000001));
#67764=CARTESIAN_POINT('',(-0.3,75.05,6.64999999999999));
#67765=CARTESIAN_POINT('Origin',(-0.299999999999998,50.5,4.87500000000007));
#67766=CARTESIAN_POINT('',(-0.299999999999996,30.25,7.6500000000001));
#67767=CARTESIAN_POINT('',(-0.3,75.05,6.64999999999999));
#67768=CARTESIAN_POINT('Origin',(-0.299999999999998,50.5,4.87500000000007));
#67769=CARTESIAN_POINT('',(-0.299999999999995,18.9364916731035,7.65000000000012));
#67770=CARTESIAN_POINT('',(-0.299999999999996,30.25,7.6500000000001));
#67771=CARTESIAN_POINT('',(-0.299999999999995,20.1224989991992,6.65));
#67772=CARTESIAN_POINT('Origin',(-0.299999999999998,17.,4.15));
#67773=CARTESIAN_POINT('',(-0.3,75.05,6.64999999999999));
#67774=CARTESIAN_POINT('Origin',(-0.299999999999998,50.5,4.87500000000007));
#67775=CARTESIAN_POINT('',(-0.299999999999995,15.0635083268965,7.65000000000013));
#67776=CARTESIAN_POINT('Origin',(-0.299999999999998,17.,4.15));
#67777=CARTESIAN_POINT('',(-0.299999999999994,10.,7.65000000000013));
#67778=CARTESIAN_POINT('',(-0.299999999999996,30.25,7.6500000000001));
#67779=CARTESIAN_POINT('',(-0.299999999999994,10.,3.48750000000003));
#67780=CARTESIAN_POINT('Origin',(-0.299999999999998,50.5,4.87500000000007));
#67781=CARTESIAN_POINT('',(-0.300000000000002,91.,7.65));
#67782=CARTESIAN_POINT('',(-0.300000000000002,91.,6.26250000000003));
#67783=CARTESIAN_POINT('',(-0.299999999999996,30.25,7.6500000000001));
#67784=CARTESIAN_POINT('Origin',(-0.299999999999998,50.5,4.87500000000007));
#67785=CARTESIAN_POINT('',(-0.3,75.05,6.64999999999999));
#67786=CARTESIAN_POINT('',(-0.299999999999996,30.25,7.6500000000001));
#67787=CARTESIAN_POINT('Origin',(-0.299999999999998,50.5,4.87500000000007));
#67788=CARTESIAN_POINT('',(-0.299999999999996,30.25,7.6500000000001));
#67789=CARTESIAN_POINT('',(-0.3,75.05,6.64999999999999));
#67790=CARTESIAN_POINT('Origin',(1.30000000000001,10.,2.1));
#67791=CARTESIAN_POINT('',(1.30000000000001,10.,7.65000000000013));
#67792=CARTESIAN_POINT('',(1.30000000000001,10.,7.65000000000013));
#67793=CARTESIAN_POINT('',(1.30000000000001,10.,7.1));
#67794=CARTESIAN_POINT('',(-0.299999999999994,10.,7.1));
#67795=CARTESIAN_POINT('Origin',(1.3,91.,7.65));
#67796=CARTESIAN_POINT('',(1.3,91.,7.65));
#67797=CARTESIAN_POINT('',(1.3,91.,9.875));
#67798=CARTESIAN_POINT('',(1.3,91.,7.65));
#67799=CARTESIAN_POINT('Origin',(1.30000000000001,10.,7.65000000000013));
#67800=CARTESIAN_POINT('',(1.3,50.9,7.65000000000007));
#67801=CARTESIAN_POINT('',(-0.299999999999994,4.94999999999999,7.65000000000014));
#67802=CARTESIAN_POINT('',(-0.299999999999994,4.94999999999999,7.65000000000014));
#67803=CARTESIAN_POINT('',(-0.299999999999994,4.94999999999999,7.65000000000014));
#67804=CARTESIAN_POINT('',(-0.299999999999994,4.94999999999999,7.65000000000014));
#67805=CARTESIAN_POINT('',(-0.299999999999994,4.94999999999999,7.65000000000014));
#67806=CARTESIAN_POINT('',(-0.299999999999994,4.94999999999999,7.65000000000014));
#67807=CARTESIAN_POINT('Origin',(-0.299999999999994,-0.100000000000016,
12.1));
#67808=CARTESIAN_POINT('Origin',(-0.299999999999994,-0.100000000000016,
12.1));
#67809=CARTESIAN_POINT('Origin',(-0.299999999999998,17.,4.15));
#67810=CARTESIAN_POINT('Origin',(-0.299999999999994,-0.100000000000016,
12.1));
#67811=CARTESIAN_POINT('Origin',(-0.299999999999994,-0.100000000000016,
12.1));
#67812=CARTESIAN_POINT('Origin',(-0.299999999999994,-0.100000000000016,
12.1));
#67813=CARTESIAN_POINT('Origin',(-0.299999999999994,-0.100000000000016,
12.1));
#67814=CARTESIAN_POINT('Origin',(-2.19999999999999,17.,4.15));
#67815=CARTESIAN_POINT('',(-2.19999999999999,20.1224989991992,6.65));
#67816=CARTESIAN_POINT('Origin',(25.15,50.,6.65));
#67817=CARTESIAN_POINT('Origin',(25.15,50.,6.65));
#67818=CARTESIAN_POINT('Origin',(25.15,50.,6.65));
#67819=CARTESIAN_POINT('Origin',(25.15,50.,6.65));
#67820=CARTESIAN_POINT('Origin',(25.15,50.,6.65));
#67821=CARTESIAN_POINT('Origin',(7.99999999999999,91.8,12.1));
#67822=CARTESIAN_POINT('',(1.3,91.8,10.1));
#67823=CARTESIAN_POINT('',(16.575,91.8,10.1));
#67824=CARTESIAN_POINT('',(1.3,91.8,12.1));
#67825=CARTESIAN_POINT('Origin',(1.3,91.8,12.1));
#67826=CARTESIAN_POINT('',(1.3,98.5,10.1));
#67827=CARTESIAN_POINT('Origin',(8.,8.19999999999999,12.1));
#67828=CARTESIAN_POINT('',(7.99999999999997,1.5,10.1));
#67829=CARTESIAN_POINT('',(8.00000000000007,29.1,10.1));
#67830=CARTESIAN_POINT('',(7.99999999999997,1.5,12.1));
#67831=CARTESIAN_POINT('Origin',(7.99999999999997,1.5,12.1));
#67832=CARTESIAN_POINT('',(1.30000000000001,1.49999999999999,10.1));
#67833=CARTESIAN_POINT('Origin',(5.,95.,12.1));
#67834=CARTESIAN_POINT('',(3.4,95.,10.1));
#67835=CARTESIAN_POINT('',(3.4,95.,12.1));
#67836=CARTESIAN_POINT('Origin',(5.,95.,10.1));
#67837=CARTESIAN_POINT('Origin',(5.,5.,12.1));
#67838=CARTESIAN_POINT('',(3.4,5.,10.1));
#67839=CARTESIAN_POINT('',(3.4,5.,12.1));
#67840=CARTESIAN_POINT('Origin',(5.,5.,10.1));
#67841=CARTESIAN_POINT('Origin',(45.,5.,12.1));
#67842=CARTESIAN_POINT('',(43.4,5.,10.1));
#67843=CARTESIAN_POINT('',(43.4,5.,12.1));
#67844=CARTESIAN_POINT('Origin',(45.,5.,10.1));
#67845=CARTESIAN_POINT('Origin',(45.,95.,12.1));
#67846=CARTESIAN_POINT('',(43.4,95.,10.1));
#67847=CARTESIAN_POINT('',(43.4,95.,12.1));
#67848=CARTESIAN_POINT('Origin',(45.,95.,10.1));
#67849=CARTESIAN_POINT('Origin',(42.3,98.5,12.1));
#67850=CARTESIAN_POINT('',(42.3,98.5,10.1));
#67851=CARTESIAN_POINT('',(49.,98.5,10.1));
#67852=CARTESIAN_POINT('',(42.3,98.5,12.1));
#67853=CARTESIAN_POINT('Origin',(42.3,91.8,12.1));
#67854=CARTESIAN_POINT('',(42.3,70.9,10.1));
#67855=CARTESIAN_POINT('Origin',(49.,8.19999999999999,12.1));
#67856=CARTESIAN_POINT('',(49.,8.19999999999999,10.1));
#67857=CARTESIAN_POINT('',(49.,8.19999999999999,12.1));
#67858=CARTESIAN_POINT('',(49.,1.5,10.1));
#67859=CARTESIAN_POINT('Origin',(42.3,8.19999999999999,12.1));
#67860=CARTESIAN_POINT('',(33.725,8.19999999999999,10.1));
#67861=CARTESIAN_POINT('Origin',(-0.299999999999994,-0.100000000000016,
12.1));
#67862=CARTESIAN_POINT('Origin',(25.15,50.,10.1));
#67863=CARTESIAN_POINT('Origin',(25.15,50.,10.1));
#67864=CARTESIAN_POINT('Origin',(25.15,50.,10.1));
#67865=CARTESIAN_POINT('Origin',(25.15,50.,10.1));
#67866=CARTESIAN_POINT('Origin',(11.4,91.78798,12.1));
#67867=CARTESIAN_POINT('',(11.4,9.21202,12.1));
#67868=CARTESIAN_POINT('',(11.4,91.78798,12.1));
#67869=CARTESIAN_POINT('',(11.4,70.89399,12.1));
#67870=CARTESIAN_POINT('',(11.4,9.21202,10.1));
#67871=CARTESIAN_POINT('',(11.4,9.21202,12.1));
#67872=CARTESIAN_POINT('',(11.4,91.78798,10.1));
#67873=CARTESIAN_POINT('',(11.4,9.21202,10.1));
#67874=CARTESIAN_POINT('',(11.4,91.78798,12.1));
#67875=CARTESIAN_POINT('Origin',(20.6,91.78798,12.1));
#67876=CARTESIAN_POINT('',(20.6,91.78798,12.1));
#67877=CARTESIAN_POINT('',(22.875,91.78798,12.1));
#67878=CARTESIAN_POINT('',(20.6,91.78798,10.1));
#67879=CARTESIAN_POINT('',(11.4,91.78798,10.1));
#67880=CARTESIAN_POINT('',(20.6,91.78798,12.1));
#67881=CARTESIAN_POINT('Origin',(20.6,9.21201999999999,12.1));
#67882=CARTESIAN_POINT('',(20.6,9.21202,12.1));
#67883=CARTESIAN_POINT('',(20.6,29.60601,12.1));
#67884=CARTESIAN_POINT('',(20.6,9.21202,10.1));
#67885=CARTESIAN_POINT('',(20.6,91.78798,10.1));
#67886=CARTESIAN_POINT('',(20.6,9.21202,12.1));
#67887=CARTESIAN_POINT('Origin',(11.4,9.21202,12.1));
#67888=CARTESIAN_POINT('',(18.275,9.21202,12.1));
#67889=CARTESIAN_POINT('',(20.6,9.21202,10.1));
#67890=CARTESIAN_POINT('Origin',(25.15,50.,10.1));
#67891=CARTESIAN_POINT('Origin',(0.800000000000001,99.,12.1));
#67892=CARTESIAN_POINT('',(0.799999999999996,102.,13.1));
#67893=CARTESIAN_POINT('Origin',(0.800000000000001,99.,13.1));
#67894=CARTESIAN_POINT('',(0.799999999999996,102.,12.1));
#67895=CARTESIAN_POINT('Origin',(49.5,102.,12.1));
#67896=CARTESIAN_POINT('',(49.5,102.,13.1));
#67897=CARTESIAN_POINT('',(0.800000000000001,102.,13.1));
#67898=CARTESIAN_POINT('',(49.5,102.,12.1));
#67899=CARTESIAN_POINT('Origin',(49.5,99.,12.1));
#67900=CARTESIAN_POINT('Origin',(49.5,99.,13.1));
#67901=CARTESIAN_POINT('Origin',(25.15,50.,13.1));
#67902=CARTESIAN_POINT('Origin',(25.15,50.,12.1));
#67903=CARTESIAN_POINT('',(0.,0.,0.));
#67904=CARTESIAN_POINT('Origin',(25.15,61.5000000074506,6.65));
#67905=CARTESIAN_POINT('',(51.,21.0000000149012,6.65));
#67906=CARTESIAN_POINT('',(52.5,21.0000000149012,6.65));
#67907=CARTESIAN_POINT('',(38.825,21.0000000149012,6.65));
#67908=CARTESIAN_POINT('',(51.,20.3000000149012,6.65));
#67909=CARTESIAN_POINT('',(51.,80.2500000037253,6.65));
#67910=CARTESIAN_POINT('',(53.,20.3000000149012,6.65));
#67911=CARTESIAN_POINT('',(38.825,20.3000000149012,6.65));
#67912=CARTESIAN_POINT('',(53.,25.8741197048745,6.65));
#67913=CARTESIAN_POINT('',(53.,39.2500000037253,6.65));
#67914=CARTESIAN_POINT('',(52.5,25.8741197048745,6.65));
#67915=CARTESIAN_POINT('',(52.5,25.8741197048745,6.65));
#67916=CARTESIAN_POINT('',(52.5,39.2500000037253,6.65));
#67917=CARTESIAN_POINT('Origin',(-2.2,13.7,6.65));
#67918=CARTESIAN_POINT('',(52.5,12.9999999850988,6.65));
#67919=CARTESIAN_POINT('',(51.,12.9999999850988,6.65));
#67920=CARTESIAN_POINT('',(25.15,12.9999999850988,6.65));
#67921=CARTESIAN_POINT('',(52.5,8.12588029512552,6.65));
#67922=CARTESIAN_POINT('',(52.5,15.35,6.65));
#67923=CARTESIAN_POINT('',(53.,8.12588029512552,6.65));
#67924=CARTESIAN_POINT('',(52.5,8.12588029512552,6.65));
#67925=CARTESIAN_POINT('',(53.,13.6999999850988,6.65));
#67926=CARTESIAN_POINT('',(53.,15.35,6.65));
#67927=CARTESIAN_POINT('',(51.,13.6999999850988,6.65));
#67928=CARTESIAN_POINT('',(25.15,13.6999999850988,6.65));
#67929=CARTESIAN_POINT('',(51.,56.35,6.65));
#67930=CARTESIAN_POINT('Origin',(52.5,21.0000000149012,7.15));
#67931=CARTESIAN_POINT('',(52.5,21.0000000149012,4.15000000000001));
#67932=CARTESIAN_POINT('',(52.5,21.0000000149012,4.15000000000001));
#67933=CARTESIAN_POINT('',(51.,21.0000000149012,4.15000000000001));
#67934=CARTESIAN_POINT('',(52.5,21.0000000149012,4.15000000000001));
#67935=CARTESIAN_POINT('',(51.,21.0000000149012,6.65));
#67936=CARTESIAN_POINT('Origin',(52.5,17.,3.14999998509884));
#67937=CARTESIAN_POINT('',(52.5,21.9300665157683,3.31666666445909));
#67938=CARTESIAN_POINT('Origin',(52.5,25.8741197048745,2.65));
#67939=CARTESIAN_POINT('',(52.5,12.0699334842317,3.31666666445909));
#67940=CARTESIAN_POINT('Origin',(52.5,17.,4.15));
#67941=CARTESIAN_POINT('Origin',(52.5,8.12588029512552,2.65));
#67942=CARTESIAN_POINT('',(52.5,12.9999999850989,4.15));
#67943=CARTESIAN_POINT('',(52.5,12.9999999850989,4.15));
#67944=CARTESIAN_POINT('Origin',(52.5,17.,4.15));
#67945=CARTESIAN_POINT('Origin',(52.5,12.9999999850989,4.15));
#67946=CARTESIAN_POINT('',(51.,12.9999999850989,4.15));
#67947=CARTESIAN_POINT('',(51.,12.9999999850989,3.89999999627471));
#67948=CARTESIAN_POINT('',(52.5,12.9999999850989,4.15));
#67949=CARTESIAN_POINT('Origin',(51.0000000000001,99.,6.64999999999999));
#67950=CARTESIAN_POINT('Origin',(51.,17.,4.15));
#67951=CARTESIAN_POINT('',(51.,13.6999999850989,4.15));
#67952=CARTESIAN_POINT('',(51.,13.6999999850989,5.39999999627471));
#67953=CARTESIAN_POINT('',(51.,20.3000000149012,4.15));
#67954=CARTESIAN_POINT('Origin',(51.,17.,4.15));
#67955=CARTESIAN_POINT('',(51.,20.3000000149012,3.89999999627471));
#67956=CARTESIAN_POINT('Origin',(52.5,17.,4.15));
#67957=CARTESIAN_POINT('Origin',(52.5,13.6999999850988,7.15));
#67958=CARTESIAN_POINT('',(53.,13.6999999850989,4.15));
#67959=CARTESIAN_POINT('',(53.,13.6999999850989,4.15));
#67960=CARTESIAN_POINT('',(52.5,13.6999999850989,4.15));
#67961=CARTESIAN_POINT('Origin',(52.5,17.,4.15));
#67962=CARTESIAN_POINT('',(53.,20.3000000149012,4.15));
#67963=CARTESIAN_POINT('Origin',(53.,17.,4.15));
#67964=CARTESIAN_POINT('',(52.5,20.3000000149012,4.15));
#67965=CARTESIAN_POINT('Origin',(52.5,20.3000000149012,4.15));
#67966=CARTESIAN_POINT('',(53.,20.3000000149012,4.15));
#67967=CARTESIAN_POINT('Origin',(53.,17.,3.14999998509884));
#67968=CARTESIAN_POINT('',(53.,12.0699334842317,3.31666666445909));
#67969=CARTESIAN_POINT('Origin',(53.,8.12588029512552,2.65));
#67970=CARTESIAN_POINT('',(53.,21.9300665157683,3.31666666445909));
#67971=CARTESIAN_POINT('Origin',(53.,17.,4.15));
#67972=CARTESIAN_POINT('Origin',(53.,25.8741197048745,2.65));
#67973=CARTESIAN_POINT('Origin',(52.5,8.12588029512552,2.65));
#67974=CARTESIAN_POINT('',(52.5,12.0699334842317,3.31666666445909));
#67975=CARTESIAN_POINT('Origin',(52.5,17.,4.15));
#67976=CARTESIAN_POINT('',(52.5,21.9300665157683,3.31666666445909));
#67977=CARTESIAN_POINT('Origin',(52.5,25.8741197048745,2.65));
#67978=CARTESIAN_POINT('Origin',(-2.2,26.7,6.65));
#67979=CARTESIAN_POINT('',(-0.700000000000003,26.,6.65));
#67980=CARTESIAN_POINT('',(-2.2,26.,6.65));
#67981=CARTESIAN_POINT('',(-2.2,26.,6.65));
#67982=CARTESIAN_POINT('',(-0.700000000000003,26.7,6.65));
#67983=CARTESIAN_POINT('',(-0.700000000000004,36.85,6.65));
#67984=CARTESIAN_POINT('',(-2.7,26.7,6.65));
#67985=CARTESIAN_POINT('',(-2.2,26.7,6.65));
#67986=CARTESIAN_POINT('',(-2.7,20.3,6.65));
#67987=CARTESIAN_POINT('',(-2.7,38.6,6.65));
#67988=CARTESIAN_POINT('',(-0.700000000000002,20.3,6.65));
#67989=CARTESIAN_POINT('',(-2.2,20.3,6.65));
#67990=CARTESIAN_POINT('',(-0.700000000000002,21.,6.64999999999998));
#67991=CARTESIAN_POINT('',(-0.700000000000004,36.85,6.65));
#67992=CARTESIAN_POINT('',(-2.2,21.,6.64999999999998));
#67993=CARTESIAN_POINT('',(-2.2,21.,6.65));
#67994=CARTESIAN_POINT('',(-2.2,38.6,6.65));
#67995=CARTESIAN_POINT('Origin',(-1.45000000000001,50.5,6.65));
#67996=CARTESIAN_POINT('',(-0.700000000000006,54.,6.65));
#67997=CARTESIAN_POINT('',(-2.2,54.,6.65));
#67998=CARTESIAN_POINT('',(-1.82500000000001,54.,6.65));
#67999=CARTESIAN_POINT('',(-0.700000000000006,54.7,6.65));
#68000=CARTESIAN_POINT('',(-0.700000000000005,48.75,6.65));
#68001=CARTESIAN_POINT('',(-2.70000000000001,54.7,6.65));
#68002=CARTESIAN_POINT('',(-1.82500000000001,54.7,6.65));
#68003=CARTESIAN_POINT('',(-2.70000000000001,46.3,6.65));
#68004=CARTESIAN_POINT('',(-2.70000000000001,50.5,6.65));
#68005=CARTESIAN_POINT('',(-0.700000000000005,46.3,6.65));
#68006=CARTESIAN_POINT('',(-1.82500000000001,46.3,6.65));
#68007=CARTESIAN_POINT('',(-0.700000000000005,47.,6.65));
#68008=CARTESIAN_POINT('',(-0.700000000000005,48.75,6.65));
#68009=CARTESIAN_POINT('',(-2.2,47.,6.65));
#68010=CARTESIAN_POINT('',(-1.82500000000001,47.,6.65));
#68011=CARTESIAN_POINT('',(-2.20000000000001,50.5,6.65));
#68012=CARTESIAN_POINT('Origin',(-2.20000000000001,75.,6.65));
#68013=CARTESIAN_POINT('',(-0.700000000000008,80.,6.65));
#68014=CARTESIAN_POINT('',(-2.2,80.,6.65));
#68015=CARTESIAN_POINT('',(-2.20000000000001,80.,6.65));
#68016=CARTESIAN_POINT('',(-0.700000000000009,80.7,6.64999999999999));
#68017=CARTESIAN_POINT('',(-0.700000000000006,61.,6.65));
#68018=CARTESIAN_POINT('',(-2.70000000000001,80.7,6.64999999999999));
#68019=CARTESIAN_POINT('',(-2.20000000000001,80.7,6.65));
#68020=CARTESIAN_POINT('',(-2.70000000000001,74.3,6.65));
#68021=CARTESIAN_POINT('',(-2.70000000000001,62.75,6.65));
#68022=CARTESIAN_POINT('',(-0.700000000000008,74.3,6.65));
#68023=CARTESIAN_POINT('',(-2.20000000000001,74.3,6.65));
#68024=CARTESIAN_POINT('',(-0.700000000000008,75.,6.65));
#68025=CARTESIAN_POINT('',(-0.700000000000006,61.,6.65));
#68026=CARTESIAN_POINT('',(-2.2,75.,6.65));
#68027=CARTESIAN_POINT('',(-2.20000000000001,75.,6.65));
#68028=CARTESIAN_POINT('',(-2.20000000000001,62.75,6.65));
#68029=CARTESIAN_POINT('Origin',(25.15,61.5000000074506,6.64999999999999));
#68030=CARTESIAN_POINT('',(-2.2,88.,6.64999999999999));
#68031=CARTESIAN_POINT('',(-0.700000000000009,88.,6.64999999999999));
#68032=CARTESIAN_POINT('',(11.475,88.,6.64999999999999));
#68033=CARTESIAN_POINT('',(-2.20000000000001,89.0000000149011,6.65));
#68034=CARTESIAN_POINT('',(-2.20000000000001,56.0000000037253,6.64999999999999));
#68035=CARTESIAN_POINT('',(-2.70000000000001,89.0000000149011,6.65));
#68036=CARTESIAN_POINT('',(11.475,89.0000000149011,6.64999999999999));
#68037=CARTESIAN_POINT('',(-2.70000000000001,87.3,6.64999999999999));
#68038=CARTESIAN_POINT('',(-2.7,56.0000000037253,6.64999999999999));
#68039=CARTESIAN_POINT('',(-0.70000000000001,87.3,6.64999999999999));
#68040=CARTESIAN_POINT('',(11.475,87.3,6.64999999999999));
#68041=CARTESIAN_POINT('',(-0.700000000000005,54.2500000037253,6.64999999999999));
#68042=CARTESIAN_POINT('Origin',(-2.20000000000001,62.,6.65));
#68043=CARTESIAN_POINT('',(-0.700000000000007,66.9999999999981,6.65));
#68044=CARTESIAN_POINT('',(-2.2,66.9999999999981,6.65));
#68045=CARTESIAN_POINT('',(-2.20000000000001,66.9999999999984,6.65));
#68046=CARTESIAN_POINT('',(-0.700000000000008,67.6999999999981,6.65000000000043));
#68047=CARTESIAN_POINT('',(-0.700000000000006,54.5,6.65));
#68048=CARTESIAN_POINT('',(-2.70000000000001,67.6999999999981,6.65000000000043));
#68049=CARTESIAN_POINT('',(-2.20000000000001,67.6999999999984,6.65));
#68050=CARTESIAN_POINT('',(-2.70000000000001,61.3,6.65));
#68051=CARTESIAN_POINT('',(-2.70000000000001,56.25,6.65));
#68052=CARTESIAN_POINT('',(-0.700000000000007,61.3,6.65));
#68053=CARTESIAN_POINT('',(-2.20000000000001,61.3,6.65));
#68054=CARTESIAN_POINT('',(-0.700000000000006,62.,6.65));
#68055=CARTESIAN_POINT('',(-0.700000000000006,54.5,6.65));
#68056=CARTESIAN_POINT('',(-2.2,62.,6.65));
#68057=CARTESIAN_POINT('',(-2.20000000000001,62.,6.65));
#68058=CARTESIAN_POINT('',(-2.20000000000001,56.25,6.65));
#68059=CARTESIAN_POINT('Origin',(-2.2,39.7,6.65));
#68060=CARTESIAN_POINT('',(-0.700000000000004,39.,6.65));
#68061=CARTESIAN_POINT('',(-2.2,39.,6.65));
#68062=CARTESIAN_POINT('',(-2.2,39.,6.65));
#68063=CARTESIAN_POINT('',(-0.700000000000005,39.7,6.65));
#68064=CARTESIAN_POINT('',(-0.700000000000005,43.35,6.65));
#68065=CARTESIAN_POINT('',(-2.7,39.7,6.65));
#68066=CARTESIAN_POINT('',(-2.2,39.7,6.65));
#68067=CARTESIAN_POINT('',(-2.7,33.3,6.65));
#68068=CARTESIAN_POINT('',(-2.70000000000001,45.1,6.65));
#68069=CARTESIAN_POINT('',(-0.700000000000004,33.3,6.65));
#68070=CARTESIAN_POINT('',(-2.2,33.3,6.65));
#68071=CARTESIAN_POINT('',(-0.700000000000004,34.,6.65));
#68072=CARTESIAN_POINT('',(-0.700000000000005,43.35,6.65));
#68073=CARTESIAN_POINT('',(-2.2,34.,6.65));
#68074=CARTESIAN_POINT('',(-2.2,34.,6.65));
#68075=CARTESIAN_POINT('',(-2.20000000000001,45.1,6.65));
#68076=CARTESIAN_POINT('Origin',(-2.2,13.7,6.65));
#68077=CARTESIAN_POINT('',(-0.700000000000001,13.,6.65));
#68078=CARTESIAN_POINT('',(-2.2,13.,6.65));
#68079=CARTESIAN_POINT('',(-2.2,13.,6.65));
#68080=CARTESIAN_POINT('',(-0.700000000000002,13.7,6.65));
#68081=CARTESIAN_POINT('',(-0.700000000000003,30.35,6.65));
#68082=CARTESIAN_POINT('',(-2.7,13.7,6.65));
#68083=CARTESIAN_POINT('',(-2.2,13.7,6.65));
#68084=CARTESIAN_POINT('',(-2.7,11.9999999850988,6.65));
#68085=CARTESIAN_POINT('',(-2.7,32.1,6.65));
#68086=CARTESIAN_POINT('',(-2.2,11.9999999850988,6.65));
#68087=CARTESIAN_POINT('',(-2.2,11.9999999850988,6.65));
#68088=CARTESIAN_POINT('',(-2.2,32.1,6.65));
#68089=CARTESIAN_POINT('Origin',(-2.2,26.7,4.15));
#68090=CARTESIAN_POINT('',(-0.700000000000003,26.7,4.15));
#68091=CARTESIAN_POINT('',(-0.700000000000003,26.7,3.90000000000007));
#68092=CARTESIAN_POINT('',(-2.7,26.7,4.15));
#68093=CARTESIAN_POINT('',(-2.2,26.7,4.15));
#68094=CARTESIAN_POINT('',(-2.7,26.7,7.15));
#68095=CARTESIAN_POINT('Origin',(-2.2,26.,7.15));
#68096=CARTESIAN_POINT('',(-2.2,26.,4.15));
#68097=CARTESIAN_POINT('',(-2.2,26.,7.15));
#68098=CARTESIAN_POINT('',(-0.700000000000003,26.,4.15));
#68099=CARTESIAN_POINT('',(-2.2,26.,4.15));
#68100=CARTESIAN_POINT('',(-0.700000000000003,26.,5.40000000000007));
#68101=CARTESIAN_POINT('Origin',(-2.20000000000001,54.7,4.15000000000003));
#68102=CARTESIAN_POINT('',(-0.700000000000006,54.7,4.15000000000003));
#68103=CARTESIAN_POINT('',(-0.700000000000006,54.7,3.90000000000011));
#68104=CARTESIAN_POINT('',(-2.70000000000001,54.7,4.15000000000003));
#68105=CARTESIAN_POINT('',(-2.20000000000001,54.7,4.15000000000003));
#68106=CARTESIAN_POINT('',(-2.70000000000001,54.7,4.15000000000003));
#68107=CARTESIAN_POINT('Origin',(-2.20000000000001,54.,7.15));
#68108=CARTESIAN_POINT('',(-2.20000000000001,54.,4.15000000000004));
#68109=CARTESIAN_POINT('',(-2.2,54.,6.65));
#68110=CARTESIAN_POINT('',(-0.700000000000006,54.,4.15000000000004));
#68111=CARTESIAN_POINT('',(-2.20000000000001,54.,4.15000000000004));
#68112=CARTESIAN_POINT('',(-0.700000000000006,54.,6.65));
#68113=CARTESIAN_POINT('Origin',(-2.20000000000001,80.7,4.14999999999998));
#68114=CARTESIAN_POINT('',(-0.700000000000009,80.7,4.14999999999998));
#68115=CARTESIAN_POINT('',(-0.700000000000009,80.7,3.90000000000009));
#68116=CARTESIAN_POINT('',(-2.70000000000001,80.7,4.14999999999998));
#68117=CARTESIAN_POINT('',(-2.20000000000001,80.7,4.14999999999998));
#68118=CARTESIAN_POINT('',(-2.70000000000001,80.7,4.14999999999998));
#68119=CARTESIAN_POINT('Origin',(-2.20000000000001,80.,7.14999999999999));
#68120=CARTESIAN_POINT('',(-2.20000000000001,80.,4.14999999999998));
#68121=CARTESIAN_POINT('',(-2.2,80.,6.64999999999999));
#68122=CARTESIAN_POINT('',(-0.700000000000009,80.,4.14999999999998));
#68123=CARTESIAN_POINT('',(-2.20000000000001,80.,4.14999999999998));
#68124=CARTESIAN_POINT('',(-0.700000000000009,80.,5.4000000000001));
#68125=CARTESIAN_POINT('Origin',(-0.700000000000005,47.,6.65));
#68126=CARTESIAN_POINT('',(-0.70000000000001,88.,4.15000000000002));
#68127=CARTESIAN_POINT('Origin',(-0.700000000000006,84.,4.15));
#68128=CARTESIAN_POINT('',(-0.70000000000001,88.,3.90000000000011));
#68129=CARTESIAN_POINT('',(-0.70000000000001,87.3,4.15000000000002));
#68130=CARTESIAN_POINT('',(-0.70000000000001,87.3,5.4000000000001));
#68131=CARTESIAN_POINT('Origin',(-0.700000000000006,84.,4.15));
#68132=CARTESIAN_POINT('Origin',(-2.20000000000001,89.0000000149011,7.15));
#68133=CARTESIAN_POINT('',(-2.20000000000001,89.0000000149011,4.15000000000003));
#68134=CARTESIAN_POINT('',(-2.20000000000001,89.0000000149011,6.9000000000001));
#68135=CARTESIAN_POINT('',(-2.70000000000001,89.0000000149011,4.15000000000003));
#68136=CARTESIAN_POINT('',(-2.20000000000001,89.0000000149011,4.15000000000003));
#68137=CARTESIAN_POINT('',(-2.70000000000001,89.0000000149011,4.15000000000003));
#68138=CARTESIAN_POINT('Origin',(-2.20000000000001,67.7,4.14999999999999));
#68139=CARTESIAN_POINT('',(-0.700000000000008,67.7,4.14999999999999));
#68140=CARTESIAN_POINT('',(-0.700000000000008,67.7000000000002,3.9000000000055));
#68141=CARTESIAN_POINT('',(-2.70000000000001,67.7,4.14999999999999));
#68142=CARTESIAN_POINT('',(-2.20000000000001,67.7,4.14999999999999));
#68143=CARTESIAN_POINT('',(-2.70000000000001,67.7,4.14999999999999));
#68144=CARTESIAN_POINT('Origin',(-0.700000000000005,47.,6.65));
#68145=CARTESIAN_POINT('',(-0.700000000000007,62.,4.14999999999996));
#68146=CARTESIAN_POINT('Origin',(-0.700000000000006,58.,4.15));
#68147=CARTESIAN_POINT('',(-0.700000000000007,62.,3.90000000000008));
#68148=CARTESIAN_POINT('',(-0.700000000000007,61.3,4.14999999999996));
#68149=CARTESIAN_POINT('',(-0.700000000000007,61.3,5.4000000000001));
#68150=CARTESIAN_POINT('Origin',(-0.700000000000006,58.,4.15));
#68151=CARTESIAN_POINT('Origin',(-2.20000000000001,66.9999999999981,7.15));
#68152=CARTESIAN_POINT('',(-2.20000000000001,67.,4.14999999999999));
#68153=CARTESIAN_POINT('',(-2.2,66.9999999999981,6.65));
#68154=CARTESIAN_POINT('',(-0.700000000000007,67.,4.14999999999999));
#68155=CARTESIAN_POINT('',(-2.20000000000001,67.,4.14999999999999));
#68156=CARTESIAN_POINT('',(-0.700000000000007,66.9999999999981,6.65));
#68157=CARTESIAN_POINT('Origin',(-2.2,39.7,4.15000000000002));
#68158=CARTESIAN_POINT('',(-0.700000000000005,39.7,4.15000000000002));
#68159=CARTESIAN_POINT('',(-0.700000000000005,39.7,3.90000000000011));
#68160=CARTESIAN_POINT('',(-2.7,39.7,4.15000000000002));
#68161=CARTESIAN_POINT('',(-2.2,39.7,4.15000000000002));
#68162=CARTESIAN_POINT('',(-2.7,39.7,4.15000000000002));
#68163=CARTESIAN_POINT('Origin',(-0.700000000000005,47.,6.65));
#68164=CARTESIAN_POINT('',(-0.700000000000004,34.,4.15));
#68165=CARTESIAN_POINT('Origin',(-0.700000000000006,30.,4.15));
#68166=CARTESIAN_POINT('',(-0.700000000000004,34.,3.9000000000001));
#68167=CARTESIAN_POINT('',(-0.700000000000004,33.3,4.15));
#68168=CARTESIAN_POINT('',(-0.700000000000004,33.3,5.4000000000001));
#68169=CARTESIAN_POINT('Origin',(-0.700000000000006,30.,4.15));
#68170=CARTESIAN_POINT('Origin',(-2.2,39.,7.15));
#68171=CARTESIAN_POINT('',(-2.2,39.,4.15000000000003));
#68172=CARTESIAN_POINT('',(-2.2,39.,6.65));
#68173=CARTESIAN_POINT('',(-0.700000000000004,39.,4.15000000000003));
#68174=CARTESIAN_POINT('',(-2.2,39.,4.15000000000003));
#68175=CARTESIAN_POINT('',(-0.700000000000004,39.,5.4000000000001));
#68176=CARTESIAN_POINT('Origin',(-2.2,11.9999999850988,4.15000000000001));
#68177=CARTESIAN_POINT('',(-2.7,11.9999999850988,4.15000000000001));
#68178=CARTESIAN_POINT('',(-2.7,11.9999999850988,4.15));
#68179=CARTESIAN_POINT('',(-2.2,11.9999999850988,4.15000000000001));
#68180=CARTESIAN_POINT('',(-2.2,11.9999999850988,4.15000000000001));
#68181=CARTESIAN_POINT('',(-2.2,11.9999999850988,4.15));
#68182=CARTESIAN_POINT('Origin',(-2.70000000000001,50.5,3.14999999254963));
#68183=CARTESIAN_POINT('',(-2.7,33.3,4.15));
#68184=CARTESIAN_POINT('Origin',(-2.7,30.,4.15));
#68185=CARTESIAN_POINT('',(-2.7,33.3,7.15));
#68186=CARTESIAN_POINT('',(-2.70000000000001,46.3,4.14999999999997));
#68187=CARTESIAN_POINT('Origin',(-2.70000000000001,43.,4.15));
#68188=CARTESIAN_POINT('',(-2.70000000000001,46.3,7.15));
#68189=CARTESIAN_POINT('',(-2.70000000000001,61.3,4.14999999999996));
#68190=CARTESIAN_POINT('Origin',(-2.70000000000001,58.,4.15));
#68191=CARTESIAN_POINT('',(-2.70000000000001,61.3,7.15));
#68192=CARTESIAN_POINT('',(-2.70000000000001,74.3,4.15));
#68193=CARTESIAN_POINT('Origin',(-2.70000000000001,71.,4.15));
#68194=CARTESIAN_POINT('',(-2.70000000000001,74.3,7.15));
#68195=CARTESIAN_POINT('',(-2.70000000000001,87.3,4.15000000000002));
#68196=CARTESIAN_POINT('Origin',(-2.70000000000001,84.,4.15));
#68197=CARTESIAN_POINT('',(-2.70000000000001,87.3,7.14999999999999));
#68198=CARTESIAN_POINT('',(-2.70000000000001,78.9999999850988,4.14999999999997));
#68199=CARTESIAN_POINT('Origin',(-2.70000000000001,84.,4.15));
#68200=CARTESIAN_POINT('',(-2.70000000000001,76.0000000149012,4.15));
#68201=CARTESIAN_POINT('',(-2.70000000000001,76.0000000149011,4.15));
#68202=CARTESIAN_POINT('',(-2.70000000000001,65.9999999850988,4.14999999999999));
#68203=CARTESIAN_POINT('Origin',(-2.70000000000001,71.,4.15));
#68204=CARTESIAN_POINT('',(-2.70000000000001,63.0000000149012,4.14999999999995));
#68205=CARTESIAN_POINT('',(-2.70000000000001,65.9999999850988,4.14999999999999));
#68206=CARTESIAN_POINT('',(-2.70000000000001,52.9999999850988,4.15000000000005));
#68207=CARTESIAN_POINT('Origin',(-2.70000000000001,58.,4.15));
#68208=CARTESIAN_POINT('',(-2.70000000000001,48.0000000149012,4.14999999999997));
#68209=CARTESIAN_POINT('',(-2.70000000000001,52.9999999850988,4.15000000000005));
#68210=CARTESIAN_POINT('',(-2.7,37.9999999850988,4.15000000000003));
#68211=CARTESIAN_POINT('Origin',(-2.70000000000001,43.,4.15));
#68212=CARTESIAN_POINT('',(-2.7,35.0000000149011,4.15));
#68213=CARTESIAN_POINT('',(-2.7,37.9999999850988,4.15000000000003));
#68214=CARTESIAN_POINT('',(-2.7,24.9999999850988,4.15));
#68215=CARTESIAN_POINT('Origin',(-2.7,30.,4.15));
#68216=CARTESIAN_POINT('',(-2.7,22.0000000149011,4.15));
#68217=CARTESIAN_POINT('',(-2.7,24.9999999850988,4.15));
#68218=CARTESIAN_POINT('Origin',(-2.7,17.,4.15));
#68219=CARTESIAN_POINT('',(-2.7,13.7,4.15));
#68220=CARTESIAN_POINT('',(-2.7,13.7,4.15));
#68221=CARTESIAN_POINT('',(-2.7,20.3,4.15));
#68222=CARTESIAN_POINT('Origin',(-2.7,17.,4.15));
#68223=CARTESIAN_POINT('',(-2.7,20.3,4.15));
#68224=CARTESIAN_POINT('Origin',(-2.2,13.7,4.15));
#68225=CARTESIAN_POINT('',(-0.700000000000002,13.7,4.15));
#68226=CARTESIAN_POINT('',(-0.700000000000002,13.7,3.90000000000011));
#68227=CARTESIAN_POINT('',(-2.2,13.7,4.15));
#68228=CARTESIAN_POINT('Origin',(-0.700000000000005,47.,6.65));
#68229=CARTESIAN_POINT('',(-0.700000000000008,74.3,4.15));
#68230=CARTESIAN_POINT('',(-0.700000000000008,74.3,5.4000000000001));
#68231=CARTESIAN_POINT('Origin',(-0.700000000000006,71.,4.15));
#68232=CARTESIAN_POINT('',(-0.700000000000008,75.,4.15));
#68233=CARTESIAN_POINT('Origin',(-0.700000000000006,71.,4.15));
#68234=CARTESIAN_POINT('',(-0.700000000000008,75.,3.9000000000001));
#68235=CARTESIAN_POINT('Origin',(-0.700000000000005,47.,6.65));
#68236=CARTESIAN_POINT('',(-0.700000000000002,20.3,4.15));
#68237=CARTESIAN_POINT('',(-0.700000000000002,20.3,5.4000000000001));
#68238=CARTESIAN_POINT('Origin',(-0.700000000000006,17.,4.15));
#68239=CARTESIAN_POINT('',(-0.700000000000002,13.,4.15));
#68240=CARTESIAN_POINT('',(-0.700000000000002,13.,5.40000000000011));
#68241=CARTESIAN_POINT('',(-0.700000000000002,21.,4.15));
#68242=CARTESIAN_POINT('Origin',(-0.700000000000006,17.,4.15));
#68243=CARTESIAN_POINT('',(-0.700000000000002,21.,3.9000000000001));
#68244=CARTESIAN_POINT('Origin',(-0.700000000000005,47.,6.65));
#68245=CARTESIAN_POINT('',(-0.700000000000005,46.3,4.14999999999997));
#68246=CARTESIAN_POINT('',(-0.700000000000005,46.3,5.4000000000001));
#68247=CARTESIAN_POINT('Origin',(-0.700000000000006,43.,4.15));
#68248=CARTESIAN_POINT('',(-0.700000000000005,47.,4.14999999999997));
#68249=CARTESIAN_POINT('Origin',(-0.700000000000006,43.,4.15));
#68250=CARTESIAN_POINT('',(-0.700000000000005,47.,3.90000000000009));
#68251=CARTESIAN_POINT('Origin',(-2.20000000000001,50.5,3.14999999254963));
#68252=CARTESIAN_POINT('',(-2.2,21.,4.15));
#68253=CARTESIAN_POINT('',(-2.2,21.,4.15));
#68254=CARTESIAN_POINT('',(-2.2,13.,4.15));
#68255=CARTESIAN_POINT('Origin',(-2.2,17.,4.15));
#68256=CARTESIAN_POINT('',(-2.2,13.,6.65));
#68257=CARTESIAN_POINT('',(-2.2,22.0000000149011,4.15));
#68258=CARTESIAN_POINT('Origin',(-2.2,17.,4.15));
#68259=CARTESIAN_POINT('',(-2.2,24.9999999850988,4.15));
#68260=CARTESIAN_POINT('',(-2.2,24.9999999850988,4.15));
#68261=CARTESIAN_POINT('',(-2.2,35.0000000149011,4.15));
#68262=CARTESIAN_POINT('Origin',(-2.2,30.,4.15));
#68263=CARTESIAN_POINT('',(-2.2,37.9999999850988,4.15000000000003));
#68264=CARTESIAN_POINT('',(-2.2,37.9999999850988,4.15000000000003));
#68265=CARTESIAN_POINT('',(-2.20000000000001,48.0000000149012,4.14999999999997));
#68266=CARTESIAN_POINT('Origin',(-2.20000000000001,43.,4.15));
#68267=CARTESIAN_POINT('',(-2.20000000000001,52.9999999850988,4.15000000000005));
#68268=CARTESIAN_POINT('',(-2.20000000000001,52.9999999850988,4.15000000000005));
#68269=CARTESIAN_POINT('',(-2.20000000000001,63.0000000149012,4.14999999999995));
#68270=CARTESIAN_POINT('Origin',(-2.20000000000001,58.,4.15));
#68271=CARTESIAN_POINT('',(-2.20000000000001,65.9999999850988,4.14999999999999));
#68272=CARTESIAN_POINT('',(-2.20000000000001,65.9999999850988,4.14999999999999));
#68273=CARTESIAN_POINT('',(-2.20000000000001,76.0000000149011,4.15));
#68274=CARTESIAN_POINT('Origin',(-2.20000000000001,71.,4.15));
#68275=CARTESIAN_POINT('',(-2.20000000000001,78.9999999850988,4.14999999999997));
#68276=CARTESIAN_POINT('',(-2.20000000000001,76.0000000149011,4.15));
#68277=CARTESIAN_POINT('Origin',(-2.20000000000001,84.,4.15));
#68278=CARTESIAN_POINT('',(-2.20000000000001,88.,4.15000000000002));
#68279=CARTESIAN_POINT('',(-2.20000000000001,88.,7.14999999999999));
#68280=CARTESIAN_POINT('Origin',(-2.20000000000001,84.,4.15));
#68281=CARTESIAN_POINT('',(-2.20000000000001,75.,4.15));
#68282=CARTESIAN_POINT('',(-2.20000000000001,75.,7.15));
#68283=CARTESIAN_POINT('Origin',(-2.20000000000001,71.,4.15));
#68284=CARTESIAN_POINT('',(-2.20000000000001,62.,4.14999999999996));
#68285=CARTESIAN_POINT('',(-2.20000000000001,62.,7.15));
#68286=CARTESIAN_POINT('Origin',(-2.20000000000001,58.,4.15));
#68287=CARTESIAN_POINT('',(-2.20000000000001,47.,4.14999999999997));
#68288=CARTESIAN_POINT('',(-2.20000000000001,47.,7.15));
#68289=CARTESIAN_POINT('Origin',(-2.20000000000001,43.,4.15));
#68290=CARTESIAN_POINT('',(-2.2,34.,4.15));
#68291=CARTESIAN_POINT('',(-2.2,34.,7.15));
#68292=CARTESIAN_POINT('Origin',(-2.2,30.,4.15));
#68293=CARTESIAN_POINT('Origin',(-2.2,17.,4.15));
#68294=CARTESIAN_POINT('',(-2.2,22.0000000149011,4.15));
#68295=CARTESIAN_POINT('Origin',(-2.2,24.9999999850988,4.15));
#68296=CARTESIAN_POINT('',(-2.2,24.9999999850988,4.15));
#68297=CARTESIAN_POINT('Origin',(-2.2,30.,4.15));
#68298=CARTESIAN_POINT('',(-2.2,35.0000000149011,4.15));
#68299=CARTESIAN_POINT('Origin',(-2.2,37.9999999850988,4.15000000000003));
#68300=CARTESIAN_POINT('',(-2.2,37.9999999850988,4.15000000000003));
#68301=CARTESIAN_POINT('Origin',(-2.20000000000001,43.,4.15));
#68302=CARTESIAN_POINT('',(-2.20000000000001,48.0000000149012,4.14999999999997));
#68303=CARTESIAN_POINT('Origin',(-2.20000000000001,52.9999999850988,4.15000000000005));
#68304=CARTESIAN_POINT('',(-2.20000000000001,52.9999999850988,4.15000000000005));
#68305=CARTESIAN_POINT('Origin',(-2.20000000000001,58.,4.15));
#68306=CARTESIAN_POINT('',(-2.20000000000001,63.0000000149012,4.14999999999995));
#68307=CARTESIAN_POINT('Origin',(-2.20000000000001,65.9999999850988,4.14999999999999));
#68308=CARTESIAN_POINT('',(-2.20000000000001,65.9999999850988,4.14999999999999));
#68309=CARTESIAN_POINT('Origin',(-2.20000000000001,71.,4.15));
#68310=CARTESIAN_POINT('',(-2.20000000000001,76.0000000149011,4.15));
#68311=CARTESIAN_POINT('Origin',(-2.20000000000001,78.9999999850988,4.14999999999997));
#68312=CARTESIAN_POINT('',(-2.20000000000001,78.9999999850988,4.14999999999997));
#68313=CARTESIAN_POINT('Origin',(-2.20000000000001,84.,4.15));
#68314=CARTESIAN_POINT('Origin',(-2.2,13.,7.15));
#68315=CARTESIAN_POINT('',(-2.2,13.,4.15));
#68316=CARTESIAN_POINT('Origin',(-2.2,21.,4.15));
#68317=CARTESIAN_POINT('',(-2.2,21.,4.15));
#68318=CARTESIAN_POINT('Origin',(-2.2,17.,4.15));
#68319=CARTESIAN_POINT('',(-2.2,20.3,4.15));
#68320=CARTESIAN_POINT('Origin',(-2.2,20.3,7.15));
#68321=CARTESIAN_POINT('Origin',(-2.2,17.,4.15));
#68322=CARTESIAN_POINT('Origin',(-2.20000000000001,88.,4.15000000000002));
#68323=CARTESIAN_POINT('',(-2.20000000000001,88.,4.15000000000002));
#68324=CARTESIAN_POINT('Origin',(-2.20000000000001,84.,4.15));
#68325=CARTESIAN_POINT('',(-2.20000000000001,87.3,4.15000000000002));
#68326=CARTESIAN_POINT('Origin',(-2.20000000000001,87.3,7.14999999999999));
#68327=CARTESIAN_POINT('Origin',(-2.20000000000001,84.,4.15));
#68328=CARTESIAN_POINT('Origin',(-2.20000000000001,71.,4.15));
#68329=CARTESIAN_POINT('',(-2.20000000000001,74.3,4.15));
#68330=CARTESIAN_POINT('Origin',(-2.20000000000001,74.3,7.15));
#68331=CARTESIAN_POINT('Origin',(-2.20000000000001,75.,4.15));
#68332=CARTESIAN_POINT('',(-2.20000000000001,75.,4.15));
#68333=CARTESIAN_POINT('Origin',(-2.20000000000001,71.,4.15));
#68334=CARTESIAN_POINT('Origin',(-2.20000000000001,62.,4.14999999999996));
#68335=CARTESIAN_POINT('',(-2.20000000000001,62.,4.14999999999996));
#68336=CARTESIAN_POINT('Origin',(-2.20000000000001,58.,4.15));
#68337=CARTESIAN_POINT('',(-2.20000000000001,61.3,4.14999999999996));
#68338=CARTESIAN_POINT('Origin',(-2.20000000000001,61.3,7.15));
#68339=CARTESIAN_POINT('Origin',(-2.20000000000001,58.,4.15));
#68340=CARTESIAN_POINT('Origin',(-2.2,34.,4.15));
#68341=CARTESIAN_POINT('',(-2.2,34.,4.15));
#68342=CARTESIAN_POINT('Origin',(-2.2,30.,4.15));
#68343=CARTESIAN_POINT('',(-2.2,33.3,4.15));
#68344=CARTESIAN_POINT('Origin',(-2.2,33.3,7.15));
#68345=CARTESIAN_POINT('Origin',(-2.2,30.,4.15));
#68346=CARTESIAN_POINT('Origin',(-2.20000000000001,47.,4.14999999999997));
#68347=CARTESIAN_POINT('',(-2.20000000000001,47.,4.14999999999997));
#68348=CARTESIAN_POINT('Origin',(-2.20000000000001,46.3,7.15));
#68349=CARTESIAN_POINT('',(-2.20000000000001,46.3,4.14999999999997));
#68350=CARTESIAN_POINT('Origin',(-2.20000000000001,43.,4.15));
#68351=CARTESIAN_POINT('Origin',(-2.20000000000001,43.,4.15));
#68352=CARTESIAN_POINT('Origin',(-1.95,24.,6.4));
#68353=CARTESIAN_POINT('',(-1.7,79.5,6.64999999999999));
#68354=CARTESIAN_POINT('',(-2.2,80.,6.14999999999999));
#68355=CARTESIAN_POINT('',(9.57916666666669,68.2208333333334,17.9291666666666));
#68356=CARTESIAN_POINT('',(-2.2,75.,6.14999999999999));
#68357=CARTESIAN_POINT('',(-2.2,24.,6.15));
#68358=CARTESIAN_POINT('',(-1.7,75.5,6.64999999999999));
#68359=CARTESIAN_POINT('',(-8.25416666666665,68.9458333333334,0.0958333333333716));
#68360=CARTESIAN_POINT('',(-1.7,24.,6.65));
#68361=CARTESIAN_POINT('Origin',(11.475,75.25,6.4));
#68362=CARTESIAN_POINT('',(-0.699999999999999,75.,6.14999999999999));
#68363=CARTESIAN_POINT('',(11.475,75.,6.14999999999999));
#68364=CARTESIAN_POINT('',(-0.7,75.5,6.65));
#68365=CARTESIAN_POINT('',(-0.699999999999998,52.5,-16.35));
#68366=CARTESIAN_POINT('',(11.475,75.5,6.64999999999999));
#68367=CARTESIAN_POINT('Origin',(-2.19999999999999,-1.99999999999999,-8.85));
#68368=CARTESIAN_POINT('',(-2.2,80.,4.14999999999998));
#68369=CARTESIAN_POINT('',(-2.2,80.,-1.1));
#68370=CARTESIAN_POINT('',(-2.2,88.,4.15000000000002));
#68371=CARTESIAN_POINT('Origin',(-2.2,84.,4.15));
#68372=CARTESIAN_POINT('',(-2.2,87.9999999999999,6.14999999999999));
#68373=CARTESIAN_POINT('',(-2.2,88.0000000000001,-2.34999999999935));
#68374=CARTESIAN_POINT('',(-2.2,99.,6.14999999999999));
#68375=CARTESIAN_POINT('',(-2.2,24.,6.15));
#68376=CARTESIAN_POINT('',(-2.2,99.,-11.35));
#68377=CARTESIAN_POINT('',(-2.2,99.,1.6));
#68378=CARTESIAN_POINT('',(-2.19999999999999,1.,-11.35));
#68379=CARTESIAN_POINT('',(-2.19999999999999,24.,-11.35));
#68380=CARTESIAN_POINT('',(-2.19999999999999,0.999999999999996,6.14999999999998));
#68381=CARTESIAN_POINT('',(-2.19999999999999,0.999999999999996,1.6));
#68382=CARTESIAN_POINT('',(-2.2,13.,6.15));
#68383=CARTESIAN_POINT('',(-2.2,24.,6.15));
#68384=CARTESIAN_POINT('',(-2.2,13.,4.15));
#68385=CARTESIAN_POINT('',(-2.2,13.,-1.1));
#68386=CARTESIAN_POINT('',(-2.2,21.,4.15));
#68387=CARTESIAN_POINT('Origin',(-2.2,17.,4.15));
#68388=CARTESIAN_POINT('',(-2.2,21.,6.15));
#68389=CARTESIAN_POINT('',(-2.2,21.,-2.35));
#68390=CARTESIAN_POINT('',(-2.2,26.,6.15));
#68391=CARTESIAN_POINT('',(-2.2,24.,6.15));
#68392=CARTESIAN_POINT('',(-2.2,26.,4.15));
#68393=CARTESIAN_POINT('',(-2.2,26.,-1.09999999999988));
#68394=CARTESIAN_POINT('',(-2.2,34.,4.15));
#68395=CARTESIAN_POINT('Origin',(-2.2,30.,4.15));
#68396=CARTESIAN_POINT('',(-2.2,34.,6.15));
#68397=CARTESIAN_POINT('',(-2.2,34.,-2.35));
#68398=CARTESIAN_POINT('',(-2.2,39.,6.15));
#68399=CARTESIAN_POINT('',(-2.2,24.,6.15));
#68400=CARTESIAN_POINT('',(-2.2,39.,4.15000000000003));
#68401=CARTESIAN_POINT('',(-2.2,39.,-1.1));
#68402=CARTESIAN_POINT('',(-2.2,47.,4.14999999999997));
#68403=CARTESIAN_POINT('Origin',(-2.2,43.,4.15));
#68404=CARTESIAN_POINT('',(-2.2,47.,6.15));
#68405=CARTESIAN_POINT('',(-2.2,47.,-2.35000000000001));
#68406=CARTESIAN_POINT('',(-2.2,54.,6.15));
#68407=CARTESIAN_POINT('',(-2.2,24.,6.15));
#68408=CARTESIAN_POINT('',(-2.2,54.,4.15000000000004));
#68409=CARTESIAN_POINT('',(-2.2,54.,-1.1000000000001));
#68410=CARTESIAN_POINT('',(-2.2,62.,4.14999999999996));
#68411=CARTESIAN_POINT('Origin',(-2.2,58.,4.15));
#68412=CARTESIAN_POINT('',(-2.2,62.,6.14999999999999));
#68413=CARTESIAN_POINT('',(-2.2,62.,-2.35000000000002));
#68414=CARTESIAN_POINT('',(-2.2,66.9999999999981,6.14999999999999));
#68415=CARTESIAN_POINT('',(-2.2,24.,6.15));
#68416=CARTESIAN_POINT('',(-2.2,67.,4.15));
#68417=CARTESIAN_POINT('',(-2.2,67.000000000005,-1.0999999999674));
#68418=CARTESIAN_POINT('',(-2.2,75.,4.15));
#68419=CARTESIAN_POINT('Origin',(-2.2,71.,4.15));
#68420=CARTESIAN_POINT('',(-2.2,75.,-2.35));
#68421=CARTESIAN_POINT('Origin',(-1.95,24.,6.4));
#68422=CARTESIAN_POINT('',(-1.7,66.4999999999976,6.65));
#68423=CARTESIAN_POINT('',(7.41250000000049,57.3874999999886,15.7625000000005));
#68424=CARTESIAN_POINT('',(-1.7,62.5,6.65));
#68425=CARTESIAN_POINT('',(-6.08749999999997,58.1125000000001,2.26250000000003));
#68426=CARTESIAN_POINT('',(-1.7,24.,6.65));
#68427=CARTESIAN_POINT('Origin',(11.475,62.25,6.4));
#68428=CARTESIAN_POINT('',(-0.699999999999998,62.,6.14999999999999));
#68429=CARTESIAN_POINT('',(11.475,62.,6.14999999999999));
#68430=CARTESIAN_POINT('',(-0.699999999999999,62.5,6.65));
#68431=CARTESIAN_POINT('',(-0.699999999999997,42.7500000000001,-13.1));
#68432=CARTESIAN_POINT('',(11.475,62.5,6.65));
#68433=CARTESIAN_POINT('Origin',(-1.95,24.,6.4));
#68434=CARTESIAN_POINT('',(-1.7,53.5,6.65));
#68435=CARTESIAN_POINT('',(5.24583333333335,46.5541666666667,13.5958333333333));
#68436=CARTESIAN_POINT('',(-1.7,47.5,6.65));
#68437=CARTESIAN_POINT('',(-3.58749999999998,45.6125,4.76250000000002));
#68438=CARTESIAN_POINT('',(-1.7,24.,6.65));
#68439=CARTESIAN_POINT('Origin',(11.475,47.25,6.4));
#68440=CARTESIAN_POINT('',(-0.699999999999997,47.,6.15));
#68441=CARTESIAN_POINT('',(11.475,47.,6.15));
#68442=CARTESIAN_POINT('',(-0.699999999999998,47.5,6.65));
#68443=CARTESIAN_POINT('',(-0.699999999999997,31.5000000000001,-9.35000000000003));
#68444=CARTESIAN_POINT('',(11.475,47.5,6.65));
#68445=CARTESIAN_POINT('Origin',(-1.95,24.,6.4));
#68446=CARTESIAN_POINT('',(-1.7,38.5,6.65));
#68447=CARTESIAN_POINT('',(2.74583333333334,34.0541666666666,11.0958333333333));
#68448=CARTESIAN_POINT('',(-1.7,34.5,6.65));
#68449=CARTESIAN_POINT('',(-1.42083333333332,34.7791666666667,6.92916666666667));
#68450=CARTESIAN_POINT('',(-1.7,24.,6.65));
#68451=CARTESIAN_POINT('Origin',(11.475,34.25,6.4));
#68452=CARTESIAN_POINT('',(-0.699999999999996,34.,6.15));
#68453=CARTESIAN_POINT('',(11.475,34.,6.15));
#68454=CARTESIAN_POINT('',(-0.699999999999997,34.5,6.65));
#68455=CARTESIAN_POINT('',(-0.699999999999995,21.75,-6.09999999999999));
#68456=CARTESIAN_POINT('',(11.475,34.5,6.65));
#68457=CARTESIAN_POINT('Origin',(-1.95,24.,6.4));
#68458=CARTESIAN_POINT('',(-1.7,25.5,6.65));
#68459=CARTESIAN_POINT('',(0.579166666666667,23.2208333333333,8.92916666666666));
#68460=CARTESIAN_POINT('',(-1.7,21.5,6.65));
#68461=CARTESIAN_POINT('',(0.745833333333356,23.9458333333333,9.09583333333335));
#68462=CARTESIAN_POINT('',(-1.7,24.,6.65));
#68463=CARTESIAN_POINT('Origin',(11.475,21.25,6.4));
#68464=CARTESIAN_POINT('',(-0.699999999999995,21.,6.15));
#68465=CARTESIAN_POINT('',(11.475,21.,6.15));
#68466=CARTESIAN_POINT('',(-0.699999999999996,21.5,6.65));
#68467=CARTESIAN_POINT('',(-0.699999999999995,12.,-2.85000000000002));
#68468=CARTESIAN_POINT('',(11.475,21.5,6.65));
#68469=CARTESIAN_POINT('Origin',(52.25,76.,6.4));
#68470=CARTESIAN_POINT('',(52.5,12.9999999850988,6.15));
#68471=CARTESIAN_POINT('',(52.,12.4999999850989,6.65));
#68472=CARTESIAN_POINT('',(60.5541666691502,21.0541666542491,-1.90416666915021));
#68473=CARTESIAN_POINT('',(52.,0.999999999999996,6.65));
#68474=CARTESIAN_POINT('',(52.,76.,6.64999999999999));
#68475=CARTESIAN_POINT('',(52.5,0.999999999999995,6.15));
#68476=CARTESIAN_POINT('',(52.,0.999999999999996,6.65));
#68477=CARTESIAN_POINT('',(52.5,76.,6.14999999999999));
#68478=CARTESIAN_POINT('Origin',(49.5,0.999999999999996,6.4));
#68479=CARTESIAN_POINT('',(49.5,-1.5,6.65));
#68480=CARTESIAN_POINT('',(49.5,-2.,6.15));
#68481=CARTESIAN_POINT('',(49.5,-1.5,6.65));
#68482=CARTESIAN_POINT('Origin',(49.5,0.999999999999996,6.15));
#68483=CARTESIAN_POINT('Origin',(49.5,0.999999999999996,6.65));
#68484=CARTESIAN_POINT('Origin',(38.825,-1.75,6.4));
#68485=CARTESIAN_POINT('',(0.800000000000001,-1.5,6.65));
#68486=CARTESIAN_POINT('',(0.800000000000003,-2.,6.15));
#68487=CARTESIAN_POINT('',(0.800000000000001,-1.5,6.65));
#68488=CARTESIAN_POINT('',(38.825,-2.,6.15));
#68489=CARTESIAN_POINT('',(38.825,-1.5,6.65));
#68490=CARTESIAN_POINT('Origin',(0.800000000000002,1.,6.39999999999999));
#68491=CARTESIAN_POINT('',(-1.7,0.999999999999997,6.65));
#68492=CARTESIAN_POINT('',(-1.7,0.999999999999997,6.65));
#68493=CARTESIAN_POINT('Origin',(0.800000000000002,1.,6.14999999999998));
#68494=CARTESIAN_POINT('Origin',(0.800000000000002,1.,6.65));
#68495=CARTESIAN_POINT('Origin',(-1.95,24.,6.4));
#68496=CARTESIAN_POINT('',(-1.7,12.5,6.65));
#68497=CARTESIAN_POINT('',(-1.58749999999998,12.3875,6.76250000000002));
#68498=CARTESIAN_POINT('',(-1.7,24.,6.65));
#68499=CARTESIAN_POINT('Origin',(25.15,50.,6.65));
#68500=CARTESIAN_POINT('',(51.,12.4999999850989,6.65));
#68501=CARTESIAN_POINT('',(38.825,12.4999999850989,6.65));
#68502=CARTESIAN_POINT('',(51.,-0.5,6.65));
#68503=CARTESIAN_POINT('',(51.,75.25,6.64999999999999));
#68504=CARTESIAN_POINT('',(-0.699999999999994,-0.500000000000007,6.65));
#68505=CARTESIAN_POINT('',(38.075,-0.499999999999998,6.65));
#68506=CARTESIAN_POINT('',(-0.699999999999995,12.5,6.65));
#68507=CARTESIAN_POINT('',(-0.699999999999996,24.75,6.65));
#68508=CARTESIAN_POINT('',(11.475,12.5,6.65));
#68509=CARTESIAN_POINT('Origin',(38.825,12.7499999850989,6.4));
#68510=CARTESIAN_POINT('',(51.,12.9999999850988,6.15));
#68511=CARTESIAN_POINT('',(38.825,12.9999999850989,6.15));
#68512=CARTESIAN_POINT('',(51.,38.4999999888241,-19.3500000037253));
#68513=CARTESIAN_POINT('Origin',(52.5,102.,-8.84999999999999));
#68514=CARTESIAN_POINT('',(52.5,0.999999999999996,-11.35));
#68515=CARTESIAN_POINT('',(52.5,0.999999999999995,-8.84999999999999));
#68516=CARTESIAN_POINT('',(52.5,99.,-11.35));
#68517=CARTESIAN_POINT('',(52.5,76.,-11.35));
#68518=CARTESIAN_POINT('',(52.5,99.,6.15000000000001));
#68519=CARTESIAN_POINT('',(52.5,99.,1.6));
#68520=CARTESIAN_POINT('',(52.5,72.0360611941566,6.14999999999999));
#68521=CARTESIAN_POINT('',(52.5,76.,6.14999999999999));
#68522=CARTESIAN_POINT('',(52.5,72.0360611941566,0.199999999999998));
#68523=CARTESIAN_POINT('',(52.5,72.0360611941566,-1.1));
#68524=CARTESIAN_POINT('',(52.5,61.0360611941566,0.199999999999998));
#68525=CARTESIAN_POINT('',(52.5,87.0180305970783,0.199999999999998));
#68526=CARTESIAN_POINT('',(52.5,61.0360611941566,6.14999999999999));
#68527=CARTESIAN_POINT('',(52.5,61.0360611941566,-4.325));
#68528=CARTESIAN_POINT('',(52.5,21.0000000149012,6.15));
#68529=CARTESIAN_POINT('',(52.5,76.,6.14999999999999));
#68530=CARTESIAN_POINT('',(52.5,21.0000000149012,4.14999999999997));
#68531=CARTESIAN_POINT('',(52.5,21.0000000149012,-1.09999999999992));
#68532=CARTESIAN_POINT('',(52.5,12.9999999850988,4.14999999999999));
#68533=CARTESIAN_POINT('Origin',(52.5,17.,4.15));
#68534=CARTESIAN_POINT('',(52.5,12.9999999850988,-2.34999999999996));
#68535=CARTESIAN_POINT('Origin',(52.25,76.,6.39999999999999));
#68536=CARTESIAN_POINT('',(52.,21.5000000149012,6.65));
#68537=CARTESIAN_POINT('',(40.8875000024836,32.6125000124176,17.7624999975165));
#68538=CARTESIAN_POINT('',(52.,60.5360611941566,6.65));
#68539=CARTESIAN_POINT('',(52.5481564676406,61.0842176617972,6.10184353235943));
#68540=CARTESIAN_POINT('',(52.,76.,6.64999999999999));
#68541=CARTESIAN_POINT('Origin',(25.15,50.,6.65));
#68542=CARTESIAN_POINT('',(51.,60.5360611941566,6.65));
#68543=CARTESIAN_POINT('',(38.825,60.5360611941566,6.65));
#68544=CARTESIAN_POINT('',(51.,21.5000000149012,6.65));
#68545=CARTESIAN_POINT('',(51.,75.25,6.64999999999999));
#68546=CARTESIAN_POINT('',(38.825,21.5000000149012,6.65));
#68547=CARTESIAN_POINT('Origin',(38.825,60.7860611941566,6.4));
#68548=CARTESIAN_POINT('',(51.,61.0360611941566,6.14999999999999));
#68549=CARTESIAN_POINT('',(38.825,61.0360611941566,6.14999999999999));
#68550=CARTESIAN_POINT('',(51.,74.5270458956174,-7.34098470146088));
#68551=CARTESIAN_POINT('Origin',(-1.95,24.,6.4));
#68552=CARTESIAN_POINT('',(-1.7,88.4999999999999,6.64999999999999));
#68553=CARTESIAN_POINT('',(-10.4208333333334,79.7791666666665,-2.07083333333338));
#68554=CARTESIAN_POINT('',(-1.7,99.,6.64999999999999));
#68555=CARTESIAN_POINT('',(-1.7,24.,6.65));
#68556=CARTESIAN_POINT('',(-1.7,99.,6.64999999999999));
#68557=CARTESIAN_POINT('Origin',(0.799999999999996,99.,6.39999999999999));
#68558=CARTESIAN_POINT('',(0.799999999999996,101.5,6.64999999999999));
#68559=CARTESIAN_POINT('',(0.799999999999996,102.,6.15));
#68560=CARTESIAN_POINT('',(0.799999999999996,101.5,6.64999999999999));
#68561=CARTESIAN_POINT('Origin',(0.799999999999996,99.,6.15));
#68562=CARTESIAN_POINT('Origin',(0.799999999999996,99.,6.64999999999999));
#68563=CARTESIAN_POINT('Origin',(11.475,101.75,6.39999999999999));
#68564=CARTESIAN_POINT('',(49.5,101.5,6.64999999999999));
#68565=CARTESIAN_POINT('',(49.5,102.,6.14999999999999));
#68566=CARTESIAN_POINT('',(49.5,101.5,6.64999999999999));
#68567=CARTESIAN_POINT('',(11.475,102.,6.14999999999999));
#68568=CARTESIAN_POINT('',(11.475,101.5,6.64999999999999));
#68569=CARTESIAN_POINT('Origin',(49.5,99.,6.4));
#68570=CARTESIAN_POINT('',(52.,99.,6.64999999999999));
#68571=CARTESIAN_POINT('',(52.,99.,6.64999999999999));
#68572=CARTESIAN_POINT('Origin',(49.5,99.,6.15000000000001));
#68573=CARTESIAN_POINT('Origin',(49.5,99.,6.64999999999999));
#68574=CARTESIAN_POINT('Origin',(52.25,76.,6.4));
#68575=CARTESIAN_POINT('',(52.,72.5360611941566,6.65));
#68576=CARTESIAN_POINT('',(49.3935101990261,75.1425509951305,9.2564898009739));
#68577=CARTESIAN_POINT('',(52.,76.,6.64999999999999));
#68578=CARTESIAN_POINT('Origin',(38.825,72.2860611941566,6.4));
#68579=CARTESIAN_POINT('',(51.,72.5360611941566,6.64999999999999));
#68580=CARTESIAN_POINT('',(38.825,72.5360611941566,6.65));
#68581=CARTESIAN_POINT('',(51.,72.0360611941566,6.14999999999999));
#68582=CARTESIAN_POINT('',(51.,75.5270458956174,9.64098470146083));
#68583=CARTESIAN_POINT('',(38.825,72.0360611941566,6.14999999999999));
#68584=CARTESIAN_POINT('Origin',(25.15,50.,6.65));
#68585=CARTESIAN_POINT('',(-0.700000000000001,88.4999999999999,6.64999999999999));
#68586=CARTESIAN_POINT('',(11.475,88.4999999999999,6.64999999999999));
#68587=CARTESIAN_POINT('',(-0.700000000000001,100.5,6.64999999999999));
#68588=CARTESIAN_POINT('',(-0.699999999999996,24.75,6.65));
#68589=CARTESIAN_POINT('',(51.,100.5,6.64999999999999));
#68590=CARTESIAN_POINT('',(12.225,100.5,6.64999999999999));
#68591=CARTESIAN_POINT('',(51.,75.25,6.64999999999999));
#68592=CARTESIAN_POINT('Origin',(52.5,61.0360611941566,0.199999999999998));
#68593=CARTESIAN_POINT('',(51.,61.0360611941566,0.199999999999998));
#68594=CARTESIAN_POINT('',(52.5,61.0360611941566,0.199999999999998));
#68595=CARTESIAN_POINT('',(51.,61.0360611941566,-4.325));
#68596=CARTESIAN_POINT('Origin',(11.475,88.2499999999999,6.39999999999999));
#68597=CARTESIAN_POINT('',(-0.7,87.9999999999999,6.14999999999999));
#68598=CARTESIAN_POINT('',(11.475,87.9999999999999,6.14999999999999));
#68599=CARTESIAN_POINT('',(-0.699999999999998,62.2499999999997,-19.5999999999999));
#68600=CARTESIAN_POINT('Origin',(-2.2,88.,4.15000000000002));
#68601=CARTESIAN_POINT('',(-0.7,88.,4.15000000000002));
#68602=CARTESIAN_POINT('',(-2.2,88.,4.15000000000002));
#68603=CARTESIAN_POINT('',(-0.7,88.0000000000001,-2.34999999999936));
#68604=CARTESIAN_POINT('Origin',(11.475,79.75,6.39999999999999));
#68605=CARTESIAN_POINT('',(-0.7,79.5,6.65));
#68606=CARTESIAN_POINT('',(11.475,79.5,6.64999999999999));
#68607=CARTESIAN_POINT('',(-0.7,80.,6.14999999999999));
#68608=CARTESIAN_POINT('',(-0.7,63.5000000000001,22.65));
#68609=CARTESIAN_POINT('',(11.475,80.,6.14999999999999));
#68610=CARTESIAN_POINT('Origin',(25.15,50.,6.65));
#68611=CARTESIAN_POINT('',(-0.699999999999996,24.75,6.65));
#68612=CARTESIAN_POINT('Origin',(-2.2,75.,4.15));
#68613=CARTESIAN_POINT('',(-0.699999999999999,75.,4.15));
#68614=CARTESIAN_POINT('',(-2.2,75.,4.15));
#68615=CARTESIAN_POINT('',(-0.699999999999999,75.,-2.35));
#68616=CARTESIAN_POINT('Origin',(11.475,66.7499999999979,6.4));
#68617=CARTESIAN_POINT('',(-0.699999999999999,66.4999999999976,6.65));
#68618=CARTESIAN_POINT('',(11.475,66.4999999999976,6.65));
#68619=CARTESIAN_POINT('',(-0.699999999999999,66.9999999999981,6.14999999999999));
#68620=CARTESIAN_POINT('',(-0.699999999999998,53.7499999999827,19.400000000003));
#68621=CARTESIAN_POINT('',(11.475,66.9999999999981,6.14999999999999));
#68622=CARTESIAN_POINT('Origin',(25.15,50.,6.65));
#68623=CARTESIAN_POINT('',(-0.699999999999996,24.75,6.65));
#68624=CARTESIAN_POINT('Origin',(-2.2,62.,4.14999999999996));
#68625=CARTESIAN_POINT('',(-0.699999999999998,62.,4.14999999999996));
#68626=CARTESIAN_POINT('',(-2.2,62.,4.14999999999996));
#68627=CARTESIAN_POINT('',(-0.699999999999998,62.,-2.35000000000002));
#68628=CARTESIAN_POINT('Origin',(11.475,53.75,6.4));
#68629=CARTESIAN_POINT('',(-0.699999999999998,53.5,6.65));
#68630=CARTESIAN_POINT('',(11.475,53.5,6.65));
#68631=CARTESIAN_POINT('',(-0.699999999999998,54.,6.15));
#68632=CARTESIAN_POINT('',(-0.699999999999998,44.0000000000001,16.15));
#68633=CARTESIAN_POINT('',(11.475,54.,6.15));
#68634=CARTESIAN_POINT('Origin',(25.15,50.,6.65));
#68635=CARTESIAN_POINT('',(-0.699999999999996,24.75,6.65));
#68636=CARTESIAN_POINT('Origin',(-2.2,47.,4.14999999999997));
#68637=CARTESIAN_POINT('',(-0.699999999999997,47.,4.14999999999997));
#68638=CARTESIAN_POINT('',(-2.2,47.,4.14999999999997));
#68639=CARTESIAN_POINT('',(-0.699999999999997,47.,-2.35000000000001));
#68640=CARTESIAN_POINT('Origin',(11.475,38.75,6.4));
#68641=CARTESIAN_POINT('',(-0.699999999999997,38.5,6.65));
#68642=CARTESIAN_POINT('',(11.475,38.5,6.65));
#68643=CARTESIAN_POINT('',(-0.699999999999997,39.,6.15));
#68644=CARTESIAN_POINT('',(-0.699999999999996,32.7499999999999,12.4));
#68645=CARTESIAN_POINT('',(11.475,39.,6.15));
#68646=CARTESIAN_POINT('Origin',(25.15,50.,6.65));
#68647=CARTESIAN_POINT('',(-0.699999999999996,24.75,6.65));
#68648=CARTESIAN_POINT('Origin',(-2.2,34.,4.15));
#68649=CARTESIAN_POINT('',(-0.699999999999996,34.,4.15));
#68650=CARTESIAN_POINT('',(-2.2,34.,4.15));
#68651=CARTESIAN_POINT('',(-0.699999999999996,34.,-2.35));
#68652=CARTESIAN_POINT('Origin',(11.475,25.75,6.4));
#68653=CARTESIAN_POINT('',(-0.699999999999996,25.5,6.65));
#68654=CARTESIAN_POINT('',(11.475,25.5,6.65));
#68655=CARTESIAN_POINT('',(-0.699999999999996,26.,6.15));
#68656=CARTESIAN_POINT('',(-0.699999999999996,23.,9.15000000000001));
#68657=CARTESIAN_POINT('',(11.475,26.,6.15));
#68658=CARTESIAN_POINT('Origin',(25.15,50.,6.65));
#68659=CARTESIAN_POINT('',(-0.699999999999996,24.75,6.65));
#68660=CARTESIAN_POINT('Origin',(-2.2,21.,4.15));
#68661=CARTESIAN_POINT('',(-0.699999999999995,21.,4.15));
#68662=CARTESIAN_POINT('',(-2.2,21.,4.15));
#68663=CARTESIAN_POINT('',(-0.699999999999995,21.,-2.35));
#68664=CARTESIAN_POINT('Origin',(11.475,12.75,6.4));
#68665=CARTESIAN_POINT('',(-0.699999999999995,13.,6.15));
#68666=CARTESIAN_POINT('',(-0.699999999999996,13.25,5.89999999999998));
#68667=CARTESIAN_POINT('',(11.475,13.,6.15));
#68668=CARTESIAN_POINT('Origin',(-2.2,13.,6.65));
#68669=CARTESIAN_POINT('',(-0.699999999999995,13.,4.15));
#68670=CARTESIAN_POINT('',(-0.699999999999995,13.,-1.1));
#68671=CARTESIAN_POINT('',(-2.2,13.,4.15));
#68672=CARTESIAN_POINT('Origin',(52.5,12.9999999850988,4.14999999999999));
#68673=CARTESIAN_POINT('',(51.,12.9999999850988,4.14999999999999));
#68674=CARTESIAN_POINT('',(52.5,12.9999999850988,4.14999999999999));
#68675=CARTESIAN_POINT('',(51.,12.9999999850988,-2.34999999999996));
#68676=CARTESIAN_POINT('Origin',(38.825,21.2500000149012,6.4));
#68677=CARTESIAN_POINT('',(51.,21.0000000149012,6.15));
#68678=CARTESIAN_POINT('',(51.,37.2500000111758,22.3999999962747));
#68679=CARTESIAN_POINT('',(38.825,21.0000000149012,6.15));
#68680=CARTESIAN_POINT('Origin',(52.5,21.0000000149012,6.65));
#68681=CARTESIAN_POINT('',(51.,21.0000000149012,4.14999999999997));
#68682=CARTESIAN_POINT('',(51.,21.0000000149012,-1.09999999999992));
#68683=CARTESIAN_POINT('',(52.5,21.0000000149012,4.14999999999997));
#68684=CARTESIAN_POINT('Origin',(-2.2,43.,4.15));
#68685=CARTESIAN_POINT('',(-0.699999999999996,39.,4.15000000000003));
#68686=CARTESIAN_POINT('Origin',(-0.699999999999998,43.,4.15));
#68687=CARTESIAN_POINT('',(-2.2,39.,4.15000000000003));
#68688=CARTESIAN_POINT('Origin',(-2.2,39.,6.65));
#68689=CARTESIAN_POINT('',(-0.699999999999997,39.,-1.1));
#68690=CARTESIAN_POINT('Origin',(-2.2,84.,4.15));
#68691=CARTESIAN_POINT('',(-0.7,80.,4.14999999999998));
#68692=CARTESIAN_POINT('Origin',(-0.699999999999998,84.,4.15));
#68693=CARTESIAN_POINT('',(-2.2,80.,4.14999999999998));
#68694=CARTESIAN_POINT('Origin',(-2.2,80.,6.64999999999999));
#68695=CARTESIAN_POINT('',(-0.7,80.,-1.1));
#68696=CARTESIAN_POINT('Origin',(-2.2,30.,4.15));
#68697=CARTESIAN_POINT('',(-0.699999999999995,26.,4.15));
#68698=CARTESIAN_POINT('Origin',(-0.699999999999998,30.,4.15));
#68699=CARTESIAN_POINT('',(-2.2,26.,4.15));
#68700=CARTESIAN_POINT('Origin',(-2.2,26.,6.65));
#68701=CARTESIAN_POINT('',(-0.699999999999996,26.,-1.09999999999988));
#68702=CARTESIAN_POINT('Origin',(-2.2,58.,4.15));
#68703=CARTESIAN_POINT('',(-0.699999999999998,54.,4.15000000000004));
#68704=CARTESIAN_POINT('Origin',(-0.699999999999998,58.,4.15));
#68705=CARTESIAN_POINT('',(-2.2,54.,4.15000000000004));
#68706=CARTESIAN_POINT('Origin',(-2.2,54.,6.65));
#68707=CARTESIAN_POINT('',(-0.699999999999998,54.,-1.1000000000001));
#68708=CARTESIAN_POINT('Origin',(-2.2,71.,4.15));
#68709=CARTESIAN_POINT('',(-0.699999999999998,67.,4.15));
#68710=CARTESIAN_POINT('Origin',(-0.699999999999998,71.,4.15));
#68711=CARTESIAN_POINT('',(-2.2,67.,4.15));
#68712=CARTESIAN_POINT('Origin',(-2.2,66.9999999999976,6.64999999999999));
#68713=CARTESIAN_POINT('',(-0.699999999999999,67.000000000005,-1.09999999996811));
#68714=CARTESIAN_POINT('Origin',(-2.2,17.,4.15));
#68715=CARTESIAN_POINT('Origin',(-0.699999999999998,17.,4.15));
#68716=CARTESIAN_POINT('Origin',(-0.699999999999994,-0.500000000000007,
-8.85));
#68717=CARTESIAN_POINT('',(-0.699999999999994,-0.500000000000007,0.));
#68718=CARTESIAN_POINT('',(-0.699999999999994,-0.500000000000007,-8.85));
#68719=CARTESIAN_POINT('',(-0.699999999999994,9.1,0.));
#68720=CARTESIAN_POINT('',(-0.699999999999996,24.75,0.));
#68721=CARTESIAN_POINT('',(-0.699999999999992,9.1,-8.85));
#68722=CARTESIAN_POINT('',(-0.699999999999994,9.1,-8.85));
#68723=CARTESIAN_POINT('',(-0.699999999999999,90.9,-8.85));
#68724=CARTESIAN_POINT('',(-0.699999999999996,24.75,-8.85));
#68725=CARTESIAN_POINT('',(-0.700000000000001,90.9,0.));
#68726=CARTESIAN_POINT('',(-0.700000000000001,90.9,-8.85));
#68727=CARTESIAN_POINT('',(-0.700000000000003,100.5,0.));
#68728=CARTESIAN_POINT('',(-0.699999999999996,24.75,0.));
#68729=CARTESIAN_POINT('',(-0.700000000000001,100.5,1.6));
#68730=CARTESIAN_POINT('Origin',(52.5,17.,4.15));
#68731=CARTESIAN_POINT('Origin',(51.,17.,4.15));
#68732=CARTESIAN_POINT('Origin',(52.5,72.0360611941566,0.199999999999998));
#68733=CARTESIAN_POINT('',(51.,72.0360611941566,0.199999999999998));
#68734=CARTESIAN_POINT('',(51.,86.2680305970783,0.199999999999998));
#68735=CARTESIAN_POINT('',(52.5,72.0360611941566,0.199999999999998));
#68736=CARTESIAN_POINT('Origin',(52.5,72.0360611941566,6.65));
#68737=CARTESIAN_POINT('',(51.,72.0360611941566,-1.1));
#68738=CARTESIAN_POINT('Origin',(51.,100.5,-8.84999999999999));
#68739=CARTESIAN_POINT('',(51.,100.5,0.));
#68740=CARTESIAN_POINT('',(51.,100.5,-8.84999999999999));
#68741=CARTESIAN_POINT('',(51.,85.9551119409912,0.));
#68742=CARTESIAN_POINT('',(51.,75.25,0.));
#68743=CARTESIAN_POINT('',(51.,85.9551119409912,-8.84999999999999));
#68744=CARTESIAN_POINT('',(51.,85.9551119409912,-8.84999999999999));
#68745=CARTESIAN_POINT('',(51.,14.0448880590088,-8.84999999999999));
#68746=CARTESIAN_POINT('',(51.,75.25,-8.84999999999999));
#68747=CARTESIAN_POINT('',(51.,14.0448880590088,0.));
#68748=CARTESIAN_POINT('',(51.,14.0448880590088,-8.84999999999999));
#68749=CARTESIAN_POINT('',(51.,-0.5,0.));
#68750=CARTESIAN_POINT('',(51.,75.25,0.));
#68751=CARTESIAN_POINT('',(51.,-0.5,-8.84999999999999));
#68752=CARTESIAN_POINT('Origin',(49.5,99.,-8.84999999999999));
#68753=CARTESIAN_POINT('',(52.,100.658312395178,-11.85));
#68754=CARTESIAN_POINT('Origin',(49.5,99.,-14.35));
#68755=CARTESIAN_POINT('',(51.1583123951777,101.5,-11.85));
#68756=CARTESIAN_POINT('Origin',(49.5,99.,-11.85));
#68757=CARTESIAN_POINT('',(49.5,102.,-11.35));
#68758=CARTESIAN_POINT('Origin',(49.5,99.,-14.3499999999999));
#68759=CARTESIAN_POINT('',(49.5,102.,1.6));
#68760=CARTESIAN_POINT('Origin',(52.25,76.,-11.6));
#68761=CARTESIAN_POINT('',(52.,-0.658312395177716,-11.85));
#68762=CARTESIAN_POINT('Origin',(49.5,0.999999999999996,-14.35));
#68763=CARTESIAN_POINT('',(52.,76.,-11.85));
#68764=CARTESIAN_POINT('Origin',(0.8,99.,-8.85));
#68765=CARTESIAN_POINT('',(0.800000000000001,102.,-11.35));
#68766=CARTESIAN_POINT('',(0.799999999999996,102.,1.6));
#68767=CARTESIAN_POINT('',(-0.8583123951777,101.5,-11.85));
#68768=CARTESIAN_POINT('Origin',(0.800000000000001,99.,-14.35));
#68769=CARTESIAN_POINT('',(-1.7,100.658312395178,-11.85));
#68770=CARTESIAN_POINT('Origin',(0.800000000000001,99.,-11.85));
#68771=CARTESIAN_POINT('Origin',(0.800000000000001,99.,-14.35));
#68772=CARTESIAN_POINT('Origin',(-1.95,24.,-11.6));
#68773=CARTESIAN_POINT('',(-1.69999999999999,-0.658312395177702,-11.85));
#68774=CARTESIAN_POINT('',(-1.69999999999999,24.,-11.85));
#68775=CARTESIAN_POINT('Origin',(0.800000000000006,1.,-14.35));
#68776=CARTESIAN_POINT('Origin',(25.15,50.,-11.85));
#68777=CARTESIAN_POINT('',(51.1583123951777,-1.5,-11.85));
#68778=CARTESIAN_POINT('Origin',(49.5,0.999999999999996,-11.85));
#68779=CARTESIAN_POINT('',(-0.858312395177696,-1.5,-11.85));
#68780=CARTESIAN_POINT('',(38.825,-1.5,-11.85));
#68781=CARTESIAN_POINT('Origin',(0.800000000000006,1.,-11.85));
#68782=CARTESIAN_POINT('',(11.475,101.5,-11.85));
#68783=CARTESIAN_POINT('',(21.9032810115811,32.3805393683891,-11.85));
#68784=CARTESIAN_POINT('',(17.1220306539533,32.2809299859385,-11.85));
#68785=CARTESIAN_POINT('',(23.709392651415,32.4181666942189,-11.85));
#68786=CARTESIAN_POINT('',(17.1220306539532,27.2008516672234,-11.85));
#68787=CARTESIAN_POINT('',(17.1220306539533,41.1404649929693,-11.85));
#68788=CARTESIAN_POINT('',(18.6396090173718,27.2008516672234,-11.85));
#68789=CARTESIAN_POINT('',(21.1360153269766,27.2008516672234,-11.85));
#68790=CARTESIAN_POINT('',(18.6396090173718,30.136398601828,-11.85));
#68791=CARTESIAN_POINT('',(18.6396090173719,38.6004258336117,-11.85));
#68792=CARTESIAN_POINT('',(20.2509371423718,30.136398601828,-11.85));
#68793=CARTESIAN_POINT('',(21.8948045086859,30.136398601828,-11.85));
#68794=CARTESIAN_POINT('',(19.6005467557904,28.7184297326187,-11.85));
#68795=CARTESIAN_POINT('Ctrl Pts',(20.2509371423718,30.136398601828,-11.85));
#68796=CARTESIAN_POINT('Ctrl Pts',(20.0612411462716,29.9389050765293,-11.85));
#68797=CARTESIAN_POINT('Ctrl Pts',(19.679942473194,29.5419329701807,-11.85));
#68798=CARTESIAN_POINT('Ctrl Pts',(19.6271000742519,28.9938443826301,-11.85));
#68799=CARTESIAN_POINT('Ctrl Pts',(19.6005467557904,28.7184297326187,-11.85));
#68800=CARTESIAN_POINT('',(20.1483980798718,27.238937843142,-11.85));
#68801=CARTESIAN_POINT('Ctrl Pts',(19.6005467557904,28.7184297326187,-11.85));
#68802=CARTESIAN_POINT('Ctrl Pts',(19.615349152435,28.4200316531082,-11.85));
#68803=CARTESIAN_POINT('Ctrl Pts',(19.6428120632979,27.8664132049588,-11.85));
#68804=CARTESIAN_POINT('Ctrl Pts',(19.9888658630068,27.4369309288233,-11.85));
#68805=CARTESIAN_POINT('Ctrl Pts',(20.1483980798718,27.238937843142,-11.85));
#68806=CARTESIAN_POINT('',(22.2548435115811,26.7379613998512,-11.85));
#68807=CARTESIAN_POINT('Ctrl Pts',(20.1483980798718,27.238937843142,-11.85));
#68808=CARTESIAN_POINT('Ctrl Pts',(20.4098918624692,27.0941442678188,-11.85));
#68809=CARTESIAN_POINT('Ctrl Pts',(21.0593117852594,26.7345493605408,-11.85));
#68810=CARTESIAN_POINT('Ctrl Pts',(21.8077622292399,26.7366854329516,-11.85));
#68811=CARTESIAN_POINT('Ctrl Pts',(22.2548435115811,26.7379613998512,-11.85));
#68812=CARTESIAN_POINT('',(23.608359494209,26.7379613998512,-11.85));
#68813=CARTESIAN_POINT('',(23.7024217557905,26.7379613998512,-11.85));
#68814=CARTESIAN_POINT('',(25.0878522777554,26.8346407297234,-11.85));
#68815=CARTESIAN_POINT('Ctrl Pts',(23.608359494209,26.7379613998512,-11.85));
#68816=CARTESIAN_POINT('Ctrl Pts',(23.9001948312034,26.7381920997481,-11.85));
#68817=CARTESIAN_POINT('Ctrl Pts',(24.3956999088161,26.7385838034104,-11.85));
#68818=CARTESIAN_POINT('Ctrl Pts',(24.8862281777816,26.8066593311423,-11.85));
#68819=CARTESIAN_POINT('Ctrl Pts',(25.0878522777554,26.8346407297234,-11.85));
#68820=CARTESIAN_POINT('',(25.9608981990811,27.2477271440605,-11.85));
#68821=CARTESIAN_POINT('Ctrl Pts',(25.0878522777554,26.8346407297234,-11.85));
#68822=CARTESIAN_POINT('Ctrl Pts',(25.2431548638351,26.8768208540963,-11.85));
#68823=CARTESIAN_POINT('Ctrl Pts',(25.558659463085,26.9625117854099,-11.85));
#68824=CARTESIAN_POINT('Ctrl Pts',(25.8254376996909,27.1516761885978,-11.85));
#68825=CARTESIAN_POINT('Ctrl Pts',(25.9608981990811,27.2477271440605,-11.85));
#68826=CARTESIAN_POINT('',(26.5761325740811,28.129562664328,-11.85));
#68827=CARTESIAN_POINT('Ctrl Pts',(25.9608981990811,27.2477271440605,-11.85));
#68828=CARTESIAN_POINT('Ctrl Pts',(26.0882889996689,27.3674264464305,-11.85));
#68829=CARTESIAN_POINT('Ctrl Pts',(26.3548637939945,27.6179062044896,-11.85));
#68830=CARTESIAN_POINT('Ctrl Pts',(26.500232622169,27.9540534909052,-11.85));
#68831=CARTESIAN_POINT('Ctrl Pts',(26.5761325740811,28.129562664328,-11.85));
#68832=CARTESIAN_POINT('',(26.7899999999997,29.5094453576187,-11.85));
#68833=CARTESIAN_POINT('Ctrl Pts',(26.5761325740811,28.129562664328,-11.85));
#68834=CARTESIAN_POINT('Ctrl Pts',(26.6384155799729,28.3373632277185,-11.85));
#68835=CARTESIAN_POINT('Ctrl Pts',(26.7731950954459,28.7870406131903,-11.85));
#68836=CARTESIAN_POINT('Ctrl Pts',(26.7841223451977,29.256778304291,-11.85));
#68837=CARTESIAN_POINT('Ctrl Pts',(26.7899999999997,29.5094453576187,-11.85));
#68838=CARTESIAN_POINT('',(26.4706645393369,31.1207736316303,-11.85));
#68839=CARTESIAN_POINT('Ctrl Pts',(26.7899999999997,29.5094453576187,-11.85));
#68840=CARTESIAN_POINT('Ctrl Pts',(26.7792768511514,29.7992964545509,-11.85));
#68841=CARTESIAN_POINT('Ctrl Pts',(26.7587600391678,30.3538742696281,-11.85));
#68842=CARTESIAN_POINT('Ctrl Pts',(26.5637515089434,30.8729796270592,-11.85));
#68843=CARTESIAN_POINT('Ctrl Pts',(26.4706645393369,31.1207736316303,-11.85));
#68844=CARTESIAN_POINT('',(25.5185161018369,32.1110080960373,-11.85));
#68845=CARTESIAN_POINT('Ctrl Pts',(26.4706645393369,31.1207736316303,-11.85));
#68846=CARTESIAN_POINT('Ctrl Pts',(26.3540398460065,31.3349832598157,-11.85));
#68847=CARTESIAN_POINT('Ctrl Pts',(26.1267246113376,31.7525030020661,-11.85));
#68848=CARTESIAN_POINT('Ctrl Pts',(25.7176929619443,31.9936044131978,-11.85));
#68849=CARTESIAN_POINT('Ctrl Pts',(25.5185161018369,32.1110080960373,-11.85));
#68850=CARTESIAN_POINT('',(23.5614846134183,32.4039768832902,-11.85));
#68851=CARTESIAN_POINT('Ctrl Pts',(25.5185161018369,32.1110080960373,-11.85));
#68852=CARTESIAN_POINT('Ctrl Pts',(25.2519028067186,32.1959172586805,-11.85));
#68853=CARTESIAN_POINT('Ctrl Pts',(24.61695729828,32.3981303231928,-11.85));
#68854=CARTESIAN_POINT('Ctrl Pts',(23.9489791044079,32.4018304423056,-11.85));
#68855=CARTESIAN_POINT('Ctrl Pts',(23.5614846134183,32.4039768832902,-11.85));
#68856=CARTESIAN_POINT('',(23.0458591365811,32.4039768832902,-11.85));
#68857=CARTESIAN_POINT('',(24.3557423067091,32.4039768832902,-11.85));
#68858=CARTESIAN_POINT('',(23.0458591365811,30.036789405642,-11.85));
#68859=CARTESIAN_POINT('',(23.0458591365812,41.2019884416451,-11.85));
#68860=CARTESIAN_POINT('',(23.637656369209,30.0367894056419,-11.85));
#68861=CARTESIAN_POINT('',(24.0979295682905,30.0367894056419,-11.85));
#68862=CARTESIAN_POINT('',(24.9442968749997,29.9723362210373,-11.85));
#68863=CARTESIAN_POINT('Ctrl Pts',(23.637656369209,30.0367894056419,-11.85));
#68864=CARTESIAN_POINT('Ctrl Pts',(23.9001851652643,30.0370992179174,-11.85));
#68865=CARTESIAN_POINT('Ctrl Pts',(24.3369782240832,30.0376146808057,-11.85));
#68866=CARTESIAN_POINT('Ctrl Pts',(24.7710988242989,29.9909526458212,-11.85));
#68867=CARTESIAN_POINT('Ctrl Pts',(24.9442968749997,29.9723362210373,-11.85));
#68868=CARTESIAN_POINT('',(25.3310153865811,29.5270236614326,-11.85));
#68869=CARTESIAN_POINT('Ctrl Pts',(24.9442968749997,29.9723362210373,-11.85));
#68870=CARTESIAN_POINT('Ctrl Pts',(25.0570866019941,29.9383490823647,-11.85));
#68871=CARTESIAN_POINT('Ctrl Pts',(25.2803119053807,29.8710841856085,-11.85));
#68872=CARTESIAN_POINT('Ctrl Pts',(25.3142334726355,29.6409013276618,-11.85));
#68873=CARTESIAN_POINT('Ctrl Pts',(25.3310153865811,29.5270236614326,-11.85));
#68874=CARTESIAN_POINT('',(25.2196882152554,29.242843914328,-11.85));
#68875=CARTESIAN_POINT('Ctrl Pts',(25.3310153865811,29.5270236614326,-11.85));
#68876=CARTESIAN_POINT('Ctrl Pts',(25.3270558339241,29.4719169258911,-11.85));
#68877=CARTESIAN_POINT('Ctrl Pts',(25.3194024930584,29.3654022087926,-11.85));
#68878=CARTESIAN_POINT('Ctrl Pts',(25.2521478287112,29.2827398545261,-11.85));
#68879=CARTESIAN_POINT('Ctrl Pts',(25.2196882152554,29.242843914328,-11.85));
#68880=CARTESIAN_POINT('',(24.9794528865811,29.125656414328,-11.85));
#68881=CARTESIAN_POINT('Ctrl Pts',(25.2196882152554,29.242843914328,-11.85));
#68882=CARTESIAN_POINT('Ctrl Pts',(25.1830416147628,29.2109654515853,-11.85));
#68883=CARTESIAN_POINT('Ctrl Pts',(25.1132696504847,29.150271610033,-11.85));
#68884=CARTESIAN_POINT('Ctrl Pts',(25.022532032253,29.1335806950428,-11.85));
#68885=CARTESIAN_POINT('Ctrl Pts',(24.9794528865811,29.125656414328,-11.85));
#68886=CARTESIAN_POINT('',(23.8603124999997,29.1051485422234,-11.85));
#68887=CARTESIAN_POINT('Ctrl Pts',(24.9794528865811,29.125656414328,-11.85));
#68888=CARTESIAN_POINT('Ctrl Pts',(24.8647117691689,29.1222446663505,-11.85));
#68889=CARTESIAN_POINT('Ctrl Pts',(24.4917539512806,29.1111550229029,-11.85));
#68890=CARTESIAN_POINT('Ctrl Pts',(24.1186384040874,29.1076058239694,-11.85));
#68891=CARTESIAN_POINT('Ctrl Pts',(23.8603124999997,29.1051485422234,-11.85));
#68892=CARTESIAN_POINT('',(21.9794533634183,29.1051485422234,-11.85));
#68893=CARTESIAN_POINT('',(24.5051562499998,29.1051485422234,-11.85));
#68894=CARTESIAN_POINT('',(21.2528906249997,29.2164766076187,-11.85));
#68895=CARTESIAN_POINT('Ctrl Pts',(21.9794533634183,29.1051485422234,-11.85));
#68896=CARTESIAN_POINT('Ctrl Pts',(21.8282099279149,29.1041833619235,-11.85));
#68897=CARTESIAN_POINT('Ctrl Pts',(21.5791107981695,29.1025937023659,-11.85));
#68898=CARTESIAN_POINT('Ctrl Pts',(21.3448982851164,29.1843568906258,-11.85));
#68899=CARTESIAN_POINT('Ctrl Pts',(21.2528906249997,29.2164766076187,-11.85));
#68900=CARTESIAN_POINT('',(21.0595307731625,29.5856174114327,-11.85));
#68901=CARTESIAN_POINT('Ctrl Pts',(21.2528906249997,29.2164766076187,-11.85));
#68902=CARTESIAN_POINT('Ctrl Pts',(21.1965243865619,29.2614572818233,-11.85));
#68903=CARTESIAN_POINT('Ctrl Pts',(21.0785567630874,29.3555963158489,-11.85));
#68904=CARTESIAN_POINT('Ctrl Pts',(21.066057664082,29.5067083714313,-11.85));
#68905=CARTESIAN_POINT('Ctrl Pts',(21.0595307731625,29.5856174114327,-11.85));
#68906=CARTESIAN_POINT('',(21.1591402673718,29.8610078576187,-11.85));
#68907=CARTESIAN_POINT('Ctrl Pts',(21.0595307731625,29.5856174114327,-11.85));
#68908=CARTESIAN_POINT('Ctrl Pts',(21.0632343219726,29.6375988389188,-11.85));
#68909=CARTESIAN_POINT('Ctrl Pts',(21.070454559871,29.7389390107369,-11.85));
#68910=CARTESIAN_POINT('Ctrl Pts',(21.130092844376,29.8210263822501,-11.85));
#68911=CARTESIAN_POINT('Ctrl Pts',(21.1591402673718,29.8610078576187,-11.85));
#68912=CARTESIAN_POINT('',(21.3730076932904,30.004562664328,-11.85));
#68913=CARTESIAN_POINT('Ctrl Pts',(21.1591402673718,29.8610078576187,-11.85));
#68914=CARTESIAN_POINT('Ctrl Pts',(21.1916529334448,29.8944271958373,-11.85));
#68915=CARTESIAN_POINT('Ctrl Pts',(21.2529562983569,29.9574401115217,-11.85));
#68916=CARTESIAN_POINT('Ctrl Pts',(21.3346437499534,29.9895040559149,-11.85));
#68917=CARTESIAN_POINT('Ctrl Pts',(21.3730076932904,30.004562664328,-11.85));
#68918=CARTESIAN_POINT('',(21.9032810115811,30.036789405642,-11.85));
#68919=CARTESIAN_POINT('Ctrl Pts',(21.3730076932904,30.004562664328,-11.85));
#68920=CARTESIAN_POINT('Ctrl Pts',(21.4361513868675,30.0137966073097,-11.85));
#68921=CARTESIAN_POINT('Ctrl Pts',(21.6117835544571,30.0394805248857,-11.85));
#68922=CARTESIAN_POINT('Ctrl Pts',(21.7894741564107,30.0378400762757,-11.85));
#68923=CARTESIAN_POINT('Ctrl Pts',(21.9032810115811,30.036789405642,-11.85));
#68924=CARTESIAN_POINT('',(21.9032810115812,40.018394702821,-11.85));
#68925=CARTESIAN_POINT('',(19.4288859748839,54.8728219703698,-11.85));
#68926=CARTESIAN_POINT('',(20.5794749641417,55.0896189645791,-11.85));
#68927=CARTESIAN_POINT('Ctrl Pts',(19.4288859748839,54.8728219703698,-11.85));
#68928=CARTESIAN_POINT('Ctrl Pts',(19.661030139757,54.8740860045329,-11.85));
#68929=CARTESIAN_POINT('Ctrl Pts',(20.0593318466478,54.8762547730868,-11.85));
#68930=CARTESIAN_POINT('Ctrl Pts',(20.4263625790274,55.0268118271613,-11.85));
#68931=CARTESIAN_POINT('Ctrl Pts',(20.5794749641417,55.0896189645791,-11.85));
#68932=CARTESIAN_POINT('',(21.226523914337,55.8513380126023,-11.85));
#68933=CARTESIAN_POINT('Ctrl Pts',(20.5794749641417,55.0896189645791,-11.85));
#68934=CARTESIAN_POINT('Ctrl Pts',(20.6990527885076,55.1834544523136,-11.85));
#68935=CARTESIAN_POINT('Ctrl Pts',(20.9658001515259,55.3927772848994,-11.85));
#68936=CARTESIAN_POINT('Ctrl Pts',(21.1338227085304,55.6882952123017,-11.85));
#68937=CARTESIAN_POINT('Ctrl Pts',(21.226523914337,55.8513380126023,-11.85));
#68938=CARTESIAN_POINT('',(21.8215707921981,55.0486032203698,-11.85));
#68939=CARTESIAN_POINT('Ctrl Pts',(21.226523914337,55.8513380126023,-11.85));
#68940=CARTESIAN_POINT('Ctrl Pts',(21.2937620288275,55.6850919023751,-11.85));
#68941=CARTESIAN_POINT('Ctrl Pts',(21.4230098892291,55.3655268132911,-11.85));
#68942=CARTESIAN_POINT('Ctrl Pts',(21.6923489494713,55.1513565270183,-11.85));
#68943=CARTESIAN_POINT('Ctrl Pts',(21.8215707921981,55.0486032203698,-11.85));
#68944=CARTESIAN_POINT('',(23.7591473245619,54.7849313453698,-11.85));
#68945=CARTESIAN_POINT('Ctrl Pts',(21.8215707921981,55.0486032203698,-11.85));
#68946=CARTESIAN_POINT('Ctrl Pts',(22.0502930399321,54.9729532842436,-11.85));
#68947=CARTESIAN_POINT('Ctrl Pts',(22.6762195624926,54.7659279427192,-11.85));
#68948=CARTESIAN_POINT('Ctrl Pts',(23.3387284128053,54.7775537619872,-11.85));
#68949=CARTESIAN_POINT('Ctrl Pts',(23.7591473245619,54.7849313453698,-11.85));
#68950=CARTESIAN_POINT('',(25.5148992919921,55.0427440837884,-11.85));
#68951=CARTESIAN_POINT('Ctrl Pts',(23.7591473245619,54.7849313453698,-11.85));
#68952=CARTESIAN_POINT('Ctrl Pts',(24.0968261977591,54.7888564842589,-11.85));
#68953=CARTESIAN_POINT('Ctrl Pts',(24.6946630853373,54.7958056688335,-11.85));
#68954=CARTESIAN_POINT('Ctrl Pts',(25.2661903283846,54.9678683385335,-11.85));
#68955=CARTESIAN_POINT('Ctrl Pts',(25.5148992919921,55.0427440837884,-11.85));
#68956=CARTESIAN_POINT('',(26.4646218204497,55.9333692029977,-11.85));
#68957=CARTESIAN_POINT('Ctrl Pts',(25.5148992919921,55.0427440837884,-11.85));
#68958=CARTESIAN_POINT('Ctrl Pts',(25.7113046515349,55.1457766660072,-11.85));
#68959=CARTESIAN_POINT('Ctrl Pts',(26.1098064673058,55.354827331393,-11.85));
#68960=CARTESIAN_POINT('Ctrl Pts',(26.3452293266168,55.738694546939,-11.85));
#68961=CARTESIAN_POINT('Ctrl Pts',(26.4646218204497,55.9333692029977,-11.85));
#68962=CARTESIAN_POINT('',(26.7899999999999,57.5564161972069,-11.85));
#68963=CARTESIAN_POINT('Ctrl Pts',(26.4646218204497,55.9333692029977,-11.85));
#68964=CARTESIAN_POINT('Ctrl Pts',(26.5593422386958,56.1712694977965,-11.85));
#68965=CARTESIAN_POINT('Ctrl Pts',(26.766459838935,56.6914671391005,-11.85));
#68966=CARTESIAN_POINT('Ctrl Pts',(26.7817191694578,57.2521490956364,-11.85));
#68967=CARTESIAN_POINT('Ctrl Pts',(26.7899999999999,57.5564161972069,-11.85));
#68968=CARTESIAN_POINT('',(26.4120707893371,59.3230177001023,-11.85));
#68969=CARTESIAN_POINT('Ctrl Pts',(26.7899999999999,57.5564161972069,-11.85));
#68970=CARTESIAN_POINT('Ctrl Pts',(26.7822130995106,57.8965558353706,-11.85));
#68971=CARTESIAN_POINT('Ctrl Pts',(26.7681459971948,58.5110210000827,-11.85));
#68972=CARTESIAN_POINT('Ctrl Pts',(26.5219735142946,59.0723946631165,-11.85));
#68973=CARTESIAN_POINT('Ctrl Pts',(26.4120707893371,59.3230177001023,-11.85));
#68974=CARTESIAN_POINT('',(25.4862890624999,60.1667677522564,-11.85));
#68975=CARTESIAN_POINT('Ctrl Pts',(26.4120707893371,59.3230177001023,-11.85));
#68976=CARTESIAN_POINT('Ctrl Pts',(26.2858251296289,59.51586598536,-11.85));
#68977=CARTESIAN_POINT('Ctrl Pts',(26.0489648775083,59.8776851051043,-11.85));
#68978=CARTESIAN_POINT('Ctrl Pts',(25.665410391473,60.0747416396,-11.85));
#68979=CARTESIAN_POINT('Ctrl Pts',(25.4862890624999,60.1667677522564,-11.85));
#68980=CARTESIAN_POINT('',(23.5849223518371,60.368916197207,-11.85));
#68981=CARTESIAN_POINT('Ctrl Pts',(25.4862890624999,60.1667677522564,-11.85));
#68982=CARTESIAN_POINT('Ctrl Pts',(25.2374933831173,60.2251521049163,-11.85));
#68983=CARTESIAN_POINT('Ctrl Pts',(24.6133794198605,60.3716116006005,-11.85));
#68984=CARTESIAN_POINT('Ctrl Pts',(23.9711403700338,60.3699284060675,-11.85));
#68985=CARTESIAN_POINT('Ctrl Pts',(23.5849223518371,60.368916197207,-11.85));
#68986=CARTESIAN_POINT('',(22.8349218749999,60.368916197207,-11.85));
#68987=CARTESIAN_POINT('',(24.3674611759186,60.3689161972069,-11.85));
#68988=CARTESIAN_POINT('',(22.8349218749999,58.0017284587884,-11.85));
#68989=CARTESIAN_POINT('',(22.8349218749999,55.1844580986035,-11.85));
#68990=CARTESIAN_POINT('',(24.3759379768371,58.0017284587884,-11.85));
#68991=CARTESIAN_POINT('',(23.9924609375,58.0017284587884,-11.85));
#68992=CARTESIAN_POINT('',(25.1581643009185,57.9284862712884,-11.85));
#68993=CARTESIAN_POINT('Ctrl Pts',(24.3759379768371,58.0017284587884,-11.85));
#68994=CARTESIAN_POINT('Ctrl Pts',(24.5446369623672,58.0040324297606,-11.85));
#68995=CARTESIAN_POINT('Ctrl Pts',(24.8086260866922,58.0076378057948,-11.85));
#68996=CARTESIAN_POINT('Ctrl Pts',(25.0654577398482,57.9494793022901,-11.85));
#68997=CARTESIAN_POINT('Ctrl Pts',(25.1581643009185,57.9284862712884,-11.85));
#68998=CARTESIAN_POINT('',(25.3251562499999,57.6032910779977,-11.85));
#68999=CARTESIAN_POINT('Ctrl Pts',(25.1581643009185,57.9284862712884,-11.85));
#69000=CARTESIAN_POINT('Ctrl Pts',(25.2067373755395,57.892971430528,-11.85));
#69001=CARTESIAN_POINT('Ctrl Pts',(25.3150132559543,57.8138041000446,-11.85));
#69002=CARTESIAN_POINT('Ctrl Pts',(25.3215512378561,57.6781113921459,-11.85));
#69003=CARTESIAN_POINT('Ctrl Pts',(25.3251562499999,57.6032910779977,-11.85));
#69004=CARTESIAN_POINT('',(25.1142189884185,57.2400097087884,-11.85));
#69005=CARTESIAN_POINT('Ctrl Pts',(25.3251562499999,57.6032910779977,-11.85));
#69006=CARTESIAN_POINT('Ctrl Pts',(25.3186935675456,57.5203649617491,-11.85));
#69007=CARTESIAN_POINT('Ctrl Pts',(25.306503974112,57.3639538044253,-11.85));
#69008=CARTESIAN_POINT('Ctrl Pts',(25.1756818145558,57.2796277495491,-11.85));
#69009=CARTESIAN_POINT('Ctrl Pts',(25.1142189884185,57.2400097087884,-11.85));
#69010=CARTESIAN_POINT('',(24.0126566076278,57.1521190837884,-11.85));
#69011=CARTESIAN_POINT('Ctrl Pts',(25.1142189884185,57.2400097087884,-11.85));
#69012=CARTESIAN_POINT('Ctrl Pts',(24.9875847680122,57.2148880884178,-11.85));
#69013=CARTESIAN_POINT('Ctrl Pts',(24.6247204366412,57.1429032809188,-11.85));
#69014=CARTESIAN_POINT('Ctrl Pts',(24.2540007030289,57.1484851823854,-11.85));
#69015=CARTESIAN_POINT('Ctrl Pts',(24.0126566076278,57.1521190837884,-11.85));
#69016=CARTESIAN_POINT('',(23.3564064884185,57.1521190837884,-11.85));
#69017=CARTESIAN_POINT('',(24.5813283038139,57.1521190837884,-11.85));
#69018=CARTESIAN_POINT('',(22.559531726837,57.275166018393,-11.85));
#69019=CARTESIAN_POINT('Ctrl Pts',(23.3564064884185,57.1521190837884,-11.85));
#69020=CARTESIAN_POINT('Ctrl Pts',(23.1975325073183,57.1528225320722,-11.85));
#69021=CARTESIAN_POINT('Ctrl Pts',(22.9250404797492,57.1540290483803,-11.85));
#69022=CARTESIAN_POINT('Ctrl Pts',(22.6670875593976,57.2395198469682,-11.85));
#69023=CARTESIAN_POINT('Ctrl Pts',(22.559531726837,57.275166018393,-11.85));
#69024=CARTESIAN_POINT('',(22.2284768009185,57.6384473876023,-11.85));
#69025=CARTESIAN_POINT('Ctrl Pts',(22.559531726837,57.275166018393,-11.85));
#69026=CARTESIAN_POINT('Ctrl Pts',(22.4831677813986,57.3198406827195,-11.85));
#69027=CARTESIAN_POINT('Ctrl Pts',(22.334749908218,57.4066685518968,-11.85));
#69028=CARTESIAN_POINT('Ctrl Pts',(22.2632086567034,57.5626981289208,-11.85));
#69029=CARTESIAN_POINT('Ctrl Pts',(22.2284768009185,57.6384473876023,-11.85));
#69030=CARTESIAN_POINT('',(22.1376566076278,58.5700879529977,-11.85));
#69031=CARTESIAN_POINT('Ctrl Pts',(22.2284768009185,57.6384473876023,-11.85));
#69032=CARTESIAN_POINT('Ctrl Pts',(22.2048849246862,57.755803891001,-11.85));
#69033=CARTESIAN_POINT('Ctrl Pts',(22.1431670546251,58.0628160741894,-11.85));
#69034=CARTESIAN_POINT('Ctrl Pts',(22.139760805723,58.37638306451,-11.85));
#69035=CARTESIAN_POINT('Ctrl Pts',(22.1376566076278,58.5700879529977,-11.85));
#69036=CARTESIAN_POINT('',(20.7607034826278,58.5700879529977,-11.85));
#69037=CARTESIAN_POINT('',(23.6438283038139,58.5700879529977,-11.85));
#69038=CARTESIAN_POINT('',(20.6962505960463,57.527119322207,-11.85));
#69039=CARTESIAN_POINT('Ctrl Pts',(20.7607034826278,58.5700879529977,-11.85));
#69040=CARTESIAN_POINT('Ctrl Pts',(20.7634529879237,58.3419103283294,-11.85));
#69041=CARTESIAN_POINT('Ctrl Pts',(20.7676665420143,57.9922333281647,-11.85));
#69042=CARTESIAN_POINT('Ctrl Pts',(20.7146661900443,57.6470554314383,-11.85));
#69043=CARTESIAN_POINT('Ctrl Pts',(20.6962505960463,57.527119322207,-11.85));
#69044=CARTESIAN_POINT('',(20.4150001192092,57.2400097087884,-11.85));
#69045=CARTESIAN_POINT('Ctrl Pts',(20.6962505960463,57.527119322207,-11.85));
#69046=CARTESIAN_POINT('Ctrl Pts',(20.6673224806474,57.4649216965367,-11.85));
#69047=CARTESIAN_POINT('Ctrl Pts',(20.6075561419217,57.3364195693682,-11.85));
#69048=CARTESIAN_POINT('Ctrl Pts',(20.4805386031089,57.2728238270194,-11.85));
#69049=CARTESIAN_POINT('Ctrl Pts',(20.4150001192092,57.2400097087884,-11.85));
#69050=CARTESIAN_POINT('',(19.7353128576278,57.1521190837884,-11.85));
#69051=CARTESIAN_POINT('Ctrl Pts',(20.4150001192092,57.2400097087884,-11.85));
#69052=CARTESIAN_POINT('Ctrl Pts',(20.3227307458523,57.2145529735883,-11.85));
#69053=CARTESIAN_POINT('Ctrl Pts',(20.1007869617216,57.1533196094028,-11.85));
#69054=CARTESIAN_POINT('Ctrl Pts',(19.8700972339435,57.1525618295107,-11.85));
#69055=CARTESIAN_POINT('Ctrl Pts',(19.7353128576278,57.1521190837884,-11.85));
#69056=CARTESIAN_POINT('',(19.2079691076278,57.1521190837884,-11.85));
#69057=CARTESIAN_POINT('',(22.4426564288139,57.1521190837884,-11.85));
#69058=CARTESIAN_POINT('',(18.5517189884185,57.2546581462884,-11.85));
#69059=CARTESIAN_POINT('Ctrl Pts',(19.2079691076278,57.1521190837884,-11.85));
#69060=CARTESIAN_POINT('Ctrl Pts',(19.0683690121243,57.1504375390936,-11.85));
#69061=CARTESIAN_POINT('Ctrl Pts',(18.8427466713821,57.147719818531,-11.85));
#69062=CARTESIAN_POINT('Ctrl Pts',(18.6320504167228,57.2251403061924,-11.85));
#69063=CARTESIAN_POINT('Ctrl Pts',(18.5517189884185,57.2546581462884,-11.85));
#69064=CARTESIAN_POINT('',(18.3935157442092,57.5739942029977,-11.85));
#69065=CARTESIAN_POINT('Ctrl Pts',(18.5517189884185,57.2546581462884,-11.85));
#69066=CARTESIAN_POINT('Ctrl Pts',(18.5055986622977,57.2950110880347,-11.85));
#69067=CARTESIAN_POINT('Ctrl Pts',(18.4093101227841,57.3792586671964,-11.85));
#69068=CARTESIAN_POINT('Ctrl Pts',(18.3989260495657,57.5072882729441,-11.85));
#69069=CARTESIAN_POINT('Ctrl Pts',(18.3935157442092,57.5739942029977,-11.85));
#69070=CARTESIAN_POINT('',(18.560508289337,57.9109082654977,-11.85));
#69071=CARTESIAN_POINT('Ctrl Pts',(18.3935157442092,57.5739942029977,-11.85));
#69072=CARTESIAN_POINT('Ctrl Pts',(18.3979707441777,57.6480532183829,-11.85));
#69073=CARTESIAN_POINT('Ctrl Pts',(18.4062237430481,57.7852493967507,-11.85));
#69074=CARTESIAN_POINT('Ctrl Pts',(18.5118838892293,57.8713055492308,-11.85));
#69075=CARTESIAN_POINT('Ctrl Pts',(18.560508289337,57.9109082654977,-11.85));
#69076=CARTESIAN_POINT('',(19.2724219942092,58.0017284587884,-11.85));
#69077=CARTESIAN_POINT('Ctrl Pts',(18.560508289337,57.9109082654977,-11.85));
#69078=CARTESIAN_POINT('Ctrl Pts',(18.64714287328,57.9370170870384,-11.85));
#69079=CARTESIAN_POINT('Ctrl Pts',(18.8783854267929,58.0067060067228,-11.85));
#69080=CARTESIAN_POINT('Ctrl Pts',(19.1208169692035,58.0036435634804,-11.85));
#69081=CARTESIAN_POINT('Ctrl Pts',(19.2724219942092,58.0017284587884,-11.85));
#69082=CARTESIAN_POINT('',(20.0517193460463,58.0017284587884,-11.85));
#69083=CARTESIAN_POINT('',(22.2112109971046,58.0017284587884,-11.85));
#69084=CARTESIAN_POINT('',(20.0517193460464,60.368916197207,-11.85));
#69085=CARTESIAN_POINT('',(20.0517193460463,54.0008642293942,-11.85));
#69086=CARTESIAN_POINT('',(19.2431251192092,60.368916197207,-11.85));
#69087=CARTESIAN_POINT('',(22.6008596730232,60.368916197207,-11.85));
#69088=CARTESIAN_POINT('',(17.4062112951278,59.7478223876024,-11.85));
#69089=CARTESIAN_POINT('Ctrl Pts',(19.2431251192092,60.368916197207,-11.85));
#69090=CARTESIAN_POINT('Ctrl Pts',(18.8458739548534,60.3636686161623,-11.85));
#69091=CARTESIAN_POINT('Ctrl Pts',(18.1586086423688,60.3545900262171,-11.85));
#69092=CARTESIAN_POINT('Ctrl Pts',(17.6294901877154,59.9278847002949,-11.85));
#69093=CARTESIAN_POINT('Ctrl Pts',(17.4062112951278,59.7478223876024,-11.85));
#69094=CARTESIAN_POINT('',(16.9286719942092,57.7732128933931,-11.85));
#69095=CARTESIAN_POINT('Ctrl Pts',(17.4062112951278,59.7478223876024,-11.85));
#69096=CARTESIAN_POINT('Ctrl Pts',(17.2676501003928,59.4848638162711,-11.85));
#69097=CARTESIAN_POINT('Ctrl Pts',(16.9439177232041,58.8704912023368,-11.85));
#69098=CARTESIAN_POINT('Ctrl Pts',(16.9342193510941,58.1724718848033,-11.85));
#69099=CARTESIAN_POINT('Ctrl Pts',(16.9286719942092,57.7732128933931,-11.85));
#69100=CARTESIAN_POINT('',(17.590323486328,55.476338072207,-11.85));
#69101=CARTESIAN_POINT('Ctrl Pts',(16.9286719942092,57.7732128933931,-11.85));
#69102=CARTESIAN_POINT('Ctrl Pts',(16.9310176968346,57.2823394795535,-11.85));
#69103=CARTESIAN_POINT('Ctrl Pts',(16.935014286665,56.4459931872125,-11.85));
#69104=CARTESIAN_POINT('Ctrl Pts',(17.3987615612031,55.7597905258475,-11.85));
#69105=CARTESIAN_POINT('Ctrl Pts',(17.590323486328,55.476338072207,-11.85));
#69106=CARTESIAN_POINT('Ctrl Pts',(17.590323486328,55.476338072207,-11.85));
#69107=CARTESIAN_POINT('Ctrl Pts',(17.8452475775171,55.3005766582251,-11.85));
#69108=CARTESIAN_POINT('Ctrl Pts',(18.3965187328323,54.9204941117162,-11.85));
#69109=CARTESIAN_POINT('Ctrl Pts',(19.0679463510476,54.8894892609077,-11.85));
#69110=CARTESIAN_POINT('Ctrl Pts',(19.4288859748839,54.8728219703698,-11.85));
#69111=CARTESIAN_POINT('',(18.8227144861221,85.9673501377232,-11.85));
#69112=CARTESIAN_POINT('',(17.3036716365814,83.3599281463079,-11.85));
#69113=CARTESIAN_POINT('Ctrl Pts',(18.8227144861221,85.9673501377232,-11.85));
#69114=CARTESIAN_POINT('Ctrl Pts',(18.6778090739062,85.4381823253429,-11.85));
#69115=CARTESIAN_POINT('Ctrl Pts',(18.4022019441768,84.4317159712914,-11.85));
#69116=CARTESIAN_POINT('Ctrl Pts',(17.6570476433251,83.7047016123201,-11.85));
#69117=CARTESIAN_POINT('Ctrl Pts',(17.3036716365814,83.3599281463079,-11.85));
#69118=CARTESIAN_POINT('',(17.3036716365814,81.9653967174939,-11.85));
#69119=CARTESIAN_POINT('',(17.3036716365814,66.6799640731539,-11.85));
#69120=CARTESIAN_POINT('',(26.79,81.9653967174939,-11.85));
#69121=CARTESIAN_POINT('',(21.2268358182908,81.9653967174939,-11.85));
#69122=CARTESIAN_POINT('',(26.79,84.3325844559125,-11.85));
#69123=CARTESIAN_POINT('',(26.7899999999999,65.9826983587469,-11.85));
#69124=CARTESIAN_POINT('',(21.7040620231629,84.3325844559125,-11.85));
#69125=CARTESIAN_POINT('',(25.9700000000001,84.3325844559125,-11.85));
#69126=CARTESIAN_POINT('',(20.3798435115814,84.3853189203195,-11.85));
#69127=CARTESIAN_POINT('Ctrl Pts',(21.7040620231629,84.3325844559125,-11.85));
#69128=CARTESIAN_POINT('Ctrl Pts',(21.40973930399,84.3297350302927,-11.85));
#69129=CARTESIAN_POINT('Ctrl Pts',(20.9671939795879,84.3254506176289,-11.85));
#69130=CARTESIAN_POINT('Ctrl Pts',(20.5272086818689,84.3702980714372,-11.85));
#69131=CARTESIAN_POINT('Ctrl Pts',(20.3798435115814,84.3853189203195,-11.85));
#69132=CARTESIAN_POINT('',(20.0429294490814,84.6753579530171,-11.85));
#69133=CARTESIAN_POINT('Ctrl Pts',(20.3798435115814,84.3853189203195,-11.85));
#69134=CARTESIAN_POINT('Ctrl Pts',(20.3094404680143,84.4120974096008,-11.85));
#69135=CARTESIAN_POINT('Ctrl Pts',(20.1626531921957,84.4679293920404,-11.85));
#69136=CARTESIAN_POINT('Ctrl Pts',(20.0839069299397,84.6043620113118,-11.85));
#69137=CARTESIAN_POINT('Ctrl Pts',(20.0429294490814,84.6753579530171,-11.85));
#69138=CARTESIAN_POINT('',(19.9286715173721,85.7329751330666,-11.85));
#69139=CARTESIAN_POINT('Ctrl Pts',(20.0429294490814,84.6753579530171,-11.85));
#69140=CARTESIAN_POINT('Ctrl Pts',(20.0101317348179,84.8024848546427,-11.85));
#69141=CARTESIAN_POINT('Ctrl Pts',(19.9209200727264,85.1482773113804,-11.85));
#69142=CARTESIAN_POINT('Ctrl Pts',(19.9256686829526,85.5064688720732,-11.85));
#69143=CARTESIAN_POINT('Ctrl Pts',(19.9286715173721,85.7329751330666,-11.85));
#69144=CARTESIAN_POINT('',(19.9286715173721,85.9673501377232,-11.85));
#69145=CARTESIAN_POINT('',(19.9286715173722,67.8664875665333,-11.85));
#69146=CARTESIAN_POINT('',(22.5393357586861,85.9673501377232,-11.85));
#69147=CARTESIAN_POINT('',(21.3173435115814,68.3327273297275,-11.85));
#69148=CARTESIAN_POINT('',(25.1728127384186,70.7322791981662,-11.85));
#69149=CARTESIAN_POINT('Ctrl Pts',(21.3173435115814,68.3327273297275,-11.85));
#69150=CARTESIAN_POINT('Ctrl Pts',(21.7932549879979,68.5952308630567,-11.85));
#69151=CARTESIAN_POINT('Ctrl Pts',(23.119931826059,69.3270000571922,-11.85));
#69152=CARTESIAN_POINT('Ctrl Pts',(24.3707156852555,70.1832116652856,-11.85));
#69153=CARTESIAN_POINT('Ctrl Pts',(25.1728127384186,70.7322791981662,-11.85));
#69154=CARTESIAN_POINT('',(25.1728127384185,68.1363926100696,-11.85));
#69155=CARTESIAN_POINT('',(25.1728127384185,60.3661395990831,-11.85));
#69156=CARTESIAN_POINT('',(26.79,68.1363926100696,-11.85));
#69157=CARTESIAN_POINT('',(25.1614063692093,68.1363926100695,-11.85));
#69158=CARTESIAN_POINT('',(26.79,73.3453774470056,-11.85));
#69159=CARTESIAN_POINT('',(26.79,59.0681963050348,-11.85));
#69160=CARTESIAN_POINT('',(25.4364846134186,73.3439125883544,-11.85));
#69161=CARTESIAN_POINT('',(25.9573680645185,73.3444763208669,-11.85));
#69162=CARTESIAN_POINT('',(20.7519135856628,70.5929360556567,-11.85));
#69163=CARTESIAN_POINT('Ctrl Pts',(25.4364846134186,73.3439125883544,-11.85));
#69164=CARTESIAN_POINT('Ctrl Pts',(24.4216115140812,72.7179515380036,-11.85));
#69165=CARTESIAN_POINT('Ctrl Pts',(22.8798058835905,71.7669850846324,-11.85));
#69166=CARTESIAN_POINT('Ctrl Pts',(21.2939133879373,70.8919804867048,-11.85));
#69167=CARTESIAN_POINT('Ctrl Pts',(20.7519135856628,70.5929360556567,-11.85));
#69168=CARTESIAN_POINT('',(19.34859375,70.1564124989474,-11.85));
#69169=CARTESIAN_POINT('Ctrl Pts',(20.7519135856628,70.5929360556567,-11.85));
#69170=CARTESIAN_POINT('Ctrl Pts',(20.4899973102887,70.4668959636135,-11.85));
#69171=CARTESIAN_POINT('Ctrl Pts',(20.0425729579768,70.2515851492427,-11.85));
#69172=CARTESIAN_POINT('Ctrl Pts',(19.5519942116562,70.1843069384127,-11.85));
#69173=CARTESIAN_POINT('Ctrl Pts',(19.34859375,70.1564124989474,-11.85));
#69174=CARTESIAN_POINT('',(18.7714449548721,70.2884317207301,-11.85));
#69175=CARTESIAN_POINT('Ctrl Pts',(19.34859375,70.1564124989474,-11.85));
#69176=CARTESIAN_POINT('Ctrl Pts',(19.2337651976659,70.1582856344449,-11.85));
#69177=CARTESIAN_POINT('Ctrl Pts',(19.0312174383088,70.1615896854869,-11.85));
#69178=CARTESIAN_POINT('Ctrl Pts',(18.8499486734924,70.2500998261729,-11.85));
#69179=CARTESIAN_POINT('Ctrl Pts',(18.7714449548721,70.2884317207301,-11.85));
#69180=CARTESIAN_POINT('',(18.5810152673721,70.6903482246364,-11.85));
#69181=CARTESIAN_POINT('Ctrl Pts',(18.7714449548721,70.2884317207301,-11.85));
#69182=CARTESIAN_POINT('Ctrl Pts',(18.7159341766213,70.3400683549957,-11.85));
#69183=CARTESIAN_POINT('Ctrl Pts',(18.5996392517615,70.4482469590643,-11.85));
#69184=CARTESIAN_POINT('Ctrl Pts',(18.5874081346273,70.6072445653575,-11.85));
#69185=CARTESIAN_POINT('Ctrl Pts',(18.5810152673721,70.6903482246364,-11.85));
#69186=CARTESIAN_POINT('',(18.7919525289535,71.0922644305194,-11.85));
#69187=CARTESIAN_POINT('Ctrl Pts',(18.5810152673721,70.6903482246364,-11.85));
#69188=CARTESIAN_POINT('Ctrl Pts',(18.5889060639206,70.7739927512414,-11.85));
#69189=CARTESIAN_POINT('Ctrl Pts',(18.6043084144456,70.9372617330678,-11.85));
#69190=CARTESIAN_POINT('Ctrl Pts',(18.7304397084558,71.0414520022692,-11.85));
#69191=CARTESIAN_POINT('Ctrl Pts',(18.7919525289535,71.0922644305194,-11.85));
#69192=CARTESIAN_POINT('',(19.6298436307907,71.2242836523021,-11.85));
#69193=CARTESIAN_POINT('Ctrl Pts',(18.7919525289535,71.0922644305194,-11.85));
#69194=CARTESIAN_POINT('Ctrl Pts',(18.8959963786533,71.1303081444606,-11.85));
#69195=CARTESIAN_POINT('Ctrl Pts',(19.1651759953812,71.2287338790635,-11.85));
#69196=CARTESIAN_POINT('Ctrl Pts',(19.4531673046543,71.2259757213312,-11.85));
#69197=CARTESIAN_POINT('Ctrl Pts',(19.6298436307907,71.2242836523021,-11.85));
#69198=CARTESIAN_POINT('',(20.5321870231628,71.2242836523021,-11.85));
#69199=CARTESIAN_POINT('',(22.3899218153954,71.2242836523021,-11.85));
#69200=CARTESIAN_POINT('',(20.5321870231628,73.3453774470056,-11.85));
#69201=CARTESIAN_POINT('',(20.5321870231628,60.612141826151,-11.85));
#69202=CARTESIAN_POINT('',(20.1864842557907,73.3453774470056,-11.85));
#69203=CARTESIAN_POINT('',(22.8410935115814,73.3453774470056,-11.85));
#69204=CARTESIAN_POINT('',(18.9296481990814,73.2633461821044,-11.85));
#69205=CARTESIAN_POINT('Ctrl Pts',(20.1864842557907,73.3453774470056,-11.85));
#69206=CARTESIAN_POINT('Ctrl Pts',(19.9485378208637,73.3441578620078,-11.85));
#69207=CARTESIAN_POINT('Ctrl Pts',(19.5278094321295,73.3420014353684,-11.85));
#69208=CARTESIAN_POINT('Ctrl Pts',(19.1108100966685,73.287168078525,-11.85));
#69209=CARTESIAN_POINT('Ctrl Pts',(18.9296481990814,73.2633461821044,-11.85));
#69210=CARTESIAN_POINT('',(18.0243746423721,72.8590492922032,-11.85));
#69211=CARTESIAN_POINT('Ctrl Pts',(18.9296481990814,73.2633461821044,-11.85));
#69212=CARTESIAN_POINT('Ctrl Pts',(18.7740671643558,73.2238794477984,-11.85));
#69213=CARTESIAN_POINT('Ctrl Pts',(18.4492248656319,73.1414756720574,-11.85));
#69214=CARTESIAN_POINT('Ctrl Pts',(18.1699123215637,72.9557979288194,-11.85));
#69215=CARTESIAN_POINT('Ctrl Pts',(18.0243746423721,72.8590492922032,-11.85));
#69216=CARTESIAN_POINT('',(17.3505465173721,72.0211587119067,-11.85));
#69217=CARTESIAN_POINT('Ctrl Pts',(18.0243746423721,72.8590492922032,-11.85));
#69218=CARTESIAN_POINT('Ctrl Pts',(17.8847169794794,72.7429963694284,-11.85));
#69219=CARTESIAN_POINT('Ctrl Pts',(17.6034987707636,72.5093092635224,-11.85));
#69220=CARTESIAN_POINT('Ctrl Pts',(17.435243439043,72.1846079339613,-11.85));
#69221=CARTESIAN_POINT('Ctrl Pts',(17.3505465173721,72.0211587119067,-11.85));
#69222=CARTESIAN_POINT('',(17.1220306539535,70.7848305273021,-11.85));
#69223=CARTESIAN_POINT('Ctrl Pts',(17.3505465173721,72.0211587119067,-11.85));
#69224=CARTESIAN_POINT('Ctrl Pts',(17.2839671465897,71.8340050484409,-11.85));
#69225=CARTESIAN_POINT('Ctrl Pts',(17.1418562491895,71.4345333388961,-11.85));
#69226=CARTESIAN_POINT('Ctrl Pts',(17.128911010488,71.0103060770667,-11.85));
#69227=CARTESIAN_POINT('Ctrl Pts',(17.1220306539535,70.7848305273021,-11.85));
#69228=CARTESIAN_POINT('',(17.8222260856628,68.6490879225696,-11.85));
#69229=CARTESIAN_POINT('Ctrl Pts',(17.1220306539535,70.7848305273021,-11.85));
#69230=CARTESIAN_POINT('Ctrl Pts',(17.1386213044517,70.3569515511398,-11.85));
#69231=CARTESIAN_POINT('Ctrl Pts',(17.168968204702,69.5742938361662,-11.85));
#69232=CARTESIAN_POINT('Ctrl Pts',(17.6184678832994,68.9376696592233,-11.85));
#69233=CARTESIAN_POINT('Ctrl Pts',(17.8222260856628,68.6490879225696,-11.85));
#69234=CARTESIAN_POINT('',(19.5946870231628,67.9254553484881,-11.85));
#69235=CARTESIAN_POINT('Ctrl Pts',(17.8222260856628,68.6490879225696,-11.85));
#69236=CARTESIAN_POINT('Ctrl Pts',(18.0741960714062,68.4380472059481,-11.85));
#69237=CARTESIAN_POINT('Ctrl Pts',(18.5872348756937,68.0083449277501,-11.85));
#69238=CARTESIAN_POINT('Ctrl Pts',(19.254922455392,67.9534099686072,-11.85));
#69239=CARTESIAN_POINT('Ctrl Pts',(19.5946870231628,67.9254553484881,-11.85));
#69240=CARTESIAN_POINT('Ctrl Pts',(19.5946870231628,67.9254553484881,-11.85));
#69241=CARTESIAN_POINT('Ctrl Pts',(19.8771487864338,67.9456730363702,-11.85));
#69242=CARTESIAN_POINT('Ctrl Pts',(20.4728445387684,67.9883109872699,-11.85));
#69243=CARTESIAN_POINT('Ctrl Pts',(21.0263135562766,68.2140350921066,-11.85));
#69244=CARTESIAN_POINT('Ctrl Pts',(21.3173435115814,68.3327273297275,-11.85));
#69245=CARTESIAN_POINT('',(23.5028908634184,45.4837433767873,-11.85));
#69246=CARTESIAN_POINT('',(17.3036716365812,43.4388214449766,-11.85));
#69247=CARTESIAN_POINT('',(24.9174111937335,45.9503478862602,-11.85));
#69248=CARTESIAN_POINT('',(17.3036716365812,40.3040555219534,-11.85));
#69249=CARTESIAN_POINT('',(17.3036716365812,46.7194107224883,-11.85));
#69250=CARTESIAN_POINT('',(23.5028908634183,40.3040555219534,-11.85));
#69251=CARTESIAN_POINT('',(21.2268358182906,40.3040555219534,-11.85));
#69252=CARTESIAN_POINT('',(23.5028908634183,39.6302273969534,-11.85));
#69253=CARTESIAN_POINT('',(23.5028908634184,45.1520277609767,-11.85));
#69254=CARTESIAN_POINT('',(25.1200781249998,39.6302273969534,-11.85));
#69255=CARTESIAN_POINT('',(24.3264454317091,39.6302273969534,-11.85));
#69256=CARTESIAN_POINT('',(25.1200781249998,40.3040555219534,-11.85));
#69257=CARTESIAN_POINT('',(25.1200781249998,44.8151136984767,-11.85));
#69258=CARTESIAN_POINT('',(26.7899999999998,40.3040555219534,-11.85));
#69259=CARTESIAN_POINT('',(25.1350390624999,40.3040555219534,-11.85));
#69260=CARTESIAN_POINT('',(26.7899999999998,42.6712432603719,-11.85));
#69261=CARTESIAN_POINT('',(26.7899999999998,45.1520277609767,-11.85));
#69262=CARTESIAN_POINT('',(25.1200781249998,42.6712432603719,-11.85));
#69263=CARTESIAN_POINT('',(25.9699999999999,42.6712432603719,-11.85));
#69264=CARTESIAN_POINT('',(25.1200781249998,45.4837433767873,-11.85));
#69265=CARTESIAN_POINT('',(25.1200781249998,46.335621630186,-11.85));
#69266=CARTESIAN_POINT('',(25.1350390624999,45.4837433767873,-11.85));
#69267=CARTESIAN_POINT('',(17.2567967557903,14.9178658849001,-11.85));
#69268=CARTESIAN_POINT('',(18.1649998807903,14.0038030272723,-11.85));
#69269=CARTESIAN_POINT('Ctrl Pts',(17.2567967557903,14.9178658849001,-11.85));
#69270=CARTESIAN_POINT('Ctrl Pts',(17.3724294495198,14.7214469273766,-11.85));
#69271=CARTESIAN_POINT('Ctrl Pts',(17.5975966278673,14.3389677079356,-11.85));
#69272=CARTESIAN_POINT('Ctrl Pts',(17.9793114610531,14.1134890390875,-11.85));
#69273=CARTESIAN_POINT('Ctrl Pts',(18.1649998807903,14.0038030272723,-11.85));
#69274=CARTESIAN_POINT('',(19.6415624999996,13.7284128791094,-11.85));
#69275=CARTESIAN_POINT('Ctrl Pts',(18.1649998807903,14.0038030272723,-11.85));
#69276=CARTESIAN_POINT('Ctrl Pts',(18.3834841890894,13.923623260263,-11.85));
#69277=CARTESIAN_POINT('Ctrl Pts',(18.8594451452301,13.7489542468866,-11.85));
#69278=CARTESIAN_POINT('Ctrl Pts',(19.3669966015082,13.7356240208461,-11.85));
#69279=CARTESIAN_POINT('Ctrl Pts',(19.6415624999996,13.7284128791094,-11.85));
#69280=CARTESIAN_POINT('',(19.6415624999996,16.0956003195048,-11.85));
#69281=CARTESIAN_POINT('',(19.6415624999997,31.8642064395547,-11.85));
#69282=CARTESIAN_POINT('',(18.8066009664531,16.1102487570048,-11.85));
#69283=CARTESIAN_POINT('Ctrl Pts',(19.6415624999996,16.0956003195048,-11.85));
#69284=CARTESIAN_POINT('Ctrl Pts',(19.4615918799187,16.0950526354859,-11.85));
#69285=CARTESIAN_POINT('Ctrl Pts',(19.1831453195327,16.094205270893,-11.85));
#69286=CARTESIAN_POINT('Ctrl Pts',(18.9049781107417,16.1060571861176,-11.85));
#69287=CARTESIAN_POINT('Ctrl Pts',(18.8066009664531,16.1102487570048,-11.85));
#69288=CARTESIAN_POINT('',(18.5165623807903,16.2508736974001,-11.85));
#69289=CARTESIAN_POINT('Ctrl Pts',(18.8066009664531,16.1102487570048,-11.85));
#69290=CARTESIAN_POINT('Ctrl Pts',(18.7513478018703,16.1197224608558,-11.85));
#69291=CARTESIAN_POINT('Ctrl Pts',(18.6410916773524,16.1386269684858,-11.85));
#69292=CARTESIAN_POINT('Ctrl Pts',(18.5580092999247,16.2135147688199,-11.85));
#69293=CARTESIAN_POINT('Ctrl Pts',(18.5165623807903,16.2508736974001,-11.85));
#69294=CARTESIAN_POINT('',(18.3993748807903,16.5936471945048,-11.85));
#69295=CARTESIAN_POINT('Ctrl Pts',(18.5165623807903,16.2508736974001,-11.85));
#69296=CARTESIAN_POINT('Ctrl Pts',(18.4824201575963,16.2987101295856,-11.85));
#69297=CARTESIAN_POINT('Ctrl Pts',(18.4094755655428,16.4009122917365,-11.85));
#69298=CARTESIAN_POINT('Ctrl Pts',(18.4028821841863,16.5267230407899,-11.85));
#69299=CARTESIAN_POINT('Ctrl Pts',(18.3993748807903,16.5936471945048,-11.85));
#69300=CARTESIAN_POINT('',(18.5107026481624,16.8924753791094,-11.85));
#69301=CARTESIAN_POINT('Ctrl Pts',(18.3993748807903,16.5936471945048,-11.85));
#69302=CARTESIAN_POINT('Ctrl Pts',(18.4033807152981,16.6508257151463,-11.85));
#69303=CARTESIAN_POINT('Ctrl Pts',(18.4111403890567,16.7615858240107,-11.85));
#69304=CARTESIAN_POINT('Ctrl Pts',(18.4782415986267,16.8498004501762,-11.85));
#69305=CARTESIAN_POINT('Ctrl Pts',(18.5107026481624,16.8924753791094,-11.85));
#69306=CARTESIAN_POINT('',(18.797812261581,17.0272408849001,-11.85));
#69307=CARTESIAN_POINT('Ctrl Pts',(18.5107026481624,16.8924753791094,-11.85));
#69308=CARTESIAN_POINT('Ctrl Pts',(18.5511819844435,16.9274508477349,-11.85));
#69309=CARTESIAN_POINT('Ctrl Pts',(18.6341668285171,16.9991524633475,-11.85));
#69310=CARTESIAN_POINT('Ctrl Pts',(18.7423830423074,17.017726905511,-11.85));
#69311=CARTESIAN_POINT('Ctrl Pts',(18.797812261581,17.0272408849001,-11.85));
#69312=CARTESIAN_POINT('',(19.5067963981624,17.0448191887141,-11.85));
#69313=CARTESIAN_POINT('Ctrl Pts',(18.797812261581,17.0272408849001,-11.85));
#69314=CARTESIAN_POINT('Ctrl Pts',(18.8861715276802,17.0322938165723,-11.85));
#69315=CARTESIAN_POINT('Ctrl Pts',(19.1222718279519,17.0457954982604,-11.85));
#69316=CARTESIAN_POINT('Ctrl Pts',(19.3587923856454,17.0451949715418,-11.85));
#69317=CARTESIAN_POINT('Ctrl Pts',(19.5067963981624,17.0448191887141,-11.85));
#69318=CARTESIAN_POINT('',(21.0185156249996,17.0448191887141,-11.85));
#69319=CARTESIAN_POINT('',(22.3283981990811,17.044819188714,-11.85));
#69320=CARTESIAN_POINT('',(20.4472265624996,16.5116160041094,-11.85));
#69321=CARTESIAN_POINT('Ctrl Pts',(21.0185156249996,17.0448191887141,-11.85));
#69322=CARTESIAN_POINT('Ctrl Pts',(20.8999744485843,16.9780643642482,-11.85));
#69323=CARTESIAN_POINT('Ctrl Pts',(20.6662823132772,16.8464638694393,-11.85));
#69324=CARTESIAN_POINT('Ctrl Pts',(20.5195355179363,16.6221472240671,-11.85));
#69325=CARTESIAN_POINT('Ctrl Pts',(20.4472265624996,16.5116160041094,-11.85));
#69326=CARTESIAN_POINT('',(20.2567968749996,15.6444283252955,-11.85));
#69327=CARTESIAN_POINT('Ctrl Pts',(20.4472265624996,16.5116160041094,-11.85));
#69328=CARTESIAN_POINT('Ctrl Pts',(20.391749815459,16.3825531087447,-11.85));
#69329=CARTESIAN_POINT('Ctrl Pts',(20.2729293053213,16.1061252378774,-11.85));
#69330=CARTESIAN_POINT('Ctrl Pts',(20.2624065855446,15.8049736360412,-11.85));
#69331=CARTESIAN_POINT('Ctrl Pts',(20.2567968749996,15.6444283252955,-11.85));
#69332=CARTESIAN_POINT('',(20.6083593749996,14.4959907656908,-11.85));
#69333=CARTESIAN_POINT('Ctrl Pts',(20.2567968749996,15.6444283252955,-11.85));
#69334=CARTESIAN_POINT('Ctrl Pts',(20.2704008025601,15.4354755587029,-11.85));
#69335=CARTESIAN_POINT('Ctrl Pts',(20.2971442327602,15.0247034707454,-11.85));
#69336=CARTESIAN_POINT('Ctrl Pts',(20.5058289969215,14.6701760998422,-11.85));
#69337=CARTESIAN_POINT('Ctrl Pts',(20.6083593749996,14.4959907656908,-11.85));
#69338=CARTESIAN_POINT('',(21.4521090173717,13.8631783849001,-11.85));
#69339=CARTESIAN_POINT('Ctrl Pts',(20.6083593749996,14.4959907656908,-11.85));
#69340=CARTESIAN_POINT('Ctrl Pts',(20.7270544868723,14.3463859655406,-11.85));
#69341=CARTESIAN_POINT('Ctrl Pts',(20.9534714792971,14.0610071629271,-11.85));
#69342=CARTESIAN_POINT('Ctrl Pts',(21.2913549786791,13.9269557233658,-11.85));
#69343=CARTESIAN_POINT('Ctrl Pts',(21.4521090173717,13.8631783849001,-11.85));
#69344=CARTESIAN_POINT('',(22.782187261581,13.7284128791094,-11.85));
#69345=CARTESIAN_POINT('Ctrl Pts',(21.4521090173717,13.8631783849001,-11.85));
#69346=CARTESIAN_POINT('Ctrl Pts',(21.6443830991164,13.8240232720958,-11.85));
#69347=CARTESIAN_POINT('Ctrl Pts',(22.0828919476983,13.7347243750415,-11.85));
#69348=CARTESIAN_POINT('Ctrl Pts',(22.5307196835169,13.7306825019204,-11.85));
#69349=CARTESIAN_POINT('Ctrl Pts',(22.782187261581,13.7284128791094,-11.85));
#69350=CARTESIAN_POINT('',(23.5380468749996,13.7284128791094,-11.85));
#69351=CARTESIAN_POINT('',(23.9660936307904,13.7284128791094,-11.85));
#69352=CARTESIAN_POINT('',(25.0204692268367,13.8045846349001,-11.85));
#69353=CARTESIAN_POINT('Ctrl Pts',(23.5380468749996,13.7284128791094,-11.85));
#69354=CARTESIAN_POINT('Ctrl Pts',(23.8279417303236,13.7287664028986,-11.85));
#69355=CARTESIAN_POINT('Ctrl Pts',(24.3235059377782,13.7293707383436,-11.85));
#69356=CARTESIAN_POINT('Ctrl Pts',(24.8160523301265,13.7825246619217,-11.85));
#69357=CARTESIAN_POINT('Ctrl Pts',(25.0204692268367,13.8045846349001,-11.85));
#69358=CARTESIAN_POINT('',(25.9198830509182,14.2118113166094,-11.85));
#69359=CARTESIAN_POINT('Ctrl Pts',(25.0204692268367,13.8045846349001,-11.85));
#69360=CARTESIAN_POINT('Ctrl Pts',(25.1807909118616,13.8416181098468,-11.85));
#69361=CARTESIAN_POINT('Ctrl Pts',(25.507682482104,13.9171283614698,-11.85));
#69362=CARTESIAN_POINT('Ctrl Pts',(25.7807431025508,14.1123399081132,-11.85));
#69363=CARTESIAN_POINT('Ctrl Pts',(25.9198830509182,14.2118113166094,-11.85));
#69364=CARTESIAN_POINT('',(26.561484136581,15.1200141435862,-11.85));
#69365=CARTESIAN_POINT('Ctrl Pts',(25.9198830509182,14.2118113166094,-11.85));
#69366=CARTESIAN_POINT('Ctrl Pts',(26.0513251735658,14.3361467076479,-11.85));
#69367=CARTESIAN_POINT('Ctrl Pts',(26.3256679873283,14.5956565233801,-11.85));
#69368=CARTESIAN_POINT('Ctrl Pts',(26.4807200496382,14.9404282052963,-11.85));
#69369=CARTESIAN_POINT('Ctrl Pts',(26.561484136581,15.1200141435862,-11.85));
#69370=CARTESIAN_POINT('',(26.7899999999996,16.4647408252954,-11.85));
#69371=CARTESIAN_POINT('Ctrl Pts',(26.561484136581,15.1200141435862,-11.85));
#69372=CARTESIAN_POINT('Ctrl Pts',(26.628081482588,15.3266070622748,-11.85));
#69373=CARTESIAN_POINT('Ctrl Pts',(26.7689240595295,15.7635175518151,-11.85));
#69374=CARTESIAN_POINT('Ctrl Pts',(26.7827248871849,16.2226885937425,-11.85));
#69375=CARTESIAN_POINT('Ctrl Pts',(26.7899999999996,16.4647408252954,-11.85));
#69376=CARTESIAN_POINT('',(26.5263281249996,18.0291942185163,-11.85));
#69377=CARTESIAN_POINT('Ctrl Pts',(26.7899999999996,16.4647408252954,-11.85));
#69378=CARTESIAN_POINT('Ctrl Pts',(26.7837110750321,16.757086092152,-11.85));
#69379=CARTESIAN_POINT('Ctrl Pts',(26.7722001574549,17.2921794965572,-11.85));
#69380=CARTESIAN_POINT('Ctrl Pts',(26.6030596631531,17.7991872807896,-11.85));
#69381=CARTESIAN_POINT('Ctrl Pts',(26.5263281249996,18.0291942185163,-11.85));
#69382=CARTESIAN_POINT('',(25.7675395393368,18.9696239358187,-11.85));
#69383=CARTESIAN_POINT('Ctrl Pts',(26.5263281249996,18.0291942185163,-11.85));
#69384=CARTESIAN_POINT('Ctrl Pts',(26.4313009310591,18.2190150754453,-11.85));
#69385=CARTESIAN_POINT('Ctrl Pts',(26.2465791397224,18.5880047011618,-11.85));
#69386=CARTESIAN_POINT('Ctrl Pts',(25.9241164270796,18.8448894628914,-11.85));
#69387=CARTESIAN_POINT('Ctrl Pts',(25.7675395393368,18.9696239358187,-11.85));
#69388=CARTESIAN_POINT('',(24.7275004768368,19.3563426709175,-11.85));
#69389=CARTESIAN_POINT('Ctrl Pts',(25.7675395393368,18.9696239358187,-11.85));
#69390=CARTESIAN_POINT('Ctrl Pts',(25.6024985989674,19.0682776052667,-11.85));
#69391=CARTESIAN_POINT('Ctrl Pts',(25.279483573075,19.2613607125237,-11.85));
#69392=CARTESIAN_POINT('Ctrl Pts',(24.9087915347482,19.3251471871481,-11.85));
#69393=CARTESIAN_POINT('Ctrl Pts',(24.7275004768368,19.3563426709175,-11.85));
#69394=CARTESIAN_POINT('',(22.6122657442089,19.4120067408681,-11.85));
#69395=CARTESIAN_POINT('Ctrl Pts',(24.7275004768368,19.3563426709175,-11.85));
#69396=CARTESIAN_POINT('Ctrl Pts',(24.4604765032401,19.3723596751725,-11.85));
#69397=CARTESIAN_POINT('Ctrl Pts',(23.7561406590917,19.4146081297241,-11.85));
#69398=CARTESIAN_POINT('Ctrl Pts',(23.050430751035,19.4130032113309,-11.85));
#69399=CARTESIAN_POINT('Ctrl Pts',(22.6122657442089,19.4120067408681,-11.85));
#69400=CARTESIAN_POINT('',(21.2997655057903,19.4120067408681,-11.85));
#69401=CARTESIAN_POINT('',(23.8811328721044,19.4120067408681,-11.85));
#69402=CARTESIAN_POINT('',(19.0351168298717,19.3622020310164,-11.85));
#69403=CARTESIAN_POINT('Ctrl Pts',(21.2997655057903,19.4120067408681,-11.85));
#69404=CARTESIAN_POINT('Ctrl Pts',(20.8286829803367,19.4129960454781,-11.85));
#69405=CARTESIAN_POINT('Ctrl Pts',(20.0733213031989,19.4145823551987,-11.85));
#69406=CARTESIAN_POINT('Ctrl Pts',(19.3190032248362,19.3765248949427,-11.85));
#69407=CARTESIAN_POINT('Ctrl Pts',(19.0351168298717,19.3622020310164,-11.85));
#69408=CARTESIAN_POINT('',(17.9540620231625,18.9549754983187,-11.85));
#69409=CARTESIAN_POINT('Ctrl Pts',(19.0351168298717,19.3622020310164,-11.85));
#69410=CARTESIAN_POINT('Ctrl Pts',(18.8454932482515,19.3315375847861,-11.85));
#69411=CARTESIAN_POINT('Ctrl Pts',(18.4578628855087,19.2688530267052,-11.85));
#69412=CARTESIAN_POINT('Ctrl Pts',(18.1243995574615,19.061099025,-11.85));
#69413=CARTESIAN_POINT('Ctrl Pts',(17.9540620231625,18.9549754983187,-11.85));
#69414=CARTESIAN_POINT('',(17.1894137048717,17.9793894714118,-11.85));
#69415=CARTESIAN_POINT('Ctrl Pts',(17.9540620231625,18.9549754983187,-11.85));
#69416=CARTESIAN_POINT('Ctrl Pts',(17.7939926059782,18.8230828422825,-11.85));
#69417=CARTESIAN_POINT('Ctrl Pts',(17.4676688205097,18.5542013060694,-11.85));
#69418=CARTESIAN_POINT('Ctrl Pts',(17.2833300268194,18.1733992498098,-11.85));
#69419=CARTESIAN_POINT('Ctrl Pts',(17.1894137048717,17.9793894714118,-11.85));
#69420=CARTESIAN_POINT('',(16.9345311307903,16.5526314502955,-11.85));
#69421=CARTESIAN_POINT('Ctrl Pts',(17.1894137048717,17.9793894714118,-11.85));
#69422=CARTESIAN_POINT('Ctrl Pts',(17.1151210623747,17.7594066002111,-11.85));
#69423=CARTESIAN_POINT('Ctrl Pts',(16.9589230667732,17.2968993802046,-11.85));
#69424=CARTESIAN_POINT('Ctrl Pts',(16.9429217315124,16.8086527449764,-11.85));
#69425=CARTESIAN_POINT('Ctrl Pts',(16.9345311307903,16.5526314502955,-11.85));
#69426=CARTESIAN_POINT('Ctrl Pts',(16.9345311307903,16.5526314502955,-11.85));
#69427=CARTESIAN_POINT('Ctrl Pts',(16.9427311624834,16.2465146257899,-11.85));
#69428=CARTESIAN_POINT('Ctrl Pts',(16.9578411906364,15.6824395088214,-11.85));
#69429=CARTESIAN_POINT('Ctrl Pts',(17.1629829688754,15.1577930024917,-11.85));
#69430=CARTESIAN_POINT('Ctrl Pts',(17.2567967557903,14.9178658849001,-11.85));
#69431=CARTESIAN_POINT('',(-0.0809236906138515,26.9,-11.85));
#69432=CARTESIAN_POINT('',(0.900000000000003,25.9190763093861,-11.85));
#69433=CARTESIAN_POINT('Origin',(0.900000000000001,26.9,-11.85));
#69434=CARTESIAN_POINT('',(6.7,25.9190763093861,-11.85));
#69435=CARTESIAN_POINT('',(0.900000000000003,25.9190763093861,-11.85));
#69436=CARTESIAN_POINT('',(7.68092369061386,26.9,-11.85));
#69437=CARTESIAN_POINT('Origin',(6.7,26.9,-11.85));
#69438=CARTESIAN_POINT('',(7.68092369061386,33.1,-11.85));
#69439=CARTESIAN_POINT('',(7.68092369061386,26.9,-11.85));
#69440=CARTESIAN_POINT('',(6.7,34.0809236906139,-11.85));
#69441=CARTESIAN_POINT('Origin',(6.7,33.1,-11.85));
#69442=CARTESIAN_POINT('',(0.900000000000003,34.0809236906139,-11.85));
#69443=CARTESIAN_POINT('',(6.7,34.0809236906139,-11.85));
#69444=CARTESIAN_POINT('',(-0.0809236906138484,33.1,-11.85));
#69445=CARTESIAN_POINT('Origin',(0.900000000000001,33.1,-11.85));
#69446=CARTESIAN_POINT('',(-0.0809236906138485,33.1,-11.85));
#69447=CARTESIAN_POINT('',(-0.0745649208184666,67.9,-11.85));
#69448=CARTESIAN_POINT('',(0.899999999999986,66.9254350791815,-11.85));
#69449=CARTESIAN_POINT('Origin',(0.899999999999999,67.9,-11.85));
#69450=CARTESIAN_POINT('',(6.7,66.9254350791815,-11.85));
#69451=CARTESIAN_POINT('',(0.899999999999986,66.9254350791815,-11.85));
#69452=CARTESIAN_POINT('',(7.67456492081847,67.9,-11.85));
#69453=CARTESIAN_POINT('Origin',(6.7,67.9,-11.85));
#69454=CARTESIAN_POINT('',(7.67456492081847,74.1,-11.85));
#69455=CARTESIAN_POINT('',(7.67456492081847,67.9,-11.85));
#69456=CARTESIAN_POINT('',(6.7,75.0745649208185,-11.85));
#69457=CARTESIAN_POINT('Origin',(6.7,74.1,-11.85));
#69458=CARTESIAN_POINT('',(0.899999999999989,75.0745649208185,-11.85));
#69459=CARTESIAN_POINT('',(6.7,75.0745649208185,-11.85));
#69460=CARTESIAN_POINT('',(-0.0745649208184802,74.1,-11.85));
#69461=CARTESIAN_POINT('Origin',(0.899999999999999,74.1,-11.85));
#69462=CARTESIAN_POINT('',(-0.0745649208184802,74.1,-11.85));
#69463=CARTESIAN_POINT('',(-0.0745649208184666,54.9,-11.85));
#69464=CARTESIAN_POINT('',(0.899999999999999,53.9254350791815,-11.85));
#69465=CARTESIAN_POINT('Origin',(0.899999999999999,54.9,-11.85));
#69466=CARTESIAN_POINT('',(6.7,53.9254350791815,-11.85));
#69467=CARTESIAN_POINT('',(0.899999999999999,53.9254350791815,-11.85));
#69468=CARTESIAN_POINT('',(7.67456492081847,54.9,-11.85));
#69469=CARTESIAN_POINT('Origin',(6.7,54.9,-11.85));
#69470=CARTESIAN_POINT('',(7.67456492081847,61.1,-11.85));
#69471=CARTESIAN_POINT('',(7.67456492081847,54.9,-11.85));
#69472=CARTESIAN_POINT('',(6.7,62.0745649208185,-11.85));
#69473=CARTESIAN_POINT('Origin',(6.7,61.1,-11.85));
#69474=CARTESIAN_POINT('',(0.899999999999989,62.0745649208185,-11.85));
#69475=CARTESIAN_POINT('',(6.7,62.0745649208185,-11.85));
#69476=CARTESIAN_POINT('',(-0.0745649208184779,61.1,-11.85));
#69477=CARTESIAN_POINT('Origin',(0.899999999999999,61.1,-11.85));
#69478=CARTESIAN_POINT('',(-0.0745649208184778,61.1,-11.85));
#69479=CARTESIAN_POINT('',(-0.0745649208184578,80.9,-11.85));
#69480=CARTESIAN_POINT('',(0.900000000000004,79.9254350791815,-11.85));
#69481=CARTESIAN_POINT('Origin',(0.900000000000008,80.9,-11.85));
#69482=CARTESIAN_POINT('',(6.70000000000001,79.9254350791815,-11.85));
#69483=CARTESIAN_POINT('',(0.900000000000004,79.9254350791815,-11.85));
#69484=CARTESIAN_POINT('',(7.67456492081848,80.9,-11.85));
#69485=CARTESIAN_POINT('Origin',(6.70000000000001,80.9,-11.85));
#69486=CARTESIAN_POINT('',(7.67456492081847,87.1,-11.85));
#69487=CARTESIAN_POINT('',(7.67456492081848,80.9,-11.85));
#69488=CARTESIAN_POINT('',(6.70000000000001,88.0745649208185,-11.85));
#69489=CARTESIAN_POINT('Origin',(6.70000000000001,87.1,-11.85));
#69490=CARTESIAN_POINT('',(0.900000000000002,88.0745649208185,-11.85));
#69491=CARTESIAN_POINT('',(6.70000000000001,88.0745649208185,-11.85));
#69492=CARTESIAN_POINT('',(-0.0745649208184684,87.1,-11.85));
#69493=CARTESIAN_POINT('Origin',(0.900000000000008,87.1,-11.85));
#69494=CARTESIAN_POINT('',(-0.0745649208184685,87.1,-11.85));
#69495=CARTESIAN_POINT('',(-0.0809236906138537,13.9,-11.85));
#69496=CARTESIAN_POINT('',(0.9,12.9190763093861,-11.85));
#69497=CARTESIAN_POINT('Origin',(0.899999999999999,13.9,-11.85));
#69498=CARTESIAN_POINT('',(6.7,12.9190763093861,-11.85));
#69499=CARTESIAN_POINT('',(0.9,12.9190763093861,-11.85));
#69500=CARTESIAN_POINT('',(7.68092369061385,13.9,-11.85));
#69501=CARTESIAN_POINT('Origin',(6.7,13.9,-11.85));
#69502=CARTESIAN_POINT('',(7.68092369061385,20.1,-11.85));
#69503=CARTESIAN_POINT('',(7.68092369061385,13.9,-11.85));
#69504=CARTESIAN_POINT('',(6.7,21.0809236906139,-11.85));
#69505=CARTESIAN_POINT('Origin',(6.7,20.1,-11.85));
#69506=CARTESIAN_POINT('',(0.9,21.0809236906139,-11.85));
#69507=CARTESIAN_POINT('',(6.7,21.0809236906139,-11.85));
#69508=CARTESIAN_POINT('',(-0.0809236906138536,20.1,-11.85));
#69509=CARTESIAN_POINT('Origin',(0.899999999999999,20.1,-11.85));
#69510=CARTESIAN_POINT('',(-0.0809236906138536,20.1,-11.85));
#69511=CARTESIAN_POINT('',(-0.0809236906138359,39.9,-11.85));
#69512=CARTESIAN_POINT('',(0.900000000000016,38.9190763093861,-11.85));
#69513=CARTESIAN_POINT('Origin',(0.900000000000017,39.9,-11.85));
#69514=CARTESIAN_POINT('',(6.70000000000002,38.9190763093861,-11.85));
#69515=CARTESIAN_POINT('',(0.900000000000016,38.9190763093861,-11.85));
#69516=CARTESIAN_POINT('',(7.68092369061387,39.9,-11.85));
#69517=CARTESIAN_POINT('Origin',(6.70000000000002,39.9,-11.85));
#69518=CARTESIAN_POINT('',(7.68092369061387,46.1,-11.85));
#69519=CARTESIAN_POINT('',(7.68092369061387,39.9,-11.85));
#69520=CARTESIAN_POINT('',(6.70000000000002,47.0809236906138,-11.85));
#69521=CARTESIAN_POINT('Origin',(6.70000000000002,46.1,-11.85));
#69522=CARTESIAN_POINT('',(0.900000000000016,47.0809236906138,-11.85));
#69523=CARTESIAN_POINT('',(6.70000000000002,47.0809236906138,-11.85));
#69524=CARTESIAN_POINT('',(-0.0809236906138366,46.1,-11.85));
#69525=CARTESIAN_POINT('Origin',(0.900000000000017,46.1,-11.85));
#69526=CARTESIAN_POINT('',(-0.0809236906138366,46.1,-11.85));
#69527=CARTESIAN_POINT('Origin',(11.475,101.75,-11.6));
#69528=CARTESIAN_POINT('',(11.475,102.,-11.35));
#69529=CARTESIAN_POINT('Origin',(49.5,0.999999999999996,-8.84999999999999));
#69530=CARTESIAN_POINT('',(49.5,-2.,-11.35));
#69531=CARTESIAN_POINT('',(49.5,-2.,1.6));
#69532=CARTESIAN_POINT('Origin',(49.5,0.999999999999996,-14.35));
#69533=CARTESIAN_POINT('Origin',(0.800000000000005,1.,-8.85));
#69534=CARTESIAN_POINT('',(0.800000000000005,-2.,-11.35));
#69535=CARTESIAN_POINT('Origin',(0.800000000000006,1.,-14.35));
#69536=CARTESIAN_POINT('',(0.800000000000003,-2.,1.6));
#69537=CARTESIAN_POINT('Origin',(38.825,-1.75,-11.6));
#69538=CARTESIAN_POINT('',(38.825,-2.,-11.35));
#69539=CARTESIAN_POINT('Origin',(-2.20000000000001,102.,-8.85));
#69540=CARTESIAN_POINT('Origin',(21.9032810115811,32.3805393683891,-11.85));
#69541=CARTESIAN_POINT('',(21.9032810115811,32.3805393683891,-10.85));
#69542=CARTESIAN_POINT('',(21.9032810115811,32.3805393683891,-11.85));
#69543=CARTESIAN_POINT('',(17.1220306539533,32.2809299859385,-10.85));
#69544=CARTESIAN_POINT('',(21.9032810115811,32.3805393683891,-10.85));
#69545=CARTESIAN_POINT('',(17.1220306539533,32.2809299859385,-11.85));
#69546=CARTESIAN_POINT('Origin',(21.9032810115811,30.036789405642,-11.85));
#69547=CARTESIAN_POINT('',(21.9032810115811,30.036789405642,-10.85));
#69548=CARTESIAN_POINT('',(21.9032810115811,30.036789405642,-11.85));
#69549=CARTESIAN_POINT('',(21.9032810115811,30.036789405642,-10.85));
#69550=CARTESIAN_POINT('Ctrl Pts',(21.3730076932904,30.004562664328,-11.85));
#69551=CARTESIAN_POINT('Ctrl Pts',(21.3730076932904,30.004562664328,-10.85));
#69552=CARTESIAN_POINT('Ctrl Pts',(21.4361513868675,30.0137966073097,-11.85));
#69553=CARTESIAN_POINT('Ctrl Pts',(21.4361513868675,30.0137966073097,-10.85));
#69554=CARTESIAN_POINT('Ctrl Pts',(21.6117835544571,30.0394805248857,-11.85));
#69555=CARTESIAN_POINT('Ctrl Pts',(21.6117835544571,30.0394805248857,-10.85));
#69556=CARTESIAN_POINT('Ctrl Pts',(21.7894741564107,30.0378400762757,-11.85));
#69557=CARTESIAN_POINT('Ctrl Pts',(21.7894741564107,30.0378400762757,-10.85));
#69558=CARTESIAN_POINT('Ctrl Pts',(21.9032810115811,30.036789405642,-11.85));
#69559=CARTESIAN_POINT('Ctrl Pts',(21.9032810115811,30.036789405642,-10.85));
#69560=CARTESIAN_POINT('',(21.3730076932904,30.004562664328,-10.85));
#69561=CARTESIAN_POINT('',(21.3730076932904,30.004562664328,-11.85));
#69562=CARTESIAN_POINT('Ctrl Pts',(21.3730076932904,30.004562664328,-10.85));
#69563=CARTESIAN_POINT('Ctrl Pts',(21.4361513868675,30.0137966073097,-10.85));
#69564=CARTESIAN_POINT('Ctrl Pts',(21.6117835544571,30.0394805248857,-10.85));
#69565=CARTESIAN_POINT('Ctrl Pts',(21.7894741564107,30.0378400762757,-10.85));
#69566=CARTESIAN_POINT('Ctrl Pts',(21.9032810115811,30.036789405642,-10.85));
#69567=CARTESIAN_POINT('Ctrl Pts',(21.1591402673718,29.8610078576187,-11.85));
#69568=CARTESIAN_POINT('Ctrl Pts',(21.1591402673718,29.8610078576187,-10.85));
#69569=CARTESIAN_POINT('Ctrl Pts',(21.1916529334448,29.8944271958373,-11.85));
#69570=CARTESIAN_POINT('Ctrl Pts',(21.1916529334448,29.8944271958373,-10.85));
#69571=CARTESIAN_POINT('Ctrl Pts',(21.2529562983569,29.9574401115217,-11.85));
#69572=CARTESIAN_POINT('Ctrl Pts',(21.2529562983569,29.9574401115217,-10.85));
#69573=CARTESIAN_POINT('Ctrl Pts',(21.3346437499534,29.9895040559149,-11.85));
#69574=CARTESIAN_POINT('Ctrl Pts',(21.3346437499534,29.9895040559149,-10.85));
#69575=CARTESIAN_POINT('Ctrl Pts',(21.3730076932904,30.004562664328,-11.85));
#69576=CARTESIAN_POINT('Ctrl Pts',(21.3730076932904,30.004562664328,-10.85));
#69577=CARTESIAN_POINT('',(21.1591402673718,29.8610078576187,-10.85));
#69578=CARTESIAN_POINT('',(21.1591402673718,29.8610078576187,-11.85));
#69579=CARTESIAN_POINT('Ctrl Pts',(21.1591402673718,29.8610078576187,-10.85));
#69580=CARTESIAN_POINT('Ctrl Pts',(21.1916529334448,29.8944271958373,-10.85));
#69581=CARTESIAN_POINT('Ctrl Pts',(21.2529562983569,29.9574401115217,-10.85));
#69582=CARTESIAN_POINT('Ctrl Pts',(21.3346437499534,29.9895040559149,-10.85));
#69583=CARTESIAN_POINT('Ctrl Pts',(21.3730076932904,30.004562664328,-10.85));
#69584=CARTESIAN_POINT('Ctrl Pts',(21.0595307731625,29.5856174114327,-11.85));
#69585=CARTESIAN_POINT('Ctrl Pts',(21.0595307731625,29.5856174114327,-10.85));
#69586=CARTESIAN_POINT('Ctrl Pts',(21.0632343219726,29.6375988389188,-11.85));
#69587=CARTESIAN_POINT('Ctrl Pts',(21.0632343219726,29.6375988389188,-10.85));
#69588=CARTESIAN_POINT('Ctrl Pts',(21.070454559871,29.7389390107369,-11.85));
#69589=CARTESIAN_POINT('Ctrl Pts',(21.070454559871,29.7389390107369,-10.85));
#69590=CARTESIAN_POINT('Ctrl Pts',(21.130092844376,29.8210263822501,-11.85));
#69591=CARTESIAN_POINT('Ctrl Pts',(21.130092844376,29.8210263822501,-10.85));
#69592=CARTESIAN_POINT('Ctrl Pts',(21.1591402673718,29.8610078576187,-11.85));
#69593=CARTESIAN_POINT('Ctrl Pts',(21.1591402673718,29.8610078576187,-10.85));
#69594=CARTESIAN_POINT('',(21.0595307731625,29.5856174114327,-10.85));
#69595=CARTESIAN_POINT('',(21.0595307731625,29.5856174114327,-11.85));
#69596=CARTESIAN_POINT('Ctrl Pts',(21.0595307731625,29.5856174114327,-10.85));
#69597=CARTESIAN_POINT('Ctrl Pts',(21.0632343219726,29.6375988389188,-10.85));
#69598=CARTESIAN_POINT('Ctrl Pts',(21.070454559871,29.7389390107369,-10.85));
#69599=CARTESIAN_POINT('Ctrl Pts',(21.130092844376,29.8210263822501,-10.85));
#69600=CARTESIAN_POINT('Ctrl Pts',(21.1591402673718,29.8610078576187,-10.85));
#69601=CARTESIAN_POINT('Ctrl Pts',(21.2528906249997,29.2164766076187,-11.85));
#69602=CARTESIAN_POINT('Ctrl Pts',(21.2528906249997,29.2164766076187,-10.85));
#69603=CARTESIAN_POINT('Ctrl Pts',(21.1965243865619,29.2614572818233,-11.85));
#69604=CARTESIAN_POINT('Ctrl Pts',(21.1965243865619,29.2614572818233,-10.85));
#69605=CARTESIAN_POINT('Ctrl Pts',(21.0785567630874,29.3555963158489,-11.85));
#69606=CARTESIAN_POINT('Ctrl Pts',(21.0785567630874,29.3555963158489,-10.85));
#69607=CARTESIAN_POINT('Ctrl Pts',(21.066057664082,29.5067083714313,-11.85));
#69608=CARTESIAN_POINT('Ctrl Pts',(21.066057664082,29.5067083714313,-10.85));
#69609=CARTESIAN_POINT('Ctrl Pts',(21.0595307731625,29.5856174114327,-11.85));
#69610=CARTESIAN_POINT('Ctrl Pts',(21.0595307731625,29.5856174114327,-10.85));
#69611=CARTESIAN_POINT('',(21.2528906249997,29.2164766076187,-10.85));
#69612=CARTESIAN_POINT('',(21.2528906249997,29.2164766076187,-11.85));
#69613=CARTESIAN_POINT('Ctrl Pts',(21.2528906249997,29.2164766076187,-10.85));
#69614=CARTESIAN_POINT('Ctrl Pts',(21.1965243865619,29.2614572818233,-10.85));
#69615=CARTESIAN_POINT('Ctrl Pts',(21.0785567630874,29.3555963158489,-10.85));
#69616=CARTESIAN_POINT('Ctrl Pts',(21.066057664082,29.5067083714313,-10.85));
#69617=CARTESIAN_POINT('Ctrl Pts',(21.0595307731625,29.5856174114327,-10.85));
#69618=CARTESIAN_POINT('Ctrl Pts',(21.9794533634183,29.1051485422234,-11.85));
#69619=CARTESIAN_POINT('Ctrl Pts',(21.9794533634183,29.1051485422234,-10.85));
#69620=CARTESIAN_POINT('Ctrl Pts',(21.8282099279149,29.1041833619235,-11.85));
#69621=CARTESIAN_POINT('Ctrl Pts',(21.8282099279149,29.1041833619235,-10.85));
#69622=CARTESIAN_POINT('Ctrl Pts',(21.5791107981695,29.1025937023659,-11.85));
#69623=CARTESIAN_POINT('Ctrl Pts',(21.5791107981695,29.1025937023659,-10.85));
#69624=CARTESIAN_POINT('Ctrl Pts',(21.3448982851164,29.1843568906258,-11.85));
#69625=CARTESIAN_POINT('Ctrl Pts',(21.3448982851164,29.1843568906258,-10.85));
#69626=CARTESIAN_POINT('Ctrl Pts',(21.2528906249997,29.2164766076187,-11.85));
#69627=CARTESIAN_POINT('Ctrl Pts',(21.2528906249997,29.2164766076187,-10.85));
#69628=CARTESIAN_POINT('',(21.9794533634183,29.1051485422234,-10.85));
#69629=CARTESIAN_POINT('',(21.9794533634183,29.1051485422234,-11.85));
#69630=CARTESIAN_POINT('Ctrl Pts',(21.9794533634183,29.1051485422234,-10.85));
#69631=CARTESIAN_POINT('Ctrl Pts',(21.8282099279149,29.1041833619235,-10.85));
#69632=CARTESIAN_POINT('Ctrl Pts',(21.5791107981695,29.1025937023659,-10.85));
#69633=CARTESIAN_POINT('Ctrl Pts',(21.3448982851164,29.1843568906258,-10.85));
#69634=CARTESIAN_POINT('Ctrl Pts',(21.2528906249997,29.2164766076187,-10.85));
#69635=CARTESIAN_POINT('Origin',(23.8603124999997,29.1051485422234,-11.85));
#69636=CARTESIAN_POINT('',(23.8603124999997,29.1051485422234,-10.85));
#69637=CARTESIAN_POINT('',(23.8603124999997,29.1051485422234,-11.85));
#69638=CARTESIAN_POINT('',(23.8603124999997,29.1051485422234,-10.85));
#69639=CARTESIAN_POINT('Ctrl Pts',(24.9794528865811,29.125656414328,-11.85));
#69640=CARTESIAN_POINT('Ctrl Pts',(24.9794528865811,29.125656414328,-10.85));
#69641=CARTESIAN_POINT('Ctrl Pts',(24.8647117691689,29.1222446663505,-11.85));
#69642=CARTESIAN_POINT('Ctrl Pts',(24.8647117691689,29.1222446663505,-10.85));
#69643=CARTESIAN_POINT('Ctrl Pts',(24.4917539512806,29.1111550229029,-11.85));
#69644=CARTESIAN_POINT('Ctrl Pts',(24.4917539512806,29.1111550229029,-10.85));
#69645=CARTESIAN_POINT('Ctrl Pts',(24.1186384040874,29.1076058239694,-11.85));
#69646=CARTESIAN_POINT('Ctrl Pts',(24.1186384040874,29.1076058239694,-10.85));
#69647=CARTESIAN_POINT('Ctrl Pts',(23.8603124999997,29.1051485422234,-11.85));
#69648=CARTESIAN_POINT('Ctrl Pts',(23.8603124999997,29.1051485422234,-10.85));
#69649=CARTESIAN_POINT('',(24.9794528865811,29.125656414328,-10.85));
#69650=CARTESIAN_POINT('',(24.9794528865811,29.125656414328,-11.85));
#69651=CARTESIAN_POINT('Ctrl Pts',(24.9794528865811,29.125656414328,-10.85));
#69652=CARTESIAN_POINT('Ctrl Pts',(24.8647117691689,29.1222446663505,-10.85));
#69653=CARTESIAN_POINT('Ctrl Pts',(24.4917539512806,29.1111550229029,-10.85));
#69654=CARTESIAN_POINT('Ctrl Pts',(24.1186384040874,29.1076058239694,-10.85));
#69655=CARTESIAN_POINT('Ctrl Pts',(23.8603124999997,29.1051485422234,-10.85));
#69656=CARTESIAN_POINT('Ctrl Pts',(25.2196882152554,29.242843914328,-11.85));
#69657=CARTESIAN_POINT('Ctrl Pts',(25.2196882152554,29.242843914328,-10.85));
#69658=CARTESIAN_POINT('Ctrl Pts',(25.1830416147628,29.2109654515853,-11.85));
#69659=CARTESIAN_POINT('Ctrl Pts',(25.1830416147628,29.2109654515853,-10.85));
#69660=CARTESIAN_POINT('Ctrl Pts',(25.1132696504847,29.150271610033,-11.85));
#69661=CARTESIAN_POINT('Ctrl Pts',(25.1132696504847,29.150271610033,-10.85));
#69662=CARTESIAN_POINT('Ctrl Pts',(25.022532032253,29.1335806950428,-11.85));
#69663=CARTESIAN_POINT('Ctrl Pts',(25.022532032253,29.1335806950428,-10.85));
#69664=CARTESIAN_POINT('Ctrl Pts',(24.9794528865811,29.125656414328,-11.85));
#69665=CARTESIAN_POINT('Ctrl Pts',(24.9794528865811,29.125656414328,-10.85));
#69666=CARTESIAN_POINT('',(25.2196882152554,29.242843914328,-10.85));
#69667=CARTESIAN_POINT('',(25.2196882152554,29.242843914328,-11.85));
#69668=CARTESIAN_POINT('Ctrl Pts',(25.2196882152554,29.242843914328,-10.85));
#69669=CARTESIAN_POINT('Ctrl Pts',(25.1830416147628,29.2109654515853,-10.85));
#69670=CARTESIAN_POINT('Ctrl Pts',(25.1132696504847,29.150271610033,-10.85));
#69671=CARTESIAN_POINT('Ctrl Pts',(25.022532032253,29.1335806950428,-10.85));
#69672=CARTESIAN_POINT('Ctrl Pts',(24.9794528865811,29.125656414328,-10.85));
#69673=CARTESIAN_POINT('Ctrl Pts',(25.3310153865811,29.5270236614326,-11.85));
#69674=CARTESIAN_POINT('Ctrl Pts',(25.3310153865811,29.5270236614326,-10.85));
#69675=CARTESIAN_POINT('Ctrl Pts',(25.3270558339241,29.4719169258911,-11.85));
#69676=CARTESIAN_POINT('Ctrl Pts',(25.3270558339241,29.4719169258911,-10.85));
#69677=CARTESIAN_POINT('Ctrl Pts',(25.3194024930584,29.3654022087926,-11.85));
#69678=CARTESIAN_POINT('Ctrl Pts',(25.3194024930584,29.3654022087926,-10.85));
#69679=CARTESIAN_POINT('Ctrl Pts',(25.2521478287112,29.2827398545261,-11.85));
#69680=CARTESIAN_POINT('Ctrl Pts',(25.2521478287112,29.2827398545261,-10.85));
#69681=CARTESIAN_POINT('Ctrl Pts',(25.2196882152554,29.242843914328,-11.85));
#69682=CARTESIAN_POINT('Ctrl Pts',(25.2196882152554,29.242843914328,-10.85));
#69683=CARTESIAN_POINT('',(25.3310153865811,29.5270236614326,-10.85));
#69684=CARTESIAN_POINT('',(25.3310153865811,29.5270236614326,-11.85));
#69685=CARTESIAN_POINT('Ctrl Pts',(25.3310153865811,29.5270236614326,-10.85));
#69686=CARTESIAN_POINT('Ctrl Pts',(25.3270558339241,29.4719169258911,-10.85));
#69687=CARTESIAN_POINT('Ctrl Pts',(25.3194024930584,29.3654022087926,-10.85));
#69688=CARTESIAN_POINT('Ctrl Pts',(25.2521478287112,29.2827398545261,-10.85));
#69689=CARTESIAN_POINT('Ctrl Pts',(25.2196882152554,29.242843914328,-10.85));
#69690=CARTESIAN_POINT('Ctrl Pts',(24.9442968749997,29.9723362210373,-11.85));
#69691=CARTESIAN_POINT('Ctrl Pts',(24.9442968749997,29.9723362210373,-10.85));
#69692=CARTESIAN_POINT('Ctrl Pts',(25.0570866019941,29.9383490823647,-11.85));
#69693=CARTESIAN_POINT('Ctrl Pts',(25.0570866019941,29.9383490823647,-10.85));
#69694=CARTESIAN_POINT('Ctrl Pts',(25.2803119053807,29.8710841856085,-11.85));
#69695=CARTESIAN_POINT('Ctrl Pts',(25.2803119053807,29.8710841856085,-10.85));
#69696=CARTESIAN_POINT('Ctrl Pts',(25.3142334726355,29.6409013276618,-11.85));
#69697=CARTESIAN_POINT('Ctrl Pts',(25.3142334726355,29.6409013276618,-10.85));
#69698=CARTESIAN_POINT('Ctrl Pts',(25.3310153865811,29.5270236614326,-11.85));
#69699=CARTESIAN_POINT('Ctrl Pts',(25.3310153865811,29.5270236614326,-10.85));
#69700=CARTESIAN_POINT('',(24.9442968749997,29.9723362210373,-10.85));
#69701=CARTESIAN_POINT('',(24.9442968749997,29.9723362210373,-11.85));
#69702=CARTESIAN_POINT('Ctrl Pts',(24.9442968749997,29.9723362210373,-10.85));
#69703=CARTESIAN_POINT('Ctrl Pts',(25.0570866019941,29.9383490823647,-10.85));
#69704=CARTESIAN_POINT('Ctrl Pts',(25.2803119053807,29.8710841856085,-10.85));
#69705=CARTESIAN_POINT('Ctrl Pts',(25.3142334726355,29.6409013276618,-10.85));
#69706=CARTESIAN_POINT('Ctrl Pts',(25.3310153865811,29.5270236614326,-10.85));
#69707=CARTESIAN_POINT('Ctrl Pts',(23.637656369209,30.0367894056419,-11.85));
#69708=CARTESIAN_POINT('Ctrl Pts',(23.637656369209,30.0367894056419,-10.85));
#69709=CARTESIAN_POINT('Ctrl Pts',(23.9001851652643,30.0370992179174,-11.85));
#69710=CARTESIAN_POINT('Ctrl Pts',(23.9001851652643,30.0370992179174,-10.85));
#69711=CARTESIAN_POINT('Ctrl Pts',(24.3369782240832,30.0376146808057,-11.85));
#69712=CARTESIAN_POINT('Ctrl Pts',(24.3369782240832,30.0376146808057,-10.85));
#69713=CARTESIAN_POINT('Ctrl Pts',(24.7710988242989,29.9909526458212,-11.85));
#69714=CARTESIAN_POINT('Ctrl Pts',(24.7710988242989,29.9909526458212,-10.85));
#69715=CARTESIAN_POINT('Ctrl Pts',(24.9442968749997,29.9723362210373,-11.85));
#69716=CARTESIAN_POINT('Ctrl Pts',(24.9442968749997,29.9723362210373,-10.85));
#69717=CARTESIAN_POINT('',(23.637656369209,30.0367894056419,-10.85));
#69718=CARTESIAN_POINT('',(23.637656369209,30.0367894056419,-11.85));
#69719=CARTESIAN_POINT('Ctrl Pts',(23.637656369209,30.0367894056419,-10.85));
#69720=CARTESIAN_POINT('Ctrl Pts',(23.9001851652643,30.0370992179174,-10.85));
#69721=CARTESIAN_POINT('Ctrl Pts',(24.3369782240832,30.0376146808057,-10.85));
#69722=CARTESIAN_POINT('Ctrl Pts',(24.7710988242989,29.9909526458212,-10.85));
#69723=CARTESIAN_POINT('Ctrl Pts',(24.9442968749997,29.9723362210373,-10.85));
#69724=CARTESIAN_POINT('Origin',(23.0458591365811,30.036789405642,-11.85));
#69725=CARTESIAN_POINT('',(23.0458591365811,30.036789405642,-10.85));
#69726=CARTESIAN_POINT('',(23.0458591365811,30.036789405642,-11.85));
#69727=CARTESIAN_POINT('',(23.0458591365811,30.036789405642,-10.85));
#69728=CARTESIAN_POINT('Origin',(23.0458591365811,32.4039768832902,-11.85));
#69729=CARTESIAN_POINT('',(23.0458591365811,32.4039768832902,-10.85));
#69730=CARTESIAN_POINT('',(23.0458591365811,32.4039768832902,-11.85));
#69731=CARTESIAN_POINT('',(23.0458591365811,32.4039768832902,-10.85));
#69732=CARTESIAN_POINT('Origin',(23.5614846134183,32.4039768832902,-11.85));
#69733=CARTESIAN_POINT('',(23.5614846134183,32.4039768832902,-10.85));
#69734=CARTESIAN_POINT('',(23.5614846134183,32.4039768832902,-11.85));
#69735=CARTESIAN_POINT('',(23.5614846134183,32.4039768832902,-10.85));
#69736=CARTESIAN_POINT('Ctrl Pts',(25.5185161018369,32.1110080960373,-11.85));
#69737=CARTESIAN_POINT('Ctrl Pts',(25.5185161018369,32.1110080960373,-10.85));
#69738=CARTESIAN_POINT('Ctrl Pts',(25.2519028067186,32.1959172586805,-11.85));
#69739=CARTESIAN_POINT('Ctrl Pts',(25.2519028067186,32.1959172586805,-10.85));
#69740=CARTESIAN_POINT('Ctrl Pts',(24.61695729828,32.3981303231928,-11.85));
#69741=CARTESIAN_POINT('Ctrl Pts',(24.61695729828,32.3981303231928,-10.85));
#69742=CARTESIAN_POINT('Ctrl Pts',(23.9489791044079,32.4018304423056,-11.85));
#69743=CARTESIAN_POINT('Ctrl Pts',(23.9489791044079,32.4018304423056,-10.85));
#69744=CARTESIAN_POINT('Ctrl Pts',(23.5614846134183,32.4039768832902,-11.85));
#69745=CARTESIAN_POINT('Ctrl Pts',(23.5614846134183,32.4039768832902,-10.85));
#69746=CARTESIAN_POINT('',(25.5185161018369,32.1110080960373,-10.85));
#69747=CARTESIAN_POINT('',(25.5185161018369,32.1110080960373,-11.85));
#69748=CARTESIAN_POINT('Ctrl Pts',(25.5185161018369,32.1110080960373,-10.85));
#69749=CARTESIAN_POINT('Ctrl Pts',(25.2519028067186,32.1959172586805,-10.85));
#69750=CARTESIAN_POINT('Ctrl Pts',(24.61695729828,32.3981303231928,-10.85));
#69751=CARTESIAN_POINT('Ctrl Pts',(23.9489791044079,32.4018304423056,-10.85));
#69752=CARTESIAN_POINT('Ctrl Pts',(23.5614846134183,32.4039768832902,-10.85));
#69753=CARTESIAN_POINT('Ctrl Pts',(26.4706645393369,31.1207736316303,-11.85));
#69754=CARTESIAN_POINT('Ctrl Pts',(26.4706645393369,31.1207736316303,-10.85));
#69755=CARTESIAN_POINT('Ctrl Pts',(26.3540398460065,31.3349832598157,-11.85));
#69756=CARTESIAN_POINT('Ctrl Pts',(26.3540398460065,31.3349832598157,-10.85));
#69757=CARTESIAN_POINT('Ctrl Pts',(26.1267246113376,31.7525030020661,-11.85));
#69758=CARTESIAN_POINT('Ctrl Pts',(26.1267246113376,31.7525030020661,-10.85));
#69759=CARTESIAN_POINT('Ctrl Pts',(25.7176929619443,31.9936044131978,-11.85));
#69760=CARTESIAN_POINT('Ctrl Pts',(25.7176929619443,31.9936044131978,-10.85));
#69761=CARTESIAN_POINT('Ctrl Pts',(25.5185161018369,32.1110080960373,-11.85));
#69762=CARTESIAN_POINT('Ctrl Pts',(25.5185161018369,32.1110080960373,-10.85));
#69763=CARTESIAN_POINT('',(26.4706645393369,31.1207736316303,-10.85));
#69764=CARTESIAN_POINT('',(26.4706645393369,31.1207736316303,-11.85));
#69765=CARTESIAN_POINT('Ctrl Pts',(26.4706645393369,31.1207736316303,-10.85));
#69766=CARTESIAN_POINT('Ctrl Pts',(26.3540398460065,31.3349832598157,-10.85));
#69767=CARTESIAN_POINT('Ctrl Pts',(26.1267246113376,31.7525030020661,-10.85));
#69768=CARTESIAN_POINT('Ctrl Pts',(25.7176929619443,31.9936044131978,-10.85));
#69769=CARTESIAN_POINT('Ctrl Pts',(25.5185161018369,32.1110080960373,-10.85));
#69770=CARTESIAN_POINT('Ctrl Pts',(26.7899999999997,29.5094453576187,-11.85));
#69771=CARTESIAN_POINT('Ctrl Pts',(26.7899999999997,29.5094453576187,-10.85));
#69772=CARTESIAN_POINT('Ctrl Pts',(26.7792768511514,29.7992964545509,-11.85));
#69773=CARTESIAN_POINT('Ctrl Pts',(26.7792768511514,29.7992964545509,-10.85));
#69774=CARTESIAN_POINT('Ctrl Pts',(26.7587600391678,30.3538742696281,-11.85));
#69775=CARTESIAN_POINT('Ctrl Pts',(26.7587600391678,30.3538742696281,-10.85));
#69776=CARTESIAN_POINT('Ctrl Pts',(26.5637515089434,30.8729796270592,-11.85));
#69777=CARTESIAN_POINT('Ctrl Pts',(26.5637515089434,30.8729796270592,-10.85));
#69778=CARTESIAN_POINT('Ctrl Pts',(26.4706645393369,31.1207736316303,-11.85));
#69779=CARTESIAN_POINT('Ctrl Pts',(26.4706645393369,31.1207736316303,-10.85));
#69780=CARTESIAN_POINT('',(26.7899999999997,29.5094453576187,-10.85));
#69781=CARTESIAN_POINT('',(26.7899999999997,29.5094453576187,-11.85));
#69782=CARTESIAN_POINT('Ctrl Pts',(26.7899999999997,29.5094453576187,-10.85));
#69783=CARTESIAN_POINT('Ctrl Pts',(26.7792768511514,29.7992964545509,-10.85));
#69784=CARTESIAN_POINT('Ctrl Pts',(26.7587600391678,30.3538742696281,-10.85));
#69785=CARTESIAN_POINT('Ctrl Pts',(26.5637515089434,30.8729796270592,-10.85));
#69786=CARTESIAN_POINT('Ctrl Pts',(26.4706645393369,31.1207736316303,-10.85));
#69787=CARTESIAN_POINT('Ctrl Pts',(26.5761325740811,28.129562664328,-11.85));
#69788=CARTESIAN_POINT('Ctrl Pts',(26.5761325740811,28.129562664328,-10.85));
#69789=CARTESIAN_POINT('Ctrl Pts',(26.6384155799729,28.3373632277185,-11.85));
#69790=CARTESIAN_POINT('Ctrl Pts',(26.6384155799729,28.3373632277185,-10.85));
#69791=CARTESIAN_POINT('Ctrl Pts',(26.7731950954459,28.7870406131903,-11.85));
#69792=CARTESIAN_POINT('Ctrl Pts',(26.7731950954459,28.7870406131903,-10.85));
#69793=CARTESIAN_POINT('Ctrl Pts',(26.7841223451977,29.256778304291,-11.85));
#69794=CARTESIAN_POINT('Ctrl Pts',(26.7841223451977,29.256778304291,-10.85));
#69795=CARTESIAN_POINT('Ctrl Pts',(26.7899999999997,29.5094453576187,-11.85));
#69796=CARTESIAN_POINT('Ctrl Pts',(26.7899999999997,29.5094453576187,-10.85));
#69797=CARTESIAN_POINT('',(26.5761325740811,28.129562664328,-10.85));
#69798=CARTESIAN_POINT('',(26.5761325740811,28.129562664328,-11.85));
#69799=CARTESIAN_POINT('Ctrl Pts',(26.5761325740811,28.129562664328,-10.85));
#69800=CARTESIAN_POINT('Ctrl Pts',(26.6384155799729,28.3373632277185,-10.85));
#69801=CARTESIAN_POINT('Ctrl Pts',(26.7731950954459,28.7870406131903,-10.85));
#69802=CARTESIAN_POINT('Ctrl Pts',(26.7841223451977,29.256778304291,-10.85));
#69803=CARTESIAN_POINT('Ctrl Pts',(26.7899999999997,29.5094453576187,-10.85));
#69804=CARTESIAN_POINT('Ctrl Pts',(25.9608981990811,27.2477271440605,-11.85));
#69805=CARTESIAN_POINT('Ctrl Pts',(25.9608981990811,27.2477271440605,-10.85));
#69806=CARTESIAN_POINT('Ctrl Pts',(26.0882889996689,27.3674264464305,-11.85));
#69807=CARTESIAN_POINT('Ctrl Pts',(26.0882889996689,27.3674264464305,-10.85));
#69808=CARTESIAN_POINT('Ctrl Pts',(26.3548637939945,27.6179062044896,-11.85));
#69809=CARTESIAN_POINT('Ctrl Pts',(26.3548637939945,27.6179062044896,-10.85));
#69810=CARTESIAN_POINT('Ctrl Pts',(26.500232622169,27.9540534909052,-11.85));
#69811=CARTESIAN_POINT('Ctrl Pts',(26.500232622169,27.9540534909052,-10.85));
#69812=CARTESIAN_POINT('Ctrl Pts',(26.5761325740811,28.129562664328,-11.85));
#69813=CARTESIAN_POINT('Ctrl Pts',(26.5761325740811,28.129562664328,-10.85));
#69814=CARTESIAN_POINT('',(25.9608981990811,27.2477271440605,-10.85));
#69815=CARTESIAN_POINT('',(25.9608981990811,27.2477271440605,-11.85));
#69816=CARTESIAN_POINT('Ctrl Pts',(25.9608981990811,27.2477271440605,-10.85));
#69817=CARTESIAN_POINT('Ctrl Pts',(26.0882889996689,27.3674264464305,-10.85));
#69818=CARTESIAN_POINT('Ctrl Pts',(26.3548637939945,27.6179062044896,-10.85));
#69819=CARTESIAN_POINT('Ctrl Pts',(26.500232622169,27.9540534909052,-10.85));
#69820=CARTESIAN_POINT('Ctrl Pts',(26.5761325740811,28.129562664328,-10.85));
#69821=CARTESIAN_POINT('Ctrl Pts',(25.0878522777554,26.8346407297234,-11.85));
#69822=CARTESIAN_POINT('Ctrl Pts',(25.0878522777554,26.8346407297234,-10.85));
#69823=CARTESIAN_POINT('Ctrl Pts',(25.2431548638351,26.8768208540963,-11.85));
#69824=CARTESIAN_POINT('Ctrl Pts',(25.2431548638351,26.8768208540963,-10.85));
#69825=CARTESIAN_POINT('Ctrl Pts',(25.558659463085,26.9625117854099,-11.85));
#69826=CARTESIAN_POINT('Ctrl Pts',(25.558659463085,26.9625117854099,-10.85));
#69827=CARTESIAN_POINT('Ctrl Pts',(25.8254376996909,27.1516761885978,-11.85));
#69828=CARTESIAN_POINT('Ctrl Pts',(25.8254376996909,27.1516761885978,-10.85));
#69829=CARTESIAN_POINT('Ctrl Pts',(25.9608981990811,27.2477271440605,-11.85));
#69830=CARTESIAN_POINT('Ctrl Pts',(25.9608981990811,27.2477271440605,-10.85));
#69831=CARTESIAN_POINT('',(25.0878522777554,26.8346407297234,-10.85));
#69832=CARTESIAN_POINT('',(25.0878522777554,26.8346407297234,-11.85));
#69833=CARTESIAN_POINT('Ctrl Pts',(25.0878522777554,26.8346407297234,-10.85));
#69834=CARTESIAN_POINT('Ctrl Pts',(25.2431548638351,26.8768208540963,-10.85));
#69835=CARTESIAN_POINT('Ctrl Pts',(25.558659463085,26.9625117854099,-10.85));
#69836=CARTESIAN_POINT('Ctrl Pts',(25.8254376996909,27.1516761885978,-10.85));
#69837=CARTESIAN_POINT('Ctrl Pts',(25.9608981990811,27.2477271440605,-10.85));
#69838=CARTESIAN_POINT('Ctrl Pts',(23.608359494209,26.7379613998512,-11.85));
#69839=CARTESIAN_POINT('Ctrl Pts',(23.608359494209,26.7379613998512,-10.85));
#69840=CARTESIAN_POINT('Ctrl Pts',(23.9001948312034,26.7381920997481,-11.85));
#69841=CARTESIAN_POINT('Ctrl Pts',(23.9001948312034,26.7381920997481,-10.85));
#69842=CARTESIAN_POINT('Ctrl Pts',(24.3956999088161,26.7385838034104,-11.85));
#69843=CARTESIAN_POINT('Ctrl Pts',(24.3956999088161,26.7385838034104,-10.85));
#69844=CARTESIAN_POINT('Ctrl Pts',(24.8862281777816,26.8066593311423,-11.85));
#69845=CARTESIAN_POINT('Ctrl Pts',(24.8862281777816,26.8066593311423,-10.85));
#69846=CARTESIAN_POINT('Ctrl Pts',(25.0878522777554,26.8346407297234,-11.85));
#69847=CARTESIAN_POINT('Ctrl Pts',(25.0878522777554,26.8346407297234,-10.85));
#69848=CARTESIAN_POINT('',(23.608359494209,26.7379613998512,-10.85));
#69849=CARTESIAN_POINT('',(23.608359494209,26.7379613998512,-11.85));
#69850=CARTESIAN_POINT('Ctrl Pts',(23.608359494209,26.7379613998512,-10.85));
#69851=CARTESIAN_POINT('Ctrl Pts',(23.9001948312034,26.7381920997481,-10.85));
#69852=CARTESIAN_POINT('Ctrl Pts',(24.3956999088161,26.7385838034104,-10.85));
#69853=CARTESIAN_POINT('Ctrl Pts',(24.8862281777816,26.8066593311423,-10.85));
#69854=CARTESIAN_POINT('Ctrl Pts',(25.0878522777554,26.8346407297234,-10.85));
#69855=CARTESIAN_POINT('Origin',(22.2548435115811,26.7379613998512,-11.85));
#69856=CARTESIAN_POINT('',(22.2548435115811,26.7379613998512,-10.85));
#69857=CARTESIAN_POINT('',(22.2548435115811,26.7379613998512,-11.85));
#69858=CARTESIAN_POINT('',(22.2548435115811,26.7379613998512,-10.85));
#69859=CARTESIAN_POINT('Ctrl Pts',(20.1483980798718,27.238937843142,-11.85));
#69860=CARTESIAN_POINT('Ctrl Pts',(20.1483980798718,27.238937843142,-10.85));
#69861=CARTESIAN_POINT('Ctrl Pts',(20.4098918624692,27.0941442678188,-11.85));
#69862=CARTESIAN_POINT('Ctrl Pts',(20.4098918624692,27.0941442678188,-10.85));
#69863=CARTESIAN_POINT('Ctrl Pts',(21.0593117852594,26.7345493605408,-11.85));
#69864=CARTESIAN_POINT('Ctrl Pts',(21.0593117852594,26.7345493605408,-10.85));
#69865=CARTESIAN_POINT('Ctrl Pts',(21.8077622292399,26.7366854329516,-11.85));
#69866=CARTESIAN_POINT('Ctrl Pts',(21.8077622292399,26.7366854329516,-10.85));
#69867=CARTESIAN_POINT('Ctrl Pts',(22.2548435115811,26.7379613998512,-11.85));
#69868=CARTESIAN_POINT('Ctrl Pts',(22.2548435115811,26.7379613998512,-10.85));
#69869=CARTESIAN_POINT('',(20.1483980798718,27.238937843142,-10.85));
#69870=CARTESIAN_POINT('',(20.1483980798718,27.238937843142,-11.85));
#69871=CARTESIAN_POINT('Ctrl Pts',(20.1483980798718,27.238937843142,-10.85));
#69872=CARTESIAN_POINT('Ctrl Pts',(20.4098918624692,27.0941442678188,-10.85));
#69873=CARTESIAN_POINT('Ctrl Pts',(21.0593117852594,26.7345493605408,-10.85));
#69874=CARTESIAN_POINT('Ctrl Pts',(21.8077622292399,26.7366854329516,-10.85));
#69875=CARTESIAN_POINT('Ctrl Pts',(22.2548435115811,26.7379613998512,-10.85));
#69876=CARTESIAN_POINT('Ctrl Pts',(19.6005467557904,28.7184297326187,-11.85));
#69877=CARTESIAN_POINT('Ctrl Pts',(19.6005467557904,28.7184297326187,-10.85));
#69878=CARTESIAN_POINT('Ctrl Pts',(19.615349152435,28.4200316531082,-11.85));
#69879=CARTESIAN_POINT('Ctrl Pts',(19.615349152435,28.4200316531082,-10.85));
#69880=CARTESIAN_POINT('Ctrl Pts',(19.6428120632979,27.8664132049588,-11.85));
#69881=CARTESIAN_POINT('Ctrl Pts',(19.6428120632979,27.8664132049588,-10.85));
#69882=CARTESIAN_POINT('Ctrl Pts',(19.9888658630068,27.4369309288233,-11.85));
#69883=CARTESIAN_POINT('Ctrl Pts',(19.9888658630068,27.4369309288233,-10.85));
#69884=CARTESIAN_POINT('Ctrl Pts',(20.1483980798718,27.238937843142,-11.85));
#69885=CARTESIAN_POINT('Ctrl Pts',(20.1483980798718,27.238937843142,-10.85));
#69886=CARTESIAN_POINT('',(19.6005467557904,28.7184297326187,-10.85));
#69887=CARTESIAN_POINT('',(19.6005467557904,28.7184297326187,-11.85));
#69888=CARTESIAN_POINT('Ctrl Pts',(19.6005467557904,28.7184297326187,-10.85));
#69889=CARTESIAN_POINT('Ctrl Pts',(19.615349152435,28.4200316531082,-10.85));
#69890=CARTESIAN_POINT('Ctrl Pts',(19.6428120632979,27.8664132049588,-10.85));
#69891=CARTESIAN_POINT('Ctrl Pts',(19.9888658630068,27.4369309288233,-10.85));
#69892=CARTESIAN_POINT('Ctrl Pts',(20.1483980798718,27.238937843142,-10.85));
#69893=CARTESIAN_POINT('Ctrl Pts',(20.2509371423718,30.136398601828,-11.85));
#69894=CARTESIAN_POINT('Ctrl Pts',(20.2509371423718,30.136398601828,-10.85));
#69895=CARTESIAN_POINT('Ctrl Pts',(20.0612411462716,29.9389050765293,-11.85));
#69896=CARTESIAN_POINT('Ctrl Pts',(20.0612411462716,29.9389050765293,-10.85));
#69897=CARTESIAN_POINT('Ctrl Pts',(19.679942473194,29.5419329701807,-11.85));
#69898=CARTESIAN_POINT('Ctrl Pts',(19.679942473194,29.5419329701807,-10.85));
#69899=CARTESIAN_POINT('Ctrl Pts',(19.6271000742519,28.9938443826301,-11.85));
#69900=CARTESIAN_POINT('Ctrl Pts',(19.6271000742519,28.9938443826301,-10.85));
#69901=CARTESIAN_POINT('Ctrl Pts',(19.6005467557904,28.7184297326187,-11.85));
#69902=CARTESIAN_POINT('Ctrl Pts',(19.6005467557904,28.7184297326187,-10.85));
#69903=CARTESIAN_POINT('',(20.2509371423718,30.136398601828,-10.85));
#69904=CARTESIAN_POINT('',(20.2509371423718,30.136398601828,-11.85));
#69905=CARTESIAN_POINT('Ctrl Pts',(20.2509371423718,30.136398601828,-10.85));
#69906=CARTESIAN_POINT('Ctrl Pts',(20.0612411462716,29.9389050765293,-10.85));
#69907=CARTESIAN_POINT('Ctrl Pts',(19.679942473194,29.5419329701807,-10.85));
#69908=CARTESIAN_POINT('Ctrl Pts',(19.6271000742519,28.9938443826301,-10.85));
#69909=CARTESIAN_POINT('Ctrl Pts',(19.6005467557904,28.7184297326187,-10.85));
#69910=CARTESIAN_POINT('Origin',(18.6396090173718,30.136398601828,-11.85));
#69911=CARTESIAN_POINT('',(18.6396090173718,30.136398601828,-10.85));
#69912=CARTESIAN_POINT('',(18.6396090173718,30.136398601828,-11.85));
#69913=CARTESIAN_POINT('',(18.6396090173718,30.136398601828,-10.85));
#69914=CARTESIAN_POINT('Origin',(18.6396090173718,27.2008516672234,-11.85));
#69915=CARTESIAN_POINT('',(18.6396090173718,27.2008516672234,-10.85));
#69916=CARTESIAN_POINT('',(18.6396090173718,27.2008516672234,-11.85));
#69917=CARTESIAN_POINT('',(18.6396090173718,27.2008516672234,-10.85));
#69918=CARTESIAN_POINT('Origin',(17.1220306539532,27.2008516672234,-11.85));
#69919=CARTESIAN_POINT('',(17.1220306539532,27.2008516672234,-10.85));
#69920=CARTESIAN_POINT('',(17.1220306539532,27.2008516672234,-11.85));
#69921=CARTESIAN_POINT('',(17.1220306539532,27.2008516672234,-10.85));
#69922=CARTESIAN_POINT('Origin',(17.1220306539533,32.2809299859385,-11.85));
#69923=CARTESIAN_POINT('',(17.1220306539533,32.2809299859385,-10.85));
#69924=CARTESIAN_POINT('Origin',(21.9560153269765,29.5692631219155,-10.85));
#69925=CARTESIAN_POINT('Ctrl Pts',(19.4288859748839,54.8728219703698,-11.85));
#69926=CARTESIAN_POINT('Ctrl Pts',(19.4288859748839,54.8728219703698,-10.85));
#69927=CARTESIAN_POINT('Ctrl Pts',(19.661030139757,54.8740860045329,-11.85));
#69928=CARTESIAN_POINT('Ctrl Pts',(19.661030139757,54.8740860045329,-10.85));
#69929=CARTESIAN_POINT('Ctrl Pts',(20.0593318466478,54.8762547730868,-11.85));
#69930=CARTESIAN_POINT('Ctrl Pts',(20.0593318466478,54.8762547730868,-10.85));
#69931=CARTESIAN_POINT('Ctrl Pts',(20.4263625790274,55.0268118271613,-11.85));
#69932=CARTESIAN_POINT('Ctrl Pts',(20.4263625790274,55.0268118271613,-10.85));
#69933=CARTESIAN_POINT('Ctrl Pts',(20.5794749641417,55.0896189645791,-11.85));
#69934=CARTESIAN_POINT('Ctrl Pts',(20.5794749641417,55.0896189645791,-10.85));
#69935=CARTESIAN_POINT('',(19.4288859748839,54.8728219703698,-10.85));
#69936=CARTESIAN_POINT('',(19.4288859748839,54.8728219703698,-11.85));
#69937=CARTESIAN_POINT('',(20.5794749641417,55.0896189645791,-10.85));
#69938=CARTESIAN_POINT('Ctrl Pts',(19.4288859748839,54.8728219703698,-10.85));
#69939=CARTESIAN_POINT('Ctrl Pts',(19.661030139757,54.8740860045329,-10.85));
#69940=CARTESIAN_POINT('Ctrl Pts',(20.0593318466478,54.8762547730868,-10.85));
#69941=CARTESIAN_POINT('Ctrl Pts',(20.4263625790274,55.0268118271613,-10.85));
#69942=CARTESIAN_POINT('Ctrl Pts',(20.5794749641417,55.0896189645791,-10.85));
#69943=CARTESIAN_POINT('',(20.5794749641417,55.0896189645791,-11.85));
#69944=CARTESIAN_POINT('Ctrl Pts',(17.590323486328,55.476338072207,-11.85));
#69945=CARTESIAN_POINT('Ctrl Pts',(17.590323486328,55.476338072207,-10.85));
#69946=CARTESIAN_POINT('Ctrl Pts',(17.8452475775171,55.3005766582251,-11.85));
#69947=CARTESIAN_POINT('Ctrl Pts',(17.8452475775171,55.3005766582251,-10.85));
#69948=CARTESIAN_POINT('Ctrl Pts',(18.3965187328323,54.9204941117162,-11.85));
#69949=CARTESIAN_POINT('Ctrl Pts',(18.3965187328323,54.9204941117162,-10.85));
#69950=CARTESIAN_POINT('Ctrl Pts',(19.0679463510476,54.8894892609077,-11.85));
#69951=CARTESIAN_POINT('Ctrl Pts',(19.0679463510476,54.8894892609077,-10.85));
#69952=CARTESIAN_POINT('Ctrl Pts',(19.4288859748839,54.8728219703698,-11.85));
#69953=CARTESIAN_POINT('Ctrl Pts',(19.4288859748839,54.8728219703698,-10.85));
#69954=CARTESIAN_POINT('',(17.590323486328,55.476338072207,-10.85));
#69955=CARTESIAN_POINT('',(17.590323486328,55.476338072207,-11.85));
#69956=CARTESIAN_POINT('Ctrl Pts',(17.590323486328,55.476338072207,-10.85));
#69957=CARTESIAN_POINT('Ctrl Pts',(17.8452475775171,55.3005766582251,-10.85));
#69958=CARTESIAN_POINT('Ctrl Pts',(18.3965187328323,54.9204941117162,-10.85));
#69959=CARTESIAN_POINT('Ctrl Pts',(19.0679463510476,54.8894892609077,-10.85));
#69960=CARTESIAN_POINT('Ctrl Pts',(19.4288859748839,54.8728219703698,-10.85));
#69961=CARTESIAN_POINT('Ctrl Pts',(16.9286719942092,57.7732128933931,-11.85));
#69962=CARTESIAN_POINT('Ctrl Pts',(16.9286719942092,57.7732128933931,-10.85));
#69963=CARTESIAN_POINT('Ctrl Pts',(16.9310176968346,57.2823394795535,-11.85));
#69964=CARTESIAN_POINT('Ctrl Pts',(16.9310176968346,57.2823394795535,-10.85));
#69965=CARTESIAN_POINT('Ctrl Pts',(16.935014286665,56.4459931872125,-11.85));
#69966=CARTESIAN_POINT('Ctrl Pts',(16.935014286665,56.4459931872125,-10.85));
#69967=CARTESIAN_POINT('Ctrl Pts',(17.3987615612031,55.7597905258475,-11.85));
#69968=CARTESIAN_POINT('Ctrl Pts',(17.3987615612031,55.7597905258475,-10.85));
#69969=CARTESIAN_POINT('Ctrl Pts',(17.590323486328,55.476338072207,-11.85));
#69970=CARTESIAN_POINT('Ctrl Pts',(17.590323486328,55.476338072207,-10.85));
#69971=CARTESIAN_POINT('',(16.9286719942092,57.7732128933931,-10.85));
#69972=CARTESIAN_POINT('',(16.9286719942092,57.7732128933931,-11.85));
#69973=CARTESIAN_POINT('Ctrl Pts',(16.9286719942092,57.7732128933931,-10.85));
#69974=CARTESIAN_POINT('Ctrl Pts',(16.9310176968346,57.2823394795535,-10.85));
#69975=CARTESIAN_POINT('Ctrl Pts',(16.935014286665,56.4459931872125,-10.85));
#69976=CARTESIAN_POINT('Ctrl Pts',(17.3987615612031,55.7597905258475,-10.85));
#69977=CARTESIAN_POINT('Ctrl Pts',(17.590323486328,55.476338072207,-10.85));
#69978=CARTESIAN_POINT('Ctrl Pts',(17.4062112951278,59.7478223876024,-11.85));
#69979=CARTESIAN_POINT('Ctrl Pts',(17.4062112951278,59.7478223876024,-10.85));
#69980=CARTESIAN_POINT('Ctrl Pts',(17.2676501003928,59.4848638162711,-11.85));
#69981=CARTESIAN_POINT('Ctrl Pts',(17.2676501003928,59.4848638162711,-10.85));
#69982=CARTESIAN_POINT('Ctrl Pts',(16.9439177232041,58.8704912023368,-11.85));
#69983=CARTESIAN_POINT('Ctrl Pts',(16.9439177232041,58.8704912023368,-10.85));
#69984=CARTESIAN_POINT('Ctrl Pts',(16.9342193510941,58.1724718848033,-11.85));
#69985=CARTESIAN_POINT('Ctrl Pts',(16.9342193510941,58.1724718848033,-10.85));
#69986=CARTESIAN_POINT('Ctrl Pts',(16.9286719942092,57.7732128933931,-11.85));
#69987=CARTESIAN_POINT('Ctrl Pts',(16.9286719942092,57.7732128933931,-10.85));
#69988=CARTESIAN_POINT('',(17.4062112951278,59.7478223876024,-10.85));
#69989=CARTESIAN_POINT('',(17.4062112951278,59.7478223876024,-11.85));
#69990=CARTESIAN_POINT('Ctrl Pts',(17.4062112951278,59.7478223876024,-10.85));
#69991=CARTESIAN_POINT('Ctrl Pts',(17.2676501003928,59.4848638162711,-10.85));
#69992=CARTESIAN_POINT('Ctrl Pts',(16.9439177232041,58.8704912023368,-10.85));
#69993=CARTESIAN_POINT('Ctrl Pts',(16.9342193510941,58.1724718848033,-10.85));
#69994=CARTESIAN_POINT('Ctrl Pts',(16.9286719942092,57.7732128933931,-10.85));
#69995=CARTESIAN_POINT('Ctrl Pts',(19.2431251192092,60.368916197207,-11.85));
#69996=CARTESIAN_POINT('Ctrl Pts',(19.2431251192092,60.368916197207,-10.85));
#69997=CARTESIAN_POINT('Ctrl Pts',(18.8458739548534,60.3636686161623,-11.85));
#69998=CARTESIAN_POINT('Ctrl Pts',(18.8458739548534,60.3636686161623,-10.85));
#69999=CARTESIAN_POINT('Ctrl Pts',(18.1586086423688,60.3545900262171,-11.85));
#70000=CARTESIAN_POINT('Ctrl Pts',(18.1586086423688,60.3545900262171,-10.85));
#70001=CARTESIAN_POINT('Ctrl Pts',(17.6294901877154,59.9278847002949,-11.85));
#70002=CARTESIAN_POINT('Ctrl Pts',(17.6294901877154,59.9278847002949,-10.85));
#70003=CARTESIAN_POINT('Ctrl Pts',(17.4062112951278,59.7478223876024,-11.85));
#70004=CARTESIAN_POINT('Ctrl Pts',(17.4062112951278,59.7478223876024,-10.85));
#70005=CARTESIAN_POINT('',(19.2431251192092,60.368916197207,-10.85));
#70006=CARTESIAN_POINT('',(19.2431251192092,60.368916197207,-11.85));
#70007=CARTESIAN_POINT('Ctrl Pts',(19.2431251192092,60.368916197207,-10.85));
#70008=CARTESIAN_POINT('Ctrl Pts',(18.8458739548534,60.3636686161623,-10.85));
#70009=CARTESIAN_POINT('Ctrl Pts',(18.1586086423688,60.3545900262171,-10.85));
#70010=CARTESIAN_POINT('Ctrl Pts',(17.6294901877154,59.9278847002949,-10.85));
#70011=CARTESIAN_POINT('Ctrl Pts',(17.4062112951278,59.7478223876024,-10.85));
#70012=CARTESIAN_POINT('Origin',(20.0517193460464,60.368916197207,-11.85));
#70013=CARTESIAN_POINT('',(20.0517193460464,60.368916197207,-10.85));
#70014=CARTESIAN_POINT('',(20.0517193460464,60.368916197207,-11.85));
#70015=CARTESIAN_POINT('',(20.0517193460464,60.368916197207,-10.85));
#70016=CARTESIAN_POINT('Origin',(20.0517193460463,58.0017284587884,-11.85));
#70017=CARTESIAN_POINT('',(20.0517193460463,58.0017284587884,-10.85));
#70018=CARTESIAN_POINT('',(20.0517193460463,58.0017284587884,-11.85));
#70019=CARTESIAN_POINT('',(20.0517193460463,58.0017284587884,-10.85));
#70020=CARTESIAN_POINT('Origin',(19.2724219942092,58.0017284587884,-11.85));
#70021=CARTESIAN_POINT('',(19.2724219942092,58.0017284587884,-10.85));
#70022=CARTESIAN_POINT('',(19.2724219942092,58.0017284587884,-11.85));
#70023=CARTESIAN_POINT('',(19.2724219942092,58.0017284587884,-10.85));
#70024=CARTESIAN_POINT('Ctrl Pts',(18.560508289337,57.9109082654977,-11.85));
#70025=CARTESIAN_POINT('Ctrl Pts',(18.560508289337,57.9109082654977,-10.85));
#70026=CARTESIAN_POINT('Ctrl Pts',(18.64714287328,57.9370170870384,-11.85));
#70027=CARTESIAN_POINT('Ctrl Pts',(18.64714287328,57.9370170870384,-10.85));
#70028=CARTESIAN_POINT('Ctrl Pts',(18.8783854267929,58.0067060067228,-11.85));
#70029=CARTESIAN_POINT('Ctrl Pts',(18.8783854267929,58.0067060067228,-10.85));
#70030=CARTESIAN_POINT('Ctrl Pts',(19.1208169692035,58.0036435634804,-11.85));
#70031=CARTESIAN_POINT('Ctrl Pts',(19.1208169692035,58.0036435634804,-10.85));
#70032=CARTESIAN_POINT('Ctrl Pts',(19.2724219942092,58.0017284587884,-11.85));
#70033=CARTESIAN_POINT('Ctrl Pts',(19.2724219942092,58.0017284587884,-10.85));
#70034=CARTESIAN_POINT('',(18.560508289337,57.9109082654977,-10.85));
#70035=CARTESIAN_POINT('',(18.560508289337,57.9109082654977,-11.85));
#70036=CARTESIAN_POINT('Ctrl Pts',(18.560508289337,57.9109082654977,-10.85));
#70037=CARTESIAN_POINT('Ctrl Pts',(18.64714287328,57.9370170870384,-10.85));
#70038=CARTESIAN_POINT('Ctrl Pts',(18.8783854267929,58.0067060067228,-10.85));
#70039=CARTESIAN_POINT('Ctrl Pts',(19.1208169692035,58.0036435634804,-10.85));
#70040=CARTESIAN_POINT('Ctrl Pts',(19.2724219942092,58.0017284587884,-10.85));
#70041=CARTESIAN_POINT('Ctrl Pts',(18.3935157442092,57.5739942029977,-11.85));
#70042=CARTESIAN_POINT('Ctrl Pts',(18.3935157442092,57.5739942029977,-10.85));
#70043=CARTESIAN_POINT('Ctrl Pts',(18.3979707441777,57.6480532183829,-11.85));
#70044=CARTESIAN_POINT('Ctrl Pts',(18.3979707441777,57.6480532183829,-10.85));
#70045=CARTESIAN_POINT('Ctrl Pts',(18.4062237430481,57.7852493967507,-11.85));
#70046=CARTESIAN_POINT('Ctrl Pts',(18.4062237430481,57.7852493967507,-10.85));
#70047=CARTESIAN_POINT('Ctrl Pts',(18.5118838892293,57.8713055492308,-11.85));
#70048=CARTESIAN_POINT('Ctrl Pts',(18.5118838892293,57.8713055492308,-10.85));
#70049=CARTESIAN_POINT('Ctrl Pts',(18.560508289337,57.9109082654977,-11.85));
#70050=CARTESIAN_POINT('Ctrl Pts',(18.560508289337,57.9109082654977,-10.85));
#70051=CARTESIAN_POINT('',(18.3935157442092,57.5739942029977,-10.85));
#70052=CARTESIAN_POINT('',(18.3935157442092,57.5739942029977,-11.85));
#70053=CARTESIAN_POINT('Ctrl Pts',(18.3935157442092,57.5739942029977,-10.85));
#70054=CARTESIAN_POINT('Ctrl Pts',(18.3979707441777,57.6480532183829,-10.85));
#70055=CARTESIAN_POINT('Ctrl Pts',(18.4062237430481,57.7852493967507,-10.85));
#70056=CARTESIAN_POINT('Ctrl Pts',(18.5118838892293,57.8713055492308,-10.85));
#70057=CARTESIAN_POINT('Ctrl Pts',(18.560508289337,57.9109082654977,-10.85));
#70058=CARTESIAN_POINT('Ctrl Pts',(18.5517189884185,57.2546581462884,-11.85));
#70059=CARTESIAN_POINT('Ctrl Pts',(18.5517189884185,57.2546581462884,-10.85));
#70060=CARTESIAN_POINT('Ctrl Pts',(18.5055986622977,57.2950110880347,-11.85));
#70061=CARTESIAN_POINT('Ctrl Pts',(18.5055986622977,57.2950110880347,-10.85));
#70062=CARTESIAN_POINT('Ctrl Pts',(18.4093101227841,57.3792586671964,-11.85));
#70063=CARTESIAN_POINT('Ctrl Pts',(18.4093101227841,57.3792586671964,-10.85));
#70064=CARTESIAN_POINT('Ctrl Pts',(18.3989260495657,57.5072882729441,-11.85));
#70065=CARTESIAN_POINT('Ctrl Pts',(18.3989260495657,57.5072882729441,-10.85));
#70066=CARTESIAN_POINT('Ctrl Pts',(18.3935157442092,57.5739942029977,-11.85));
#70067=CARTESIAN_POINT('Ctrl Pts',(18.3935157442092,57.5739942029977,-10.85));
#70068=CARTESIAN_POINT('',(18.5517189884185,57.2546581462884,-10.85));
#70069=CARTESIAN_POINT('',(18.5517189884185,57.2546581462884,-11.85));
#70070=CARTESIAN_POINT('Ctrl Pts',(18.5517189884185,57.2546581462884,-10.85));
#70071=CARTESIAN_POINT('Ctrl Pts',(18.5055986622977,57.2950110880347,-10.85));
#70072=CARTESIAN_POINT('Ctrl Pts',(18.4093101227841,57.3792586671964,-10.85));
#70073=CARTESIAN_POINT('Ctrl Pts',(18.3989260495657,57.5072882729441,-10.85));
#70074=CARTESIAN_POINT('Ctrl Pts',(18.3935157442092,57.5739942029977,-10.85));
#70075=CARTESIAN_POINT('Ctrl Pts',(19.2079691076278,57.1521190837884,-11.85));
#70076=CARTESIAN_POINT('Ctrl Pts',(19.2079691076278,57.1521190837884,-10.85));
#70077=CARTESIAN_POINT('Ctrl Pts',(19.0683690121243,57.1504375390936,-11.85));
#70078=CARTESIAN_POINT('Ctrl Pts',(19.0683690121243,57.1504375390936,-10.85));
#70079=CARTESIAN_POINT('Ctrl Pts',(18.8427466713821,57.147719818531,-11.85));
#70080=CARTESIAN_POINT('Ctrl Pts',(18.8427466713821,57.147719818531,-10.85));
#70081=CARTESIAN_POINT('Ctrl Pts',(18.6320504167228,57.2251403061924,-11.85));
#70082=CARTESIAN_POINT('Ctrl Pts',(18.6320504167228,57.2251403061924,-10.85));
#70083=CARTESIAN_POINT('Ctrl Pts',(18.5517189884185,57.2546581462884,-11.85));
#70084=CARTESIAN_POINT('Ctrl Pts',(18.5517189884185,57.2546581462884,-10.85));
#70085=CARTESIAN_POINT('',(19.2079691076278,57.1521190837884,-10.85));
#70086=CARTESIAN_POINT('',(19.2079691076278,57.1521190837884,-11.85));
#70087=CARTESIAN_POINT('Ctrl Pts',(19.2079691076278,57.1521190837884,-10.85));
#70088=CARTESIAN_POINT('Ctrl Pts',(19.0683690121243,57.1504375390936,-10.85));
#70089=CARTESIAN_POINT('Ctrl Pts',(18.8427466713821,57.147719818531,-10.85));
#70090=CARTESIAN_POINT('Ctrl Pts',(18.6320504167228,57.2251403061924,-10.85));
#70091=CARTESIAN_POINT('Ctrl Pts',(18.5517189884185,57.2546581462884,-10.85));
#70092=CARTESIAN_POINT('Origin',(19.7353128576278,57.1521190837884,-11.85));
#70093=CARTESIAN_POINT('',(19.7353128576278,57.1521190837884,-10.85));
#70094=CARTESIAN_POINT('',(19.7353128576278,57.1521190837884,-11.85));
#70095=CARTESIAN_POINT('',(19.7353128576278,57.1521190837884,-10.85));
#70096=CARTESIAN_POINT('Ctrl Pts',(20.4150001192092,57.2400097087884,-11.85));
#70097=CARTESIAN_POINT('Ctrl Pts',(20.4150001192092,57.2400097087884,-10.85));
#70098=CARTESIAN_POINT('Ctrl Pts',(20.3227307458523,57.2145529735883,-11.85));
#70099=CARTESIAN_POINT('Ctrl Pts',(20.3227307458523,57.2145529735883,-10.85));
#70100=CARTESIAN_POINT('Ctrl Pts',(20.1007869617216,57.1533196094028,-11.85));
#70101=CARTESIAN_POINT('Ctrl Pts',(20.1007869617216,57.1533196094028,-10.85));
#70102=CARTESIAN_POINT('Ctrl Pts',(19.8700972339435,57.1525618295107,-11.85));
#70103=CARTESIAN_POINT('Ctrl Pts',(19.8700972339435,57.1525618295107,-10.85));
#70104=CARTESIAN_POINT('Ctrl Pts',(19.7353128576278,57.1521190837884,-11.85));
#70105=CARTESIAN_POINT('Ctrl Pts',(19.7353128576278,57.1521190837884,-10.85));
#70106=CARTESIAN_POINT('',(20.4150001192092,57.2400097087884,-10.85));
#70107=CARTESIAN_POINT('',(20.4150001192092,57.2400097087884,-11.85));
#70108=CARTESIAN_POINT('Ctrl Pts',(20.4150001192092,57.2400097087884,-10.85));
#70109=CARTESIAN_POINT('Ctrl Pts',(20.3227307458523,57.2145529735883,-10.85));
#70110=CARTESIAN_POINT('Ctrl Pts',(20.1007869617216,57.1533196094028,-10.85));
#70111=CARTESIAN_POINT('Ctrl Pts',(19.8700972339435,57.1525618295107,-10.85));
#70112=CARTESIAN_POINT('Ctrl Pts',(19.7353128576278,57.1521190837884,-10.85));
#70113=CARTESIAN_POINT('Ctrl Pts',(20.6962505960463,57.527119322207,-11.85));
#70114=CARTESIAN_POINT('Ctrl Pts',(20.6962505960463,57.527119322207,-10.85));
#70115=CARTESIAN_POINT('Ctrl Pts',(20.6673224806474,57.4649216965367,-11.85));
#70116=CARTESIAN_POINT('Ctrl Pts',(20.6673224806474,57.4649216965367,-10.85));
#70117=CARTESIAN_POINT('Ctrl Pts',(20.6075561419217,57.3364195693682,-11.85));
#70118=CARTESIAN_POINT('Ctrl Pts',(20.6075561419217,57.3364195693682,-10.85));
#70119=CARTESIAN_POINT('Ctrl Pts',(20.4805386031089,57.2728238270194,-11.85));
#70120=CARTESIAN_POINT('Ctrl Pts',(20.4805386031089,57.2728238270194,-10.85));
#70121=CARTESIAN_POINT('Ctrl Pts',(20.4150001192092,57.2400097087884,-11.85));
#70122=CARTESIAN_POINT('Ctrl Pts',(20.4150001192092,57.2400097087884,-10.85));
#70123=CARTESIAN_POINT('',(20.6962505960463,57.527119322207,-10.85));
#70124=CARTESIAN_POINT('',(20.6962505960463,57.527119322207,-11.85));
#70125=CARTESIAN_POINT('Ctrl Pts',(20.6962505960463,57.527119322207,-10.85));
#70126=CARTESIAN_POINT('Ctrl Pts',(20.6673224806474,57.4649216965367,-10.85));
#70127=CARTESIAN_POINT('Ctrl Pts',(20.6075561419217,57.3364195693682,-10.85));
#70128=CARTESIAN_POINT('Ctrl Pts',(20.4805386031089,57.2728238270194,-10.85));
#70129=CARTESIAN_POINT('Ctrl Pts',(20.4150001192092,57.2400097087884,-10.85));
#70130=CARTESIAN_POINT('Ctrl Pts',(20.7607034826278,58.5700879529977,-11.85));
#70131=CARTESIAN_POINT('Ctrl Pts',(20.7607034826278,58.5700879529977,-10.85));
#70132=CARTESIAN_POINT('Ctrl Pts',(20.7634529879237,58.3419103283294,-11.85));
#70133=CARTESIAN_POINT('Ctrl Pts',(20.7634529879237,58.3419103283294,-10.85));
#70134=CARTESIAN_POINT('Ctrl Pts',(20.7676665420143,57.9922333281647,-11.85));
#70135=CARTESIAN_POINT('Ctrl Pts',(20.7676665420143,57.9922333281647,-10.85));
#70136=CARTESIAN_POINT('Ctrl Pts',(20.7146661900443,57.6470554314383,-11.85));
#70137=CARTESIAN_POINT('Ctrl Pts',(20.7146661900443,57.6470554314383,-10.85));
#70138=CARTESIAN_POINT('Ctrl Pts',(20.6962505960463,57.527119322207,-11.85));
#70139=CARTESIAN_POINT('Ctrl Pts',(20.6962505960463,57.527119322207,-10.85));
#70140=CARTESIAN_POINT('',(20.7607034826278,58.5700879529977,-10.85));
#70141=CARTESIAN_POINT('',(20.7607034826278,58.5700879529977,-11.85));
#70142=CARTESIAN_POINT('Ctrl Pts',(20.7607034826278,58.5700879529977,-10.85));
#70143=CARTESIAN_POINT('Ctrl Pts',(20.7634529879237,58.3419103283294,-10.85));
#70144=CARTESIAN_POINT('Ctrl Pts',(20.7676665420143,57.9922333281647,-10.85));
#70145=CARTESIAN_POINT('Ctrl Pts',(20.7146661900443,57.6470554314383,-10.85));
#70146=CARTESIAN_POINT('Ctrl Pts',(20.6962505960463,57.527119322207,-10.85));
#70147=CARTESIAN_POINT('Origin',(22.1376566076278,58.5700879529977,-11.85));
#70148=CARTESIAN_POINT('',(22.1376566076278,58.5700879529977,-10.85));
#70149=CARTESIAN_POINT('',(22.1376566076278,58.5700879529977,-11.85));
#70150=CARTESIAN_POINT('',(22.1376566076278,58.5700879529977,-10.85));
#70151=CARTESIAN_POINT('Ctrl Pts',(22.2284768009185,57.6384473876023,-11.85));
#70152=CARTESIAN_POINT('Ctrl Pts',(22.2284768009185,57.6384473876023,-10.85));
#70153=CARTESIAN_POINT('Ctrl Pts',(22.2048849246862,57.755803891001,-11.85));
#70154=CARTESIAN_POINT('Ctrl Pts',(22.2048849246862,57.755803891001,-10.85));
#70155=CARTESIAN_POINT('Ctrl Pts',(22.1431670546251,58.0628160741894,-11.85));
#70156=CARTESIAN_POINT('Ctrl Pts',(22.1431670546251,58.0628160741894,-10.85));
#70157=CARTESIAN_POINT('Ctrl Pts',(22.139760805723,58.37638306451,-11.85));
#70158=CARTESIAN_POINT('Ctrl Pts',(22.139760805723,58.37638306451,-10.85));
#70159=CARTESIAN_POINT('Ctrl Pts',(22.1376566076278,58.5700879529977,-11.85));
#70160=CARTESIAN_POINT('Ctrl Pts',(22.1376566076278,58.5700879529977,-10.85));
#70161=CARTESIAN_POINT('',(22.2284768009185,57.6384473876023,-10.85));
#70162=CARTESIAN_POINT('',(22.2284768009185,57.6384473876023,-11.85));
#70163=CARTESIAN_POINT('Ctrl Pts',(22.2284768009185,57.6384473876023,-10.85));
#70164=CARTESIAN_POINT('Ctrl Pts',(22.2048849246862,57.755803891001,-10.85));
#70165=CARTESIAN_POINT('Ctrl Pts',(22.1431670546251,58.0628160741894,-10.85));
#70166=CARTESIAN_POINT('Ctrl Pts',(22.139760805723,58.37638306451,-10.85));
#70167=CARTESIAN_POINT('Ctrl Pts',(22.1376566076278,58.5700879529977,-10.85));
#70168=CARTESIAN_POINT('Ctrl Pts',(22.559531726837,57.275166018393,-11.85));
#70169=CARTESIAN_POINT('Ctrl Pts',(22.559531726837,57.275166018393,-10.85));
#70170=CARTESIAN_POINT('Ctrl Pts',(22.4831677813986,57.3198406827195,-11.85));
#70171=CARTESIAN_POINT('Ctrl Pts',(22.4831677813986,57.3198406827195,-10.85));
#70172=CARTESIAN_POINT('Ctrl Pts',(22.334749908218,57.4066685518968,-11.85));
#70173=CARTESIAN_POINT('Ctrl Pts',(22.334749908218,57.4066685518968,-10.85));
#70174=CARTESIAN_POINT('Ctrl Pts',(22.2632086567034,57.5626981289208,-11.85));
#70175=CARTESIAN_POINT('Ctrl Pts',(22.2632086567034,57.5626981289208,-10.85));
#70176=CARTESIAN_POINT('Ctrl Pts',(22.2284768009185,57.6384473876023,-11.85));
#70177=CARTESIAN_POINT('Ctrl Pts',(22.2284768009185,57.6384473876023,-10.85));
#70178=CARTESIAN_POINT('',(22.559531726837,57.275166018393,-10.85));
#70179=CARTESIAN_POINT('',(22.559531726837,57.275166018393,-11.85));
#70180=CARTESIAN_POINT('Ctrl Pts',(22.559531726837,57.275166018393,-10.85));
#70181=CARTESIAN_POINT('Ctrl Pts',(22.4831677813986,57.3198406827195,-10.85));
#70182=CARTESIAN_POINT('Ctrl Pts',(22.334749908218,57.4066685518968,-10.85));
#70183=CARTESIAN_POINT('Ctrl Pts',(22.2632086567034,57.5626981289208,-10.85));
#70184=CARTESIAN_POINT('Ctrl Pts',(22.2284768009185,57.6384473876023,-10.85));
#70185=CARTESIAN_POINT('Ctrl Pts',(23.3564064884185,57.1521190837884,-11.85));
#70186=CARTESIAN_POINT('Ctrl Pts',(23.3564064884185,57.1521190837884,-10.85));
#70187=CARTESIAN_POINT('Ctrl Pts',(23.1975325073183,57.1528225320722,-11.85));
#70188=CARTESIAN_POINT('Ctrl Pts',(23.1975325073183,57.1528225320722,-10.85));
#70189=CARTESIAN_POINT('Ctrl Pts',(22.9250404797492,57.1540290483803,-11.85));
#70190=CARTESIAN_POINT('Ctrl Pts',(22.9250404797492,57.1540290483803,-10.85));
#70191=CARTESIAN_POINT('Ctrl Pts',(22.6670875593976,57.2395198469682,-11.85));
#70192=CARTESIAN_POINT('Ctrl Pts',(22.6670875593976,57.2395198469682,-10.85));
#70193=CARTESIAN_POINT('Ctrl Pts',(22.559531726837,57.275166018393,-11.85));
#70194=CARTESIAN_POINT('Ctrl Pts',(22.559531726837,57.275166018393,-10.85));
#70195=CARTESIAN_POINT('',(23.3564064884185,57.1521190837884,-10.85));
#70196=CARTESIAN_POINT('',(23.3564064884185,57.1521190837884,-11.85));
#70197=CARTESIAN_POINT('Ctrl Pts',(23.3564064884185,57.1521190837884,-10.85));
#70198=CARTESIAN_POINT('Ctrl Pts',(23.1975325073183,57.1528225320722,-10.85));
#70199=CARTESIAN_POINT('Ctrl Pts',(22.9250404797492,57.1540290483803,-10.85));
#70200=CARTESIAN_POINT('Ctrl Pts',(22.6670875593976,57.2395198469682,-10.85));
#70201=CARTESIAN_POINT('Ctrl Pts',(22.559531726837,57.275166018393,-10.85));
#70202=CARTESIAN_POINT('Origin',(24.0126566076278,57.1521190837884,-11.85));
#70203=CARTESIAN_POINT('',(24.0126566076278,57.1521190837884,-10.85));
#70204=CARTESIAN_POINT('',(24.0126566076278,57.1521190837884,-11.85));
#70205=CARTESIAN_POINT('',(24.0126566076278,57.1521190837884,-10.85));
#70206=CARTESIAN_POINT('Ctrl Pts',(25.1142189884185,57.2400097087884,-11.85));
#70207=CARTESIAN_POINT('Ctrl Pts',(25.1142189884185,57.2400097087884,-10.85));
#70208=CARTESIAN_POINT('Ctrl Pts',(24.9875847680122,57.2148880884178,-11.85));
#70209=CARTESIAN_POINT('Ctrl Pts',(24.9875847680122,57.2148880884178,-10.85));
#70210=CARTESIAN_POINT('Ctrl Pts',(24.6247204366412,57.1429032809188,-11.85));
#70211=CARTESIAN_POINT('Ctrl Pts',(24.6247204366412,57.1429032809188,-10.85));
#70212=CARTESIAN_POINT('Ctrl Pts',(24.2540007030289,57.1484851823854,-11.85));
#70213=CARTESIAN_POINT('Ctrl Pts',(24.2540007030289,57.1484851823854,-10.85));
#70214=CARTESIAN_POINT('Ctrl Pts',(24.0126566076278,57.1521190837884,-11.85));
#70215=CARTESIAN_POINT('Ctrl Pts',(24.0126566076278,57.1521190837884,-10.85));
#70216=CARTESIAN_POINT('',(25.1142189884185,57.2400097087884,-10.85));
#70217=CARTESIAN_POINT('',(25.1142189884185,57.2400097087884,-11.85));
#70218=CARTESIAN_POINT('Ctrl Pts',(25.1142189884185,57.2400097087884,-10.85));
#70219=CARTESIAN_POINT('Ctrl Pts',(24.9875847680122,57.2148880884178,-10.85));
#70220=CARTESIAN_POINT('Ctrl Pts',(24.6247204366412,57.1429032809188,-10.85));
#70221=CARTESIAN_POINT('Ctrl Pts',(24.2540007030289,57.1484851823854,-10.85));
#70222=CARTESIAN_POINT('Ctrl Pts',(24.0126566076278,57.1521190837884,-10.85));
#70223=CARTESIAN_POINT('Ctrl Pts',(25.3251562499999,57.6032910779977,-11.85));
#70224=CARTESIAN_POINT('Ctrl Pts',(25.3251562499999,57.6032910779977,-10.85));
#70225=CARTESIAN_POINT('Ctrl Pts',(25.3186935675456,57.5203649617491,-11.85));
#70226=CARTESIAN_POINT('Ctrl Pts',(25.3186935675456,57.5203649617491,-10.85));
#70227=CARTESIAN_POINT('Ctrl Pts',(25.306503974112,57.3639538044253,-11.85));
#70228=CARTESIAN_POINT('Ctrl Pts',(25.306503974112,57.3639538044253,-10.85));
#70229=CARTESIAN_POINT('Ctrl Pts',(25.1756818145558,57.2796277495491,-11.85));
#70230=CARTESIAN_POINT('Ctrl Pts',(25.1756818145558,57.2796277495491,-10.85));
#70231=CARTESIAN_POINT('Ctrl Pts',(25.1142189884185,57.2400097087884,-11.85));
#70232=CARTESIAN_POINT('Ctrl Pts',(25.1142189884185,57.2400097087884,-10.85));
#70233=CARTESIAN_POINT('',(25.3251562499999,57.6032910779977,-10.85));
#70234=CARTESIAN_POINT('',(25.3251562499999,57.6032910779977,-11.85));
#70235=CARTESIAN_POINT('Ctrl Pts',(25.3251562499999,57.6032910779977,-10.85));
#70236=CARTESIAN_POINT('Ctrl Pts',(25.3186935675456,57.5203649617491,-10.85));
#70237=CARTESIAN_POINT('Ctrl Pts',(25.306503974112,57.3639538044253,-10.85));
#70238=CARTESIAN_POINT('Ctrl Pts',(25.1756818145558,57.2796277495491,-10.85));
#70239=CARTESIAN_POINT('Ctrl Pts',(25.1142189884185,57.2400097087884,-10.85));
#70240=CARTESIAN_POINT('Ctrl Pts',(25.1581643009185,57.9284862712884,-11.85));
#70241=CARTESIAN_POINT('Ctrl Pts',(25.1581643009185,57.9284862712884,-10.85));
#70242=CARTESIAN_POINT('Ctrl Pts',(25.2067373755395,57.892971430528,-11.85));
#70243=CARTESIAN_POINT('Ctrl Pts',(25.2067373755395,57.892971430528,-10.85));
#70244=CARTESIAN_POINT('Ctrl Pts',(25.3150132559543,57.8138041000446,-11.85));
#70245=CARTESIAN_POINT('Ctrl Pts',(25.3150132559543,57.8138041000446,-10.85));
#70246=CARTESIAN_POINT('Ctrl Pts',(25.3215512378561,57.6781113921459,-11.85));
#70247=CARTESIAN_POINT('Ctrl Pts',(25.3215512378561,57.6781113921459,-10.85));
#70248=CARTESIAN_POINT('Ctrl Pts',(25.3251562499999,57.6032910779977,-11.85));
#70249=CARTESIAN_POINT('Ctrl Pts',(25.3251562499999,57.6032910779977,-10.85));
#70250=CARTESIAN_POINT('',(25.1581643009185,57.9284862712884,-10.85));
#70251=CARTESIAN_POINT('',(25.1581643009185,57.9284862712884,-11.85));
#70252=CARTESIAN_POINT('Ctrl Pts',(25.1581643009185,57.9284862712884,-10.85));
#70253=CARTESIAN_POINT('Ctrl Pts',(25.2067373755395,57.892971430528,-10.85));
#70254=CARTESIAN_POINT('Ctrl Pts',(25.3150132559543,57.8138041000446,-10.85));
#70255=CARTESIAN_POINT('Ctrl Pts',(25.3215512378561,57.6781113921459,-10.85));
#70256=CARTESIAN_POINT('Ctrl Pts',(25.3251562499999,57.6032910779977,-10.85));
#70257=CARTESIAN_POINT('Ctrl Pts',(24.3759379768371,58.0017284587884,-11.85));
#70258=CARTESIAN_POINT('Ctrl Pts',(24.3759379768371,58.0017284587884,-10.85));
#70259=CARTESIAN_POINT('Ctrl Pts',(24.5446369623672,58.0040324297606,-11.85));
#70260=CARTESIAN_POINT('Ctrl Pts',(24.5446369623672,58.0040324297606,-10.85));
#70261=CARTESIAN_POINT('Ctrl Pts',(24.8086260866922,58.0076378057948,-11.85));
#70262=CARTESIAN_POINT('Ctrl Pts',(24.8086260866922,58.0076378057948,-10.85));
#70263=CARTESIAN_POINT('Ctrl Pts',(25.0654577398482,57.9494793022901,-11.85));
#70264=CARTESIAN_POINT('Ctrl Pts',(25.0654577398482,57.9494793022901,-10.85));
#70265=CARTESIAN_POINT('Ctrl Pts',(25.1581643009185,57.9284862712884,-11.85));
#70266=CARTESIAN_POINT('Ctrl Pts',(25.1581643009185,57.9284862712884,-10.85));
#70267=CARTESIAN_POINT('',(24.3759379768371,58.0017284587884,-10.85));
#70268=CARTESIAN_POINT('',(24.3759379768371,58.0017284587884,-11.85));
#70269=CARTESIAN_POINT('Ctrl Pts',(24.3759379768371,58.0017284587884,-10.85));
#70270=CARTESIAN_POINT('Ctrl Pts',(24.5446369623672,58.0040324297606,-10.85));
#70271=CARTESIAN_POINT('Ctrl Pts',(24.8086260866922,58.0076378057948,-10.85));
#70272=CARTESIAN_POINT('Ctrl Pts',(25.0654577398482,57.9494793022901,-10.85));
#70273=CARTESIAN_POINT('Ctrl Pts',(25.1581643009185,57.9284862712884,-10.85));
#70274=CARTESIAN_POINT('Origin',(22.8349218749999,58.0017284587884,-11.85));
#70275=CARTESIAN_POINT('',(22.8349218749999,58.0017284587884,-10.85));
#70276=CARTESIAN_POINT('',(22.8349218749999,58.0017284587884,-11.85));
#70277=CARTESIAN_POINT('',(22.8349218749999,58.0017284587884,-10.85));
#70278=CARTESIAN_POINT('Origin',(22.8349218749999,60.368916197207,-11.85));
#70279=CARTESIAN_POINT('',(22.8349218749999,60.368916197207,-10.85));
#70280=CARTESIAN_POINT('',(22.8349218749999,60.368916197207,-11.85));
#70281=CARTESIAN_POINT('',(22.8349218749999,60.368916197207,-10.85));
#70282=CARTESIAN_POINT('Origin',(23.5849223518371,60.368916197207,-11.85));
#70283=CARTESIAN_POINT('',(23.5849223518371,60.368916197207,-10.85));
#70284=CARTESIAN_POINT('',(23.5849223518371,60.368916197207,-11.85));
#70285=CARTESIAN_POINT('',(23.5849223518371,60.368916197207,-10.85));
#70286=CARTESIAN_POINT('Ctrl Pts',(25.4862890624999,60.1667677522564,-11.85));
#70287=CARTESIAN_POINT('Ctrl Pts',(25.4862890624999,60.1667677522564,-10.85));
#70288=CARTESIAN_POINT('Ctrl Pts',(25.2374933831173,60.2251521049163,-11.85));
#70289=CARTESIAN_POINT('Ctrl Pts',(25.2374933831173,60.2251521049163,-10.85));
#70290=CARTESIAN_POINT('Ctrl Pts',(24.6133794198605,60.3716116006005,-11.85));
#70291=CARTESIAN_POINT('Ctrl Pts',(24.6133794198605,60.3716116006005,-10.85));
#70292=CARTESIAN_POINT('Ctrl Pts',(23.9711403700338,60.3699284060675,-11.85));
#70293=CARTESIAN_POINT('Ctrl Pts',(23.9711403700338,60.3699284060675,-10.85));
#70294=CARTESIAN_POINT('Ctrl Pts',(23.5849223518371,60.368916197207,-11.85));
#70295=CARTESIAN_POINT('Ctrl Pts',(23.5849223518371,60.368916197207,-10.85));
#70296=CARTESIAN_POINT('',(25.4862890624999,60.1667677522564,-10.85));
#70297=CARTESIAN_POINT('',(25.4862890624999,60.1667677522564,-11.85));
#70298=CARTESIAN_POINT('Ctrl Pts',(25.4862890624999,60.1667677522564,-10.85));
#70299=CARTESIAN_POINT('Ctrl Pts',(25.2374933831173,60.2251521049163,-10.85));
#70300=CARTESIAN_POINT('Ctrl Pts',(24.6133794198605,60.3716116006005,-10.85));
#70301=CARTESIAN_POINT('Ctrl Pts',(23.9711403700338,60.3699284060675,-10.85));
#70302=CARTESIAN_POINT('Ctrl Pts',(23.5849223518371,60.368916197207,-10.85));
#70303=CARTESIAN_POINT('Ctrl Pts',(26.4120707893371,59.3230177001023,-11.85));
#70304=CARTESIAN_POINT('Ctrl Pts',(26.4120707893371,59.3230177001023,-10.85));
#70305=CARTESIAN_POINT('Ctrl Pts',(26.2858251296289,59.51586598536,-11.85));
#70306=CARTESIAN_POINT('Ctrl Pts',(26.2858251296289,59.51586598536,-10.85));
#70307=CARTESIAN_POINT('Ctrl Pts',(26.0489648775083,59.8776851051043,-11.85));
#70308=CARTESIAN_POINT('Ctrl Pts',(26.0489648775083,59.8776851051043,-10.85));
#70309=CARTESIAN_POINT('Ctrl Pts',(25.665410391473,60.0747416396,-11.85));
#70310=CARTESIAN_POINT('Ctrl Pts',(25.665410391473,60.0747416396,-10.85));
#70311=CARTESIAN_POINT('Ctrl Pts',(25.4862890624999,60.1667677522564,-11.85));
#70312=CARTESIAN_POINT('Ctrl Pts',(25.4862890624999,60.1667677522564,-10.85));
#70313=CARTESIAN_POINT('',(26.4120707893371,59.3230177001023,-10.85));
#70314=CARTESIAN_POINT('',(26.4120707893371,59.3230177001023,-11.85));
#70315=CARTESIAN_POINT('Ctrl Pts',(26.4120707893371,59.3230177001023,-10.85));
#70316=CARTESIAN_POINT('Ctrl Pts',(26.2858251296289,59.51586598536,-10.85));
#70317=CARTESIAN_POINT('Ctrl Pts',(26.0489648775083,59.8776851051043,-10.85));
#70318=CARTESIAN_POINT('Ctrl Pts',(25.665410391473,60.0747416396,-10.85));
#70319=CARTESIAN_POINT('Ctrl Pts',(25.4862890624999,60.1667677522564,-10.85));
#70320=CARTESIAN_POINT('Ctrl Pts',(26.7899999999999,57.5564161972069,-11.85));
#70321=CARTESIAN_POINT('Ctrl Pts',(26.7899999999999,57.5564161972069,-10.85));
#70322=CARTESIAN_POINT('Ctrl Pts',(26.7822130995106,57.8965558353706,-11.85));
#70323=CARTESIAN_POINT('Ctrl Pts',(26.7822130995106,57.8965558353706,-10.85));
#70324=CARTESIAN_POINT('Ctrl Pts',(26.7681459971948,58.5110210000827,-11.85));
#70325=CARTESIAN_POINT('Ctrl Pts',(26.7681459971948,58.5110210000827,-10.85));
#70326=CARTESIAN_POINT('Ctrl Pts',(26.5219735142946,59.0723946631165,-11.85));
#70327=CARTESIAN_POINT('Ctrl Pts',(26.5219735142946,59.0723946631165,-10.85));
#70328=CARTESIAN_POINT('Ctrl Pts',(26.4120707893371,59.3230177001023,-11.85));
#70329=CARTESIAN_POINT('Ctrl Pts',(26.4120707893371,59.3230177001023,-10.85));
#70330=CARTESIAN_POINT('',(26.7899999999999,57.5564161972069,-10.85));
#70331=CARTESIAN_POINT('',(26.7899999999999,57.5564161972069,-11.85));
#70332=CARTESIAN_POINT('Ctrl Pts',(26.7899999999999,57.5564161972069,-10.85));
#70333=CARTESIAN_POINT('Ctrl Pts',(26.7822130995106,57.8965558353706,-10.85));
#70334=CARTESIAN_POINT('Ctrl Pts',(26.7681459971948,58.5110210000827,-10.85));
#70335=CARTESIAN_POINT('Ctrl Pts',(26.5219735142946,59.0723946631165,-10.85));
#70336=CARTESIAN_POINT('Ctrl Pts',(26.4120707893371,59.3230177001023,-10.85));
#70337=CARTESIAN_POINT('Ctrl Pts',(26.4646218204497,55.9333692029977,-11.85));
#70338=CARTESIAN_POINT('Ctrl Pts',(26.4646218204497,55.9333692029977,-10.85));
#70339=CARTESIAN_POINT('Ctrl Pts',(26.5593422386958,56.1712694977965,-11.85));
#70340=CARTESIAN_POINT('Ctrl Pts',(26.5593422386958,56.1712694977965,-10.85));
#70341=CARTESIAN_POINT('Ctrl Pts',(26.766459838935,56.6914671391005,-11.85));
#70342=CARTESIAN_POINT('Ctrl Pts',(26.766459838935,56.6914671391005,-10.85));
#70343=CARTESIAN_POINT('Ctrl Pts',(26.7817191694578,57.2521490956364,-11.85));
#70344=CARTESIAN_POINT('Ctrl Pts',(26.7817191694578,57.2521490956364,-10.85));
#70345=CARTESIAN_POINT('Ctrl Pts',(26.7899999999999,57.5564161972069,-11.85));
#70346=CARTESIAN_POINT('Ctrl Pts',(26.7899999999999,57.5564161972069,-10.85));
#70347=CARTESIAN_POINT('',(26.4646218204497,55.9333692029977,-10.85));
#70348=CARTESIAN_POINT('',(26.4646218204497,55.9333692029977,-11.85));
#70349=CARTESIAN_POINT('Ctrl Pts',(26.4646218204497,55.9333692029977,-10.85));
#70350=CARTESIAN_POINT('Ctrl Pts',(26.5593422386958,56.1712694977965,-10.85));
#70351=CARTESIAN_POINT('Ctrl Pts',(26.766459838935,56.6914671391005,-10.85));
#70352=CARTESIAN_POINT('Ctrl Pts',(26.7817191694578,57.2521490956364,-10.85));
#70353=CARTESIAN_POINT('Ctrl Pts',(26.7899999999999,57.5564161972069,-10.85));
#70354=CARTESIAN_POINT('Ctrl Pts',(25.5148992919921,55.0427440837884,-11.85));
#70355=CARTESIAN_POINT('Ctrl Pts',(25.5148992919921,55.0427440837884,-10.85));
#70356=CARTESIAN_POINT('Ctrl Pts',(25.7113046515349,55.1457766660072,-11.85));
#70357=CARTESIAN_POINT('Ctrl Pts',(25.7113046515349,55.1457766660072,-10.85));
#70358=CARTESIAN_POINT('Ctrl Pts',(26.1098064673058,55.354827331393,-11.85));
#70359=CARTESIAN_POINT('Ctrl Pts',(26.1098064673058,55.354827331393,-10.85));
#70360=CARTESIAN_POINT('Ctrl Pts',(26.3452293266168,55.738694546939,-11.85));
#70361=CARTESIAN_POINT('Ctrl Pts',(26.3452293266168,55.738694546939,-10.85));
#70362=CARTESIAN_POINT('Ctrl Pts',(26.4646218204497,55.9333692029977,-11.85));
#70363=CARTESIAN_POINT('Ctrl Pts',(26.4646218204497,55.9333692029977,-10.85));
#70364=CARTESIAN_POINT('',(25.5148992919921,55.0427440837884,-10.85));
#70365=CARTESIAN_POINT('',(25.5148992919921,55.0427440837884,-11.85));
#70366=CARTESIAN_POINT('Ctrl Pts',(25.5148992919921,55.0427440837884,-10.85));
#70367=CARTESIAN_POINT('Ctrl Pts',(25.7113046515349,55.1457766660072,-10.85));
#70368=CARTESIAN_POINT('Ctrl Pts',(26.1098064673058,55.354827331393,-10.85));
#70369=CARTESIAN_POINT('Ctrl Pts',(26.3452293266168,55.738694546939,-10.85));
#70370=CARTESIAN_POINT('Ctrl Pts',(26.4646218204497,55.9333692029977,-10.85));
#70371=CARTESIAN_POINT('Ctrl Pts',(23.7591473245619,54.7849313453698,-11.85));
#70372=CARTESIAN_POINT('Ctrl Pts',(23.7591473245619,54.7849313453698,-10.85));
#70373=CARTESIAN_POINT('Ctrl Pts',(24.0968261977591,54.7888564842589,-11.85));
#70374=CARTESIAN_POINT('Ctrl Pts',(24.0968261977591,54.7888564842589,-10.85));
#70375=CARTESIAN_POINT('Ctrl Pts',(24.6946630853373,54.7958056688335,-11.85));
#70376=CARTESIAN_POINT('Ctrl Pts',(24.6946630853373,54.7958056688335,-10.85));
#70377=CARTESIAN_POINT('Ctrl Pts',(25.2661903283846,54.9678683385335,-11.85));
#70378=CARTESIAN_POINT('Ctrl Pts',(25.2661903283846,54.9678683385335,-10.85));
#70379=CARTESIAN_POINT('Ctrl Pts',(25.5148992919921,55.0427440837884,-11.85));
#70380=CARTESIAN_POINT('Ctrl Pts',(25.5148992919921,55.0427440837884,-10.85));
#70381=CARTESIAN_POINT('',(23.7591473245619,54.7849313453698,-10.85));
#70382=CARTESIAN_POINT('',(23.7591473245619,54.7849313453698,-11.85));
#70383=CARTESIAN_POINT('Ctrl Pts',(23.7591473245619,54.7849313453698,-10.85));
#70384=CARTESIAN_POINT('Ctrl Pts',(24.0968261977591,54.7888564842589,-10.85));
#70385=CARTESIAN_POINT('Ctrl Pts',(24.6946630853373,54.7958056688335,-10.85));
#70386=CARTESIAN_POINT('Ctrl Pts',(25.2661903283846,54.9678683385335,-10.85));
#70387=CARTESIAN_POINT('Ctrl Pts',(25.5148992919921,55.0427440837884,-10.85));
#70388=CARTESIAN_POINT('Ctrl Pts',(21.8215707921981,55.0486032203698,-11.85));
#70389=CARTESIAN_POINT('Ctrl Pts',(21.8215707921981,55.0486032203698,-10.85));
#70390=CARTESIAN_POINT('Ctrl Pts',(22.0502930399321,54.9729532842436,-11.85));
#70391=CARTESIAN_POINT('Ctrl Pts',(22.0502930399321,54.9729532842436,-10.85));
#70392=CARTESIAN_POINT('Ctrl Pts',(22.6762195624926,54.7659279427192,-11.85));
#70393=CARTESIAN_POINT('Ctrl Pts',(22.6762195624926,54.7659279427192,-10.85));
#70394=CARTESIAN_POINT('Ctrl Pts',(23.3387284128053,54.7775537619872,-11.85));
#70395=CARTESIAN_POINT('Ctrl Pts',(23.3387284128053,54.7775537619872,-10.85));
#70396=CARTESIAN_POINT('Ctrl Pts',(23.7591473245619,54.7849313453698,-11.85));
#70397=CARTESIAN_POINT('Ctrl Pts',(23.7591473245619,54.7849313453698,-10.85));
#70398=CARTESIAN_POINT('',(21.8215707921981,55.0486032203698,-10.85));
#70399=CARTESIAN_POINT('',(21.8215707921981,55.0486032203698,-11.85));
#70400=CARTESIAN_POINT('Ctrl Pts',(21.8215707921981,55.0486032203698,-10.85));
#70401=CARTESIAN_POINT('Ctrl Pts',(22.0502930399321,54.9729532842436,-10.85));
#70402=CARTESIAN_POINT('Ctrl Pts',(22.6762195624926,54.7659279427192,-10.85));
#70403=CARTESIAN_POINT('Ctrl Pts',(23.3387284128053,54.7775537619872,-10.85));
#70404=CARTESIAN_POINT('Ctrl Pts',(23.7591473245619,54.7849313453698,-10.85));
#70405=CARTESIAN_POINT('Ctrl Pts',(21.226523914337,55.8513380126023,-11.85));
#70406=CARTESIAN_POINT('Ctrl Pts',(21.226523914337,55.8513380126023,-10.85));
#70407=CARTESIAN_POINT('Ctrl Pts',(21.2937620288275,55.6850919023751,-11.85));
#70408=CARTESIAN_POINT('Ctrl Pts',(21.2937620288275,55.6850919023751,-10.85));
#70409=CARTESIAN_POINT('Ctrl Pts',(21.4230098892291,55.3655268132911,-11.85));
#70410=CARTESIAN_POINT('Ctrl Pts',(21.4230098892291,55.3655268132911,-10.85));
#70411=CARTESIAN_POINT('Ctrl Pts',(21.6923489494713,55.1513565270183,-11.85));
#70412=CARTESIAN_POINT('Ctrl Pts',(21.6923489494713,55.1513565270183,-10.85));
#70413=CARTESIAN_POINT('Ctrl Pts',(21.8215707921981,55.0486032203698,-11.85));
#70414=CARTESIAN_POINT('Ctrl Pts',(21.8215707921981,55.0486032203698,-10.85));
#70415=CARTESIAN_POINT('',(21.226523914337,55.8513380126023,-10.85));
#70416=CARTESIAN_POINT('',(21.226523914337,55.8513380126023,-11.85));
#70417=CARTESIAN_POINT('Ctrl Pts',(21.226523914337,55.8513380126023,-10.85));
#70418=CARTESIAN_POINT('Ctrl Pts',(21.2937620288275,55.6850919023751,-10.85));
#70419=CARTESIAN_POINT('Ctrl Pts',(21.4230098892291,55.3655268132911,-10.85));
#70420=CARTESIAN_POINT('Ctrl Pts',(21.6923489494713,55.1513565270183,-10.85));
#70421=CARTESIAN_POINT('Ctrl Pts',(21.8215707921981,55.0486032203698,-10.85));
#70422=CARTESIAN_POINT('Ctrl Pts',(20.5794749641417,55.0896189645791,-11.85));
#70423=CARTESIAN_POINT('Ctrl Pts',(20.5794749641417,55.0896189645791,-10.85));
#70424=CARTESIAN_POINT('Ctrl Pts',(20.6990527885076,55.1834544523136,-11.85));
#70425=CARTESIAN_POINT('Ctrl Pts',(20.6990527885076,55.1834544523136,-10.85));
#70426=CARTESIAN_POINT('Ctrl Pts',(20.9658001515259,55.3927772848994,-11.85));
#70427=CARTESIAN_POINT('Ctrl Pts',(20.9658001515259,55.3927772848994,-10.85));
#70428=CARTESIAN_POINT('Ctrl Pts',(21.1338227085304,55.6882952123017,-11.85));
#70429=CARTESIAN_POINT('Ctrl Pts',(21.1338227085304,55.6882952123017,-10.85));
#70430=CARTESIAN_POINT('Ctrl Pts',(21.226523914337,55.8513380126023,-11.85));
#70431=CARTESIAN_POINT('Ctrl Pts',(21.226523914337,55.8513380126023,-10.85));
#70432=CARTESIAN_POINT('Ctrl Pts',(20.5794749641417,55.0896189645791,-10.85));
#70433=CARTESIAN_POINT('Ctrl Pts',(20.6990527885076,55.1834544523136,-10.85));
#70434=CARTESIAN_POINT('Ctrl Pts',(20.9658001515259,55.3927772848994,-10.85));
#70435=CARTESIAN_POINT('Ctrl Pts',(21.1338227085304,55.6882952123017,-10.85));
#70436=CARTESIAN_POINT('Ctrl Pts',(21.226523914337,55.8513380126023,-10.85));
#70437=CARTESIAN_POINT('Origin',(21.8593359971045,57.5687697716599,-10.85));
#70438=CARTESIAN_POINT('Ctrl Pts',(18.8227144861221,85.9673501377232,-11.85));
#70439=CARTESIAN_POINT('Ctrl Pts',(18.8227144861221,85.9673501377232,-10.85));
#70440=CARTESIAN_POINT('Ctrl Pts',(18.6778090739062,85.4381823253429,-11.85));
#70441=CARTESIAN_POINT('Ctrl Pts',(18.6778090739062,85.4381823253429,-10.85));
#70442=CARTESIAN_POINT('Ctrl Pts',(18.4022019441768,84.4317159712914,-11.85));
#70443=CARTESIAN_POINT('Ctrl Pts',(18.4022019441768,84.4317159712914,-10.85));
#70444=CARTESIAN_POINT('Ctrl Pts',(17.6570476433251,83.7047016123201,-11.85));
#70445=CARTESIAN_POINT('Ctrl Pts',(17.6570476433251,83.7047016123201,-10.85));
#70446=CARTESIAN_POINT('Ctrl Pts',(17.3036716365814,83.3599281463079,-11.85));
#70447=CARTESIAN_POINT('Ctrl Pts',(17.3036716365814,83.3599281463079,-10.85));
#70448=CARTESIAN_POINT('',(18.8227144861221,85.9673501377232,-10.85));
#70449=CARTESIAN_POINT('',(18.8227144861221,85.9673501377232,-11.85));
#70450=CARTESIAN_POINT('',(17.3036716365814,83.3599281463079,-10.85));
#70451=CARTESIAN_POINT('Ctrl Pts',(18.8227144861221,85.9673501377232,-10.85));
#70452=CARTESIAN_POINT('Ctrl Pts',(18.6778090739062,85.4381823253429,-10.85));
#70453=CARTESIAN_POINT('Ctrl Pts',(18.4022019441768,84.4317159712914,-10.85));
#70454=CARTESIAN_POINT('Ctrl Pts',(17.6570476433251,83.7047016123201,-10.85));
#70455=CARTESIAN_POINT('Ctrl Pts',(17.3036716365814,83.3599281463079,-10.85));
#70456=CARTESIAN_POINT('',(17.3036716365814,83.3599281463079,-11.85));
#70457=CARTESIAN_POINT('Origin',(19.9286715173721,85.9673501377232,-11.85));
#70458=CARTESIAN_POINT('',(19.9286715173721,85.9673501377232,-10.85));
#70459=CARTESIAN_POINT('',(19.9286715173721,85.9673501377232,-11.85));
#70460=CARTESIAN_POINT('',(19.9286715173721,85.9673501377232,-10.85));
#70461=CARTESIAN_POINT('Origin',(19.9286715173721,85.7329751330666,-11.85));
#70462=CARTESIAN_POINT('',(19.9286715173721,85.7329751330666,-10.85));
#70463=CARTESIAN_POINT('',(19.9286715173721,85.7329751330666,-11.85));
#70464=CARTESIAN_POINT('',(19.9286715173721,85.7329751330666,-10.85));
#70465=CARTESIAN_POINT('Ctrl Pts',(20.0429294490814,84.6753579530171,-11.85));
#70466=CARTESIAN_POINT('Ctrl Pts',(20.0429294490814,84.6753579530171,-10.85));
#70467=CARTESIAN_POINT('Ctrl Pts',(20.0101317348179,84.8024848546427,-11.85));
#70468=CARTESIAN_POINT('Ctrl Pts',(20.0101317348179,84.8024848546427,-10.85));
#70469=CARTESIAN_POINT('Ctrl Pts',(19.9209200727264,85.1482773113804,-11.85));
#70470=CARTESIAN_POINT('Ctrl Pts',(19.9209200727264,85.1482773113804,-10.85));
#70471=CARTESIAN_POINT('Ctrl Pts',(19.9256686829526,85.5064688720732,-11.85));
#70472=CARTESIAN_POINT('Ctrl Pts',(19.9256686829526,85.5064688720732,-10.85));
#70473=CARTESIAN_POINT('Ctrl Pts',(19.9286715173721,85.7329751330666,-11.85));
#70474=CARTESIAN_POINT('Ctrl Pts',(19.9286715173721,85.7329751330666,-10.85));
#70475=CARTESIAN_POINT('',(20.0429294490814,84.6753579530171,-10.85));
#70476=CARTESIAN_POINT('',(20.0429294490814,84.6753579530171,-11.85));
#70477=CARTESIAN_POINT('Ctrl Pts',(20.0429294490814,84.6753579530171,-10.85));
#70478=CARTESIAN_POINT('Ctrl Pts',(20.0101317348179,84.8024848546427,-10.85));
#70479=CARTESIAN_POINT('Ctrl Pts',(19.9209200727264,85.1482773113804,-10.85));
#70480=CARTESIAN_POINT('Ctrl Pts',(19.9256686829526,85.5064688720732,-10.85));
#70481=CARTESIAN_POINT('Ctrl Pts',(19.9286715173721,85.7329751330666,-10.85));
#70482=CARTESIAN_POINT('Ctrl Pts',(20.3798435115814,84.3853189203195,-11.85));
#70483=CARTESIAN_POINT('Ctrl Pts',(20.3798435115814,84.3853189203195,-10.85));
#70484=CARTESIAN_POINT('Ctrl Pts',(20.3094404680143,84.4120974096008,-11.85));
#70485=CARTESIAN_POINT('Ctrl Pts',(20.3094404680143,84.4120974096008,-10.85));
#70486=CARTESIAN_POINT('Ctrl Pts',(20.1626531921957,84.4679293920404,-11.85));
#70487=CARTESIAN_POINT('Ctrl Pts',(20.1626531921957,84.4679293920404,-10.85));
#70488=CARTESIAN_POINT('Ctrl Pts',(20.0839069299397,84.6043620113118,-11.85));
#70489=CARTESIAN_POINT('Ctrl Pts',(20.0839069299397,84.6043620113118,-10.85));
#70490=CARTESIAN_POINT('Ctrl Pts',(20.0429294490814,84.6753579530171,-11.85));
#70491=CARTESIAN_POINT('Ctrl Pts',(20.0429294490814,84.6753579530171,-10.85));
#70492=CARTESIAN_POINT('',(20.3798435115814,84.3853189203195,-10.85));
#70493=CARTESIAN_POINT('',(20.3798435115814,84.3853189203195,-11.85));
#70494=CARTESIAN_POINT('Ctrl Pts',(20.3798435115814,84.3853189203195,-10.85));
#70495=CARTESIAN_POINT('Ctrl Pts',(20.3094404680143,84.4120974096008,-10.85));
#70496=CARTESIAN_POINT('Ctrl Pts',(20.1626531921957,84.4679293920404,-10.85));
#70497=CARTESIAN_POINT('Ctrl Pts',(20.0839069299397,84.6043620113118,-10.85));
#70498=CARTESIAN_POINT('Ctrl Pts',(20.0429294490814,84.6753579530171,-10.85));
#70499=CARTESIAN_POINT('Ctrl Pts',(21.7040620231629,84.3325844559125,-11.85));
#70500=CARTESIAN_POINT('Ctrl Pts',(21.7040620231629,84.3325844559125,-10.85));
#70501=CARTESIAN_POINT('Ctrl Pts',(21.40973930399,84.3297350302927,-11.85));
#70502=CARTESIAN_POINT('Ctrl Pts',(21.40973930399,84.3297350302927,-10.85));
#70503=CARTESIAN_POINT('Ctrl Pts',(20.9671939795879,84.3254506176289,-11.85));
#70504=CARTESIAN_POINT('Ctrl Pts',(20.9671939795879,84.3254506176289,-10.85));
#70505=CARTESIAN_POINT('Ctrl Pts',(20.5272086818689,84.3702980714372,-11.85));
#70506=CARTESIAN_POINT('Ctrl Pts',(20.5272086818689,84.3702980714372,-10.85));
#70507=CARTESIAN_POINT('Ctrl Pts',(20.3798435115814,84.3853189203195,-11.85));
#70508=CARTESIAN_POINT('Ctrl Pts',(20.3798435115814,84.3853189203195,-10.85));
#70509=CARTESIAN_POINT('',(21.7040620231629,84.3325844559125,-10.85));
#70510=CARTESIAN_POINT('',(21.7040620231629,84.3325844559125,-11.85));
#70511=CARTESIAN_POINT('Ctrl Pts',(21.7040620231629,84.3325844559125,-10.85));
#70512=CARTESIAN_POINT('Ctrl Pts',(21.40973930399,84.3297350302927,-10.85));
#70513=CARTESIAN_POINT('Ctrl Pts',(20.9671939795879,84.3254506176289,-10.85));
#70514=CARTESIAN_POINT('Ctrl Pts',(20.5272086818689,84.3702980714372,-10.85));
#70515=CARTESIAN_POINT('Ctrl Pts',(20.3798435115814,84.3853189203195,-10.85));
#70516=CARTESIAN_POINT('Origin',(26.79,84.3325844559125,-11.85));
#70517=CARTESIAN_POINT('',(26.79,84.3325844559125,-10.85));
#70518=CARTESIAN_POINT('',(26.79,84.3325844559125,-11.85));
#70519=CARTESIAN_POINT('',(26.79,84.3325844559125,-10.85));
#70520=CARTESIAN_POINT('Origin',(26.79,81.9653967174939,-11.85));
#70521=CARTESIAN_POINT('',(26.79,81.9653967174939,-10.85));
#70522=CARTESIAN_POINT('',(26.79,81.9653967174939,-11.85));
#70523=CARTESIAN_POINT('',(26.79,81.9653967174939,-10.85));
#70524=CARTESIAN_POINT('Origin',(17.3036716365814,81.9653967174939,-11.85));
#70525=CARTESIAN_POINT('',(17.3036716365814,81.9653967174939,-10.85));
#70526=CARTESIAN_POINT('',(17.3036716365814,81.9653967174939,-11.85));
#70527=CARTESIAN_POINT('',(17.3036716365814,81.9653967174939,-10.85));
#70528=CARTESIAN_POINT('Origin',(17.3036716365814,83.3599281463079,-11.85));
#70529=CARTESIAN_POINT('',(17.3036716365814,83.3599281463079,-10.85));
#70530=CARTESIAN_POINT('Origin',(22.0468358182907,83.9663734276085,-10.85));
#70531=CARTESIAN_POINT('Ctrl Pts',(21.3173435115814,68.3327273297275,-11.85));
#70532=CARTESIAN_POINT('Ctrl Pts',(21.3173435115814,68.3327273297275,-10.85));
#70533=CARTESIAN_POINT('Ctrl Pts',(21.7932549879979,68.5952308630567,-11.85));
#70534=CARTESIAN_POINT('Ctrl Pts',(21.7932549879979,68.5952308630567,-10.85));
#70535=CARTESIAN_POINT('Ctrl Pts',(23.119931826059,69.3270000571922,-11.85));
#70536=CARTESIAN_POINT('Ctrl Pts',(23.119931826059,69.3270000571922,-10.85));
#70537=CARTESIAN_POINT('Ctrl Pts',(24.3707156852555,70.1832116652856,-11.85));
#70538=CARTESIAN_POINT('Ctrl Pts',(24.3707156852555,70.1832116652856,-10.85));
#70539=CARTESIAN_POINT('Ctrl Pts',(25.1728127384186,70.7322791981662,-11.85));
#70540=CARTESIAN_POINT('Ctrl Pts',(25.1728127384186,70.7322791981662,-10.85));
#70541=CARTESIAN_POINT('',(21.3173435115814,68.3327273297275,-10.85));
#70542=CARTESIAN_POINT('',(21.3173435115814,68.3327273297275,-11.85));
#70543=CARTESIAN_POINT('',(25.1728127384186,70.7322791981662,-10.85));
#70544=CARTESIAN_POINT('Ctrl Pts',(21.3173435115814,68.3327273297275,-10.85));
#70545=CARTESIAN_POINT('Ctrl Pts',(21.7932549879979,68.5952308630567,-10.85));
#70546=CARTESIAN_POINT('Ctrl Pts',(23.119931826059,69.3270000571922,-10.85));
#70547=CARTESIAN_POINT('Ctrl Pts',(24.3707156852555,70.1832116652856,-10.85));
#70548=CARTESIAN_POINT('Ctrl Pts',(25.1728127384186,70.7322791981662,-10.85));
#70549=CARTESIAN_POINT('',(25.1728127384186,70.7322791981662,-11.85));
#70550=CARTESIAN_POINT('Ctrl Pts',(19.5946870231628,67.9254553484881,-11.85));
#70551=CARTESIAN_POINT('Ctrl Pts',(19.5946870231628,67.9254553484881,-10.85));
#70552=CARTESIAN_POINT('Ctrl Pts',(19.8771487864338,67.9456730363702,-11.85));
#70553=CARTESIAN_POINT('Ctrl Pts',(19.8771487864338,67.9456730363702,-10.85));
#70554=CARTESIAN_POINT('Ctrl Pts',(20.4728445387684,67.9883109872699,-11.85));
#70555=CARTESIAN_POINT('Ctrl Pts',(20.4728445387684,67.9883109872699,-10.85));
#70556=CARTESIAN_POINT('Ctrl Pts',(21.0263135562766,68.2140350921066,-11.85));
#70557=CARTESIAN_POINT('Ctrl Pts',(21.0263135562766,68.2140350921066,-10.85));
#70558=CARTESIAN_POINT('Ctrl Pts',(21.3173435115814,68.3327273297275,-11.85));
#70559=CARTESIAN_POINT('Ctrl Pts',(21.3173435115814,68.3327273297275,-10.85));
#70560=CARTESIAN_POINT('',(19.5946870231628,67.9254553484881,-10.85));
#70561=CARTESIAN_POINT('',(19.5946870231628,67.9254553484881,-11.85));
#70562=CARTESIAN_POINT('Ctrl Pts',(19.5946870231628,67.9254553484881,-10.85));
#70563=CARTESIAN_POINT('Ctrl Pts',(19.8771487864338,67.9456730363702,-10.85));
#70564=CARTESIAN_POINT('Ctrl Pts',(20.4728445387684,67.9883109872699,-10.85));
#70565=CARTESIAN_POINT('Ctrl Pts',(21.0263135562766,68.2140350921066,-10.85));
#70566=CARTESIAN_POINT('Ctrl Pts',(21.3173435115814,68.3327273297275,-10.85));
#70567=CARTESIAN_POINT('Ctrl Pts',(17.8222260856628,68.6490879225696,-11.85));
#70568=CARTESIAN_POINT('Ctrl Pts',(17.8222260856628,68.6490879225696,-10.85));
#70569=CARTESIAN_POINT('Ctrl Pts',(18.0741960714062,68.4380472059481,-11.85));
#70570=CARTESIAN_POINT('Ctrl Pts',(18.0741960714062,68.4380472059481,-10.85));
#70571=CARTESIAN_POINT('Ctrl Pts',(18.5872348756937,68.0083449277501,-11.85));
#70572=CARTESIAN_POINT('Ctrl Pts',(18.5872348756937,68.0083449277501,-10.85));
#70573=CARTESIAN_POINT('Ctrl Pts',(19.254922455392,67.9534099686072,-11.85));
#70574=CARTESIAN_POINT('Ctrl Pts',(19.254922455392,67.9534099686072,-10.85));
#70575=CARTESIAN_POINT('Ctrl Pts',(19.5946870231628,67.9254553484881,-11.85));
#70576=CARTESIAN_POINT('Ctrl Pts',(19.5946870231628,67.9254553484881,-10.85));
#70577=CARTESIAN_POINT('',(17.8222260856628,68.6490879225696,-10.85));
#70578=CARTESIAN_POINT('',(17.8222260856628,68.6490879225696,-11.85));
#70579=CARTESIAN_POINT('Ctrl Pts',(17.8222260856628,68.6490879225696,-10.85));
#70580=CARTESIAN_POINT('Ctrl Pts',(18.0741960714062,68.4380472059481,-10.85));
#70581=CARTESIAN_POINT('Ctrl Pts',(18.5872348756937,68.0083449277501,-10.85));
#70582=CARTESIAN_POINT('Ctrl Pts',(19.254922455392,67.9534099686072,-10.85));
#70583=CARTESIAN_POINT('Ctrl Pts',(19.5946870231628,67.9254553484881,-10.85));
#70584=CARTESIAN_POINT('Ctrl Pts',(17.1220306539535,70.7848305273021,-11.85));
#70585=CARTESIAN_POINT('Ctrl Pts',(17.1220306539535,70.7848305273021,-10.85));
#70586=CARTESIAN_POINT('Ctrl Pts',(17.1386213044517,70.3569515511398,-11.85));
#70587=CARTESIAN_POINT('Ctrl Pts',(17.1386213044517,70.3569515511398,-10.85));
#70588=CARTESIAN_POINT('Ctrl Pts',(17.168968204702,69.5742938361662,-11.85));
#70589=CARTESIAN_POINT('Ctrl Pts',(17.168968204702,69.5742938361662,-10.85));
#70590=CARTESIAN_POINT('Ctrl Pts',(17.6184678832994,68.9376696592233,-11.85));
#70591=CARTESIAN_POINT('Ctrl Pts',(17.6184678832994,68.9376696592233,-10.85));
#70592=CARTESIAN_POINT('Ctrl Pts',(17.8222260856628,68.6490879225696,-11.85));
#70593=CARTESIAN_POINT('Ctrl Pts',(17.8222260856628,68.6490879225696,-10.85));
#70594=CARTESIAN_POINT('',(17.1220306539535,70.7848305273021,-10.85));
#70595=CARTESIAN_POINT('',(17.1220306539535,70.7848305273021,-11.85));
#70596=CARTESIAN_POINT('Ctrl Pts',(17.1220306539535,70.7848305273021,-10.85));
#70597=CARTESIAN_POINT('Ctrl Pts',(17.1386213044517,70.3569515511398,-10.85));
#70598=CARTESIAN_POINT('Ctrl Pts',(17.168968204702,69.5742938361662,-10.85));
#70599=CARTESIAN_POINT('Ctrl Pts',(17.6184678832994,68.9376696592233,-10.85));
#70600=CARTESIAN_POINT('Ctrl Pts',(17.8222260856628,68.6490879225696,-10.85));
#70601=CARTESIAN_POINT('Ctrl Pts',(17.3505465173721,72.0211587119067,-11.85));
#70602=CARTESIAN_POINT('Ctrl Pts',(17.3505465173721,72.0211587119067,-10.85));
#70603=CARTESIAN_POINT('Ctrl Pts',(17.2839671465897,71.8340050484409,-11.85));
#70604=CARTESIAN_POINT('Ctrl Pts',(17.2839671465897,71.8340050484409,-10.85));
#70605=CARTESIAN_POINT('Ctrl Pts',(17.1418562491895,71.4345333388961,-11.85));
#70606=CARTESIAN_POINT('Ctrl Pts',(17.1418562491895,71.4345333388961,-10.85));
#70607=CARTESIAN_POINT('Ctrl Pts',(17.128911010488,71.0103060770667,-11.85));
#70608=CARTESIAN_POINT('Ctrl Pts',(17.128911010488,71.0103060770667,-10.85));
#70609=CARTESIAN_POINT('Ctrl Pts',(17.1220306539535,70.7848305273021,-11.85));
#70610=CARTESIAN_POINT('Ctrl Pts',(17.1220306539535,70.7848305273021,-10.85));
#70611=CARTESIAN_POINT('',(17.3505465173721,72.0211587119067,-10.85));
#70612=CARTESIAN_POINT('',(17.3505465173721,72.0211587119067,-11.85));
#70613=CARTESIAN_POINT('Ctrl Pts',(17.3505465173721,72.0211587119067,-10.85));
#70614=CARTESIAN_POINT('Ctrl Pts',(17.2839671465897,71.8340050484409,-10.85));
#70615=CARTESIAN_POINT('Ctrl Pts',(17.1418562491895,71.4345333388961,-10.85));
#70616=CARTESIAN_POINT('Ctrl Pts',(17.128911010488,71.0103060770667,-10.85));
#70617=CARTESIAN_POINT('Ctrl Pts',(17.1220306539535,70.7848305273021,-10.85));
#70618=CARTESIAN_POINT('Ctrl Pts',(18.0243746423721,72.8590492922032,-11.85));
#70619=CARTESIAN_POINT('Ctrl Pts',(18.0243746423721,72.8590492922032,-10.85));
#70620=CARTESIAN_POINT('Ctrl Pts',(17.8847169794794,72.7429963694284,-11.85));
#70621=CARTESIAN_POINT('Ctrl Pts',(17.8847169794794,72.7429963694284,-10.85));
#70622=CARTESIAN_POINT('Ctrl Pts',(17.6034987707636,72.5093092635224,-11.85));
#70623=CARTESIAN_POINT('Ctrl Pts',(17.6034987707636,72.5093092635224,-10.85));
#70624=CARTESIAN_POINT('Ctrl Pts',(17.435243439043,72.1846079339613,-11.85));
#70625=CARTESIAN_POINT('Ctrl Pts',(17.435243439043,72.1846079339613,-10.85));
#70626=CARTESIAN_POINT('Ctrl Pts',(17.3505465173721,72.0211587119067,-11.85));
#70627=CARTESIAN_POINT('Ctrl Pts',(17.3505465173721,72.0211587119067,-10.85));
#70628=CARTESIAN_POINT('',(18.0243746423721,72.8590492922032,-10.85));
#70629=CARTESIAN_POINT('',(18.0243746423721,72.8590492922032,-11.85));
#70630=CARTESIAN_POINT('Ctrl Pts',(18.0243746423721,72.8590492922032,-10.85));
#70631=CARTESIAN_POINT('Ctrl Pts',(17.8847169794794,72.7429963694284,-10.85));
#70632=CARTESIAN_POINT('Ctrl Pts',(17.6034987707636,72.5093092635224,-10.85));
#70633=CARTESIAN_POINT('Ctrl Pts',(17.435243439043,72.1846079339613,-10.85));
#70634=CARTESIAN_POINT('Ctrl Pts',(17.3505465173721,72.0211587119067,-10.85));
#70635=CARTESIAN_POINT('Ctrl Pts',(18.9296481990814,73.2633461821044,-11.85));
#70636=CARTESIAN_POINT('Ctrl Pts',(18.9296481990814,73.2633461821044,-10.85));
#70637=CARTESIAN_POINT('Ctrl Pts',(18.7740671643558,73.2238794477984,-11.85));
#70638=CARTESIAN_POINT('Ctrl Pts',(18.7740671643558,73.2238794477984,-10.85));
#70639=CARTESIAN_POINT('Ctrl Pts',(18.4492248656319,73.1414756720574,-11.85));
#70640=CARTESIAN_POINT('Ctrl Pts',(18.4492248656319,73.1414756720574,-10.85));
#70641=CARTESIAN_POINT('Ctrl Pts',(18.1699123215637,72.9557979288194,-11.85));
#70642=CARTESIAN_POINT('Ctrl Pts',(18.1699123215637,72.9557979288194,-10.85));
#70643=CARTESIAN_POINT('Ctrl Pts',(18.0243746423721,72.8590492922032,-11.85));
#70644=CARTESIAN_POINT('Ctrl Pts',(18.0243746423721,72.8590492922032,-10.85));
#70645=CARTESIAN_POINT('',(18.9296481990814,73.2633461821044,-10.85));
#70646=CARTESIAN_POINT('',(18.9296481990814,73.2633461821044,-11.85));
#70647=CARTESIAN_POINT('Ctrl Pts',(18.9296481990814,73.2633461821044,-10.85));
#70648=CARTESIAN_POINT('Ctrl Pts',(18.7740671643558,73.2238794477984,-10.85));
#70649=CARTESIAN_POINT('Ctrl Pts',(18.4492248656319,73.1414756720574,-10.85));
#70650=CARTESIAN_POINT('Ctrl Pts',(18.1699123215637,72.9557979288194,-10.85));
#70651=CARTESIAN_POINT('Ctrl Pts',(18.0243746423721,72.8590492922032,-10.85));
#70652=CARTESIAN_POINT('Ctrl Pts',(20.1864842557907,73.3453774470056,-11.85));
#70653=CARTESIAN_POINT('Ctrl Pts',(20.1864842557907,73.3453774470056,-10.85));
#70654=CARTESIAN_POINT('Ctrl Pts',(19.9485378208637,73.3441578620078,-11.85));
#70655=CARTESIAN_POINT('Ctrl Pts',(19.9485378208637,73.3441578620078,-10.85));
#70656=CARTESIAN_POINT('Ctrl Pts',(19.5278094321295,73.3420014353684,-11.85));
#70657=CARTESIAN_POINT('Ctrl Pts',(19.5278094321295,73.3420014353684,-10.85));
#70658=CARTESIAN_POINT('Ctrl Pts',(19.1108100966685,73.287168078525,-11.85));
#70659=CARTESIAN_POINT('Ctrl Pts',(19.1108100966685,73.287168078525,-10.85));
#70660=CARTESIAN_POINT('Ctrl Pts',(18.9296481990814,73.2633461821044,-11.85));
#70661=CARTESIAN_POINT('Ctrl Pts',(18.9296481990814,73.2633461821044,-10.85));
#70662=CARTESIAN_POINT('',(20.1864842557907,73.3453774470056,-10.85));
#70663=CARTESIAN_POINT('',(20.1864842557907,73.3453774470056,-11.85));
#70664=CARTESIAN_POINT('Ctrl Pts',(20.1864842557907,73.3453774470056,-10.85));
#70665=CARTESIAN_POINT('Ctrl Pts',(19.9485378208637,73.3441578620078,-10.85));
#70666=CARTESIAN_POINT('Ctrl Pts',(19.5278094321295,73.3420014353684,-10.85));
#70667=CARTESIAN_POINT('Ctrl Pts',(19.1108100966685,73.287168078525,-10.85));
#70668=CARTESIAN_POINT('Ctrl Pts',(18.9296481990814,73.2633461821044,-10.85));
#70669=CARTESIAN_POINT('Origin',(20.5321870231628,73.3453774470056,-11.85));
#70670=CARTESIAN_POINT('',(20.5321870231628,73.3453774470056,-10.85));
#70671=CARTESIAN_POINT('',(20.5321870231628,73.3453774470056,-11.85));
#70672=CARTESIAN_POINT('',(20.5321870231628,73.3453774470056,-10.85));
#70673=CARTESIAN_POINT('Origin',(20.5321870231628,71.2242836523021,-11.85));
#70674=CARTESIAN_POINT('',(20.5321870231628,71.2242836523021,-10.85));
#70675=CARTESIAN_POINT('',(20.5321870231628,71.2242836523021,-11.85));
#70676=CARTESIAN_POINT('',(20.5321870231628,71.2242836523021,-10.85));
#70677=CARTESIAN_POINT('Origin',(19.6298436307907,71.2242836523021,-11.85));
#70678=CARTESIAN_POINT('',(19.6298436307907,71.2242836523021,-10.85));
#70679=CARTESIAN_POINT('',(19.6298436307907,71.2242836523021,-11.85));
#70680=CARTESIAN_POINT('',(19.6298436307907,71.2242836523021,-10.85));
#70681=CARTESIAN_POINT('Ctrl Pts',(18.7919525289535,71.0922644305194,-11.85));
#70682=CARTESIAN_POINT('Ctrl Pts',(18.7919525289535,71.0922644305194,-10.85));
#70683=CARTESIAN_POINT('Ctrl Pts',(18.8959963786533,71.1303081444606,-11.85));
#70684=CARTESIAN_POINT('Ctrl Pts',(18.8959963786533,71.1303081444606,-10.85));
#70685=CARTESIAN_POINT('Ctrl Pts',(19.1651759953812,71.2287338790635,-11.85));
#70686=CARTESIAN_POINT('Ctrl Pts',(19.1651759953812,71.2287338790635,-10.85));
#70687=CARTESIAN_POINT('Ctrl Pts',(19.4531673046543,71.2259757213312,-11.85));
#70688=CARTESIAN_POINT('Ctrl Pts',(19.4531673046543,71.2259757213312,-10.85));
#70689=CARTESIAN_POINT('Ctrl Pts',(19.6298436307907,71.2242836523021,-11.85));
#70690=CARTESIAN_POINT('Ctrl Pts',(19.6298436307907,71.2242836523021,-10.85));
#70691=CARTESIAN_POINT('',(18.7919525289535,71.0922644305194,-10.85));
#70692=CARTESIAN_POINT('',(18.7919525289535,71.0922644305194,-11.85));
#70693=CARTESIAN_POINT('Ctrl Pts',(18.7919525289535,71.0922644305194,-10.85));
#70694=CARTESIAN_POINT('Ctrl Pts',(18.8959963786533,71.1303081444606,-10.85));
#70695=CARTESIAN_POINT('Ctrl Pts',(19.1651759953812,71.2287338790635,-10.85));
#70696=CARTESIAN_POINT('Ctrl Pts',(19.4531673046543,71.2259757213312,-10.85));
#70697=CARTESIAN_POINT('Ctrl Pts',(19.6298436307907,71.2242836523021,-10.85));
#70698=CARTESIAN_POINT('Ctrl Pts',(18.5810152673721,70.6903482246364,-11.85));
#70699=CARTESIAN_POINT('Ctrl Pts',(18.5810152673721,70.6903482246364,-10.85));
#70700=CARTESIAN_POINT('Ctrl Pts',(18.5889060639206,70.7739927512414,-11.85));
#70701=CARTESIAN_POINT('Ctrl Pts',(18.5889060639206,70.7739927512414,-10.85));
#70702=CARTESIAN_POINT('Ctrl Pts',(18.6043084144456,70.9372617330678,-11.85));
#70703=CARTESIAN_POINT('Ctrl Pts',(18.6043084144456,70.9372617330678,-10.85));
#70704=CARTESIAN_POINT('Ctrl Pts',(18.7304397084558,71.0414520022692,-11.85));
#70705=CARTESIAN_POINT('Ctrl Pts',(18.7304397084558,71.0414520022692,-10.85));
#70706=CARTESIAN_POINT('Ctrl Pts',(18.7919525289535,71.0922644305194,-11.85));
#70707=CARTESIAN_POINT('Ctrl Pts',(18.7919525289535,71.0922644305194,-10.85));
#70708=CARTESIAN_POINT('',(18.5810152673721,70.6903482246364,-10.85));
#70709=CARTESIAN_POINT('',(18.5810152673721,70.6903482246364,-11.85));
#70710=CARTESIAN_POINT('Ctrl Pts',(18.5810152673721,70.6903482246364,-10.85));
#70711=CARTESIAN_POINT('Ctrl Pts',(18.5889060639206,70.7739927512414,-10.85));
#70712=CARTESIAN_POINT('Ctrl Pts',(18.6043084144456,70.9372617330678,-10.85));
#70713=CARTESIAN_POINT('Ctrl Pts',(18.7304397084558,71.0414520022692,-10.85));
#70714=CARTESIAN_POINT('Ctrl Pts',(18.7919525289535,71.0922644305194,-10.85));
#70715=CARTESIAN_POINT('Ctrl Pts',(18.7714449548721,70.2884317207301,-11.85));
#70716=CARTESIAN_POINT('Ctrl Pts',(18.7714449548721,70.2884317207301,-10.85));
#70717=CARTESIAN_POINT('Ctrl Pts',(18.7159341766213,70.3400683549957,-11.85));
#70718=CARTESIAN_POINT('Ctrl Pts',(18.7159341766213,70.3400683549957,-10.85));
#70719=CARTESIAN_POINT('Ctrl Pts',(18.5996392517615,70.4482469590643,-11.85));
#70720=CARTESIAN_POINT('Ctrl Pts',(18.5996392517615,70.4482469590643,-10.85));
#70721=CARTESIAN_POINT('Ctrl Pts',(18.5874081346273,70.6072445653575,-11.85));
#70722=CARTESIAN_POINT('Ctrl Pts',(18.5874081346273,70.6072445653575,-10.85));
#70723=CARTESIAN_POINT('Ctrl Pts',(18.5810152673721,70.6903482246364,-11.85));
#70724=CARTESIAN_POINT('Ctrl Pts',(18.5810152673721,70.6903482246364,-10.85));
#70725=CARTESIAN_POINT('',(18.7714449548721,70.2884317207301,-10.85));
#70726=CARTESIAN_POINT('',(18.7714449548721,70.2884317207301,-11.85));
#70727=CARTESIAN_POINT('Ctrl Pts',(18.7714449548721,70.2884317207301,-10.85));
#70728=CARTESIAN_POINT('Ctrl Pts',(18.7159341766213,70.3400683549957,-10.85));
#70729=CARTESIAN_POINT('Ctrl Pts',(18.5996392517615,70.4482469590643,-10.85));
#70730=CARTESIAN_POINT('Ctrl Pts',(18.5874081346273,70.6072445653575,-10.85));
#70731=CARTESIAN_POINT('Ctrl Pts',(18.5810152673721,70.6903482246364,-10.85));
#70732=CARTESIAN_POINT('Ctrl Pts',(19.34859375,70.1564124989474,-11.85));
#70733=CARTESIAN_POINT('Ctrl Pts',(19.34859375,70.1564124989474,-10.85));
#70734=CARTESIAN_POINT('Ctrl Pts',(19.2337651976659,70.1582856344449,-11.85));
#70735=CARTESIAN_POINT('Ctrl Pts',(19.2337651976659,70.1582856344449,-10.85));
#70736=CARTESIAN_POINT('Ctrl Pts',(19.0312174383088,70.1615896854869,-11.85));
#70737=CARTESIAN_POINT('Ctrl Pts',(19.0312174383088,70.1615896854869,-10.85));
#70738=CARTESIAN_POINT('Ctrl Pts',(18.8499486734924,70.2500998261729,-11.85));
#70739=CARTESIAN_POINT('Ctrl Pts',(18.8499486734924,70.2500998261729,-10.85));
#70740=CARTESIAN_POINT('Ctrl Pts',(18.7714449548721,70.2884317207301,-11.85));
#70741=CARTESIAN_POINT('Ctrl Pts',(18.7714449548721,70.2884317207301,-10.85));
#70742=CARTESIAN_POINT('',(19.34859375,70.1564124989474,-10.85));
#70743=CARTESIAN_POINT('',(19.34859375,70.1564124989474,-11.85));
#70744=CARTESIAN_POINT('Ctrl Pts',(19.34859375,70.1564124989474,-10.85));
#70745=CARTESIAN_POINT('Ctrl Pts',(19.2337651976659,70.1582856344449,-10.85));
#70746=CARTESIAN_POINT('Ctrl Pts',(19.0312174383088,70.1615896854869,-10.85));
#70747=CARTESIAN_POINT('Ctrl Pts',(18.8499486734924,70.2500998261729,-10.85));
#70748=CARTESIAN_POINT('Ctrl Pts',(18.7714449548721,70.2884317207301,-10.85));
#70749=CARTESIAN_POINT('Ctrl Pts',(20.7519135856628,70.5929360556567,-11.85));
#70750=CARTESIAN_POINT('Ctrl Pts',(20.7519135856628,70.5929360556567,-10.85));
#70751=CARTESIAN_POINT('Ctrl Pts',(20.4899973102887,70.4668959636135,-11.85));
#70752=CARTESIAN_POINT('Ctrl Pts',(20.4899973102887,70.4668959636135,-10.85));
#70753=CARTESIAN_POINT('Ctrl Pts',(20.0425729579768,70.2515851492427,-11.85));
#70754=CARTESIAN_POINT('Ctrl Pts',(20.0425729579768,70.2515851492427,-10.85));
#70755=CARTESIAN_POINT('Ctrl Pts',(19.5519942116562,70.1843069384127,-11.85));
#70756=CARTESIAN_POINT('Ctrl Pts',(19.5519942116562,70.1843069384127,-10.85));
#70757=CARTESIAN_POINT('Ctrl Pts',(19.34859375,70.1564124989474,-11.85));
#70758=CARTESIAN_POINT('Ctrl Pts',(19.34859375,70.1564124989474,-10.85));
#70759=CARTESIAN_POINT('',(20.7519135856628,70.5929360556567,-10.85));
#70760=CARTESIAN_POINT('',(20.7519135856628,70.5929360556567,-11.85));
#70761=CARTESIAN_POINT('Ctrl Pts',(20.7519135856628,70.5929360556567,-10.85));
#70762=CARTESIAN_POINT('Ctrl Pts',(20.4899973102887,70.4668959636135,-10.85));
#70763=CARTESIAN_POINT('Ctrl Pts',(20.0425729579768,70.2515851492427,-10.85));
#70764=CARTESIAN_POINT('Ctrl Pts',(19.5519942116562,70.1843069384127,-10.85));
#70765=CARTESIAN_POINT('Ctrl Pts',(19.34859375,70.1564124989474,-10.85));
#70766=CARTESIAN_POINT('Ctrl Pts',(25.4364846134186,73.3439125883544,-11.85));
#70767=CARTESIAN_POINT('Ctrl Pts',(25.4364846134186,73.3439125883544,-10.85));
#70768=CARTESIAN_POINT('Ctrl Pts',(24.4216115140812,72.7179515380036,-11.85));
#70769=CARTESIAN_POINT('Ctrl Pts',(24.4216115140812,72.7179515380036,-10.85));
#70770=CARTESIAN_POINT('Ctrl Pts',(22.8798058835905,71.7669850846324,-11.85));
#70771=CARTESIAN_POINT('Ctrl Pts',(22.8798058835905,71.7669850846324,-10.85));
#70772=CARTESIAN_POINT('Ctrl Pts',(21.2939133879373,70.8919804867048,-11.85));
#70773=CARTESIAN_POINT('Ctrl Pts',(21.2939133879373,70.8919804867048,-10.85));
#70774=CARTESIAN_POINT('Ctrl Pts',(20.7519135856628,70.5929360556567,-11.85));
#70775=CARTESIAN_POINT('Ctrl Pts',(20.7519135856628,70.5929360556567,-10.85));
#70776=CARTESIAN_POINT('',(25.4364846134186,73.3439125883544,-10.85));
#70777=CARTESIAN_POINT('',(25.4364846134186,73.3439125883544,-11.85));
#70778=CARTESIAN_POINT('Ctrl Pts',(25.4364846134186,73.3439125883544,-10.85));
#70779=CARTESIAN_POINT('Ctrl Pts',(24.4216115140812,72.7179515380036,-10.85));
#70780=CARTESIAN_POINT('Ctrl Pts',(22.8798058835905,71.7669850846324,-10.85));
#70781=CARTESIAN_POINT('Ctrl Pts',(21.2939133879373,70.8919804867048,-10.85));
#70782=CARTESIAN_POINT('Ctrl Pts',(20.7519135856628,70.5929360556567,-10.85));
#70783=CARTESIAN_POINT('Origin',(26.79,73.3453774470056,-11.85));
#70784=CARTESIAN_POINT('',(26.79,73.3453774470056,-10.85));
#70785=CARTESIAN_POINT('',(26.79,73.3453774470056,-11.85));
#70786=CARTESIAN_POINT('',(26.79,73.3453774470056,-10.85));
#70787=CARTESIAN_POINT('Origin',(26.79,68.1363926100696,-11.85));
#70788=CARTESIAN_POINT('',(26.79,68.1363926100696,-10.85));
#70789=CARTESIAN_POINT('',(26.79,68.1363926100696,-11.85));
#70790=CARTESIAN_POINT('',(26.79,68.1363926100696,-10.85));
#70791=CARTESIAN_POINT('Origin',(25.1728127384185,68.1363926100696,-11.85));
#70792=CARTESIAN_POINT('',(25.1728127384185,68.1363926100696,-10.85));
#70793=CARTESIAN_POINT('',(25.1728127384185,68.1363926100696,-11.85));
#70794=CARTESIAN_POINT('',(25.1728127384185,68.1363926100696,-10.85));
#70795=CARTESIAN_POINT('Origin',(25.1728127384186,70.7322791981662,-11.85));
#70796=CARTESIAN_POINT('',(25.1728127384186,70.7322791981662,-10.85));
#70797=CARTESIAN_POINT('Origin',(21.9560153269768,70.6354163977469,-10.85));
#70798=CARTESIAN_POINT('Origin',(19.4657812499998,42.671243260372,-11.85));
#70799=CARTESIAN_POINT('',(19.4657812499998,42.671243260372,-11.85));
#70800=CARTESIAN_POINT('',(23.5028908634184,43.7171417574766,-11.85));
#70801=CARTESIAN_POINT('',(23.0187571419678,43.5917166934705,-11.85));
#70802=CARTESIAN_POINT('',(19.4657812499998,42.671243260372,-10.85));
#70803=CARTESIAN_POINT('',(19.4657812499998,42.671243260372,-11.85));
#70804=CARTESIAN_POINT('',(23.5028908634184,43.7171417574766,-10.85));
#70805=CARTESIAN_POINT('',(19.4657812499998,42.671243260372,-10.85));
#70806=CARTESIAN_POINT('',(23.5028908634184,43.7171417574766,-11.85));
#70807=CARTESIAN_POINT('Origin',(23.5028908634184,42.671243260372,-11.85));
#70808=CARTESIAN_POINT('',(23.5028908634184,42.671243260372,-11.85));
#70809=CARTESIAN_POINT('',(24.3264454317092,42.6712432603719,-11.85));
#70810=CARTESIAN_POINT('',(23.5028908634184,42.671243260372,-10.85));
#70811=CARTESIAN_POINT('',(23.5028908634184,42.671243260372,-11.85));
#70812=CARTESIAN_POINT('',(23.5028908634184,42.671243260372,-10.85));
#70813=CARTESIAN_POINT('Origin',(23.5028908634184,43.7171417574766,-11.85));
#70814=CARTESIAN_POINT('',(23.5028908634184,46.8585708787383,-11.85));
#70815=CARTESIAN_POINT('',(23.5028908634184,43.7171417574766,-10.85));
#70816=CARTESIAN_POINT('Origin',(23.5028908634184,45.4837433767873,-11.85));
#70817=CARTESIAN_POINT('',(23.5028908634184,45.4837433767873,-10.85));
#70818=CARTESIAN_POINT('',(23.5028908634184,45.4837433767873,-11.85));
#70819=CARTESIAN_POINT('',(17.3036716365812,43.4388214449766,-10.85));
#70820=CARTESIAN_POINT('',(23.5028908634184,45.4837433767873,-10.85));
#70821=CARTESIAN_POINT('',(17.3036716365812,43.4388214449766,-11.85));
#70822=CARTESIAN_POINT('Origin',(25.1200781249998,45.4837433767873,-11.85));
#70823=CARTESIAN_POINT('',(25.1200781249998,45.4837433767873,-10.85));
#70824=CARTESIAN_POINT('',(25.1200781249998,45.4837433767873,-11.85));
#70825=CARTESIAN_POINT('',(25.1200781249998,45.4837433767873,-10.85));
#70826=CARTESIAN_POINT('Origin',(25.1200781249998,42.6712432603719,-11.85));
#70827=CARTESIAN_POINT('',(25.1200781249998,42.6712432603719,-10.85));
#70828=CARTESIAN_POINT('',(25.1200781249998,42.6712432603719,-11.85));
#70829=CARTESIAN_POINT('',(25.1200781249998,42.6712432603719,-10.85));
#70830=CARTESIAN_POINT('Origin',(26.7899999999998,42.6712432603719,-11.85));
#70831=CARTESIAN_POINT('',(26.7899999999998,42.6712432603719,-10.85));
#70832=CARTESIAN_POINT('',(26.7899999999998,42.6712432603719,-11.85));
#70833=CARTESIAN_POINT('',(26.7899999999998,42.6712432603719,-10.85));
#70834=CARTESIAN_POINT('Origin',(26.7899999999998,40.3040555219534,-11.85));
#70835=CARTESIAN_POINT('',(26.7899999999998,40.3040555219534,-10.85));
#70836=CARTESIAN_POINT('',(26.7899999999998,40.3040555219534,-11.85));
#70837=CARTESIAN_POINT('',(26.7899999999998,40.3040555219534,-10.85));
#70838=CARTESIAN_POINT('Origin',(25.1200781249998,40.3040555219534,-11.85));
#70839=CARTESIAN_POINT('',(25.1200781249998,40.3040555219534,-10.85));
#70840=CARTESIAN_POINT('',(25.1200781249998,40.3040555219534,-11.85));
#70841=CARTESIAN_POINT('',(25.1200781249998,40.3040555219534,-10.85));
#70842=CARTESIAN_POINT('Origin',(25.1200781249998,39.6302273969534,-11.85));
#70843=CARTESIAN_POINT('',(25.1200781249998,39.6302273969534,-10.85));
#70844=CARTESIAN_POINT('',(25.1200781249998,39.6302273969534,-11.85));
#70845=CARTESIAN_POINT('',(25.1200781249998,39.6302273969534,-10.85));
#70846=CARTESIAN_POINT('Origin',(23.5028908634183,39.6302273969534,-11.85));
#70847=CARTESIAN_POINT('',(23.5028908634183,39.6302273969534,-10.85));
#70848=CARTESIAN_POINT('',(23.5028908634183,39.6302273969534,-11.85));
#70849=CARTESIAN_POINT('',(23.5028908634183,39.6302273969534,-10.85));
#70850=CARTESIAN_POINT('Origin',(23.5028908634183,40.3040555219534,-11.85));
#70851=CARTESIAN_POINT('',(23.5028908634183,40.3040555219534,-10.85));
#70852=CARTESIAN_POINT('',(23.5028908634183,40.3040555219534,-11.85));
#70853=CARTESIAN_POINT('',(23.5028908634183,40.3040555219534,-10.85));
#70854=CARTESIAN_POINT('Origin',(17.3036716365812,40.3040555219534,-11.85));
#70855=CARTESIAN_POINT('',(17.3036716365812,40.3040555219534,-10.85));
#70856=CARTESIAN_POINT('',(17.3036716365812,40.3040555219534,-11.85));
#70857=CARTESIAN_POINT('',(17.3036716365812,40.3040555219534,-10.85));
#70858=CARTESIAN_POINT('Origin',(17.3036716365812,43.4388214449766,-11.85));
#70859=CARTESIAN_POINT('',(17.3036716365812,43.4388214449766,-10.85));
#70860=CARTESIAN_POINT('Origin',(22.0468358182905,42.5569853868703,-10.85));
#70861=CARTESIAN_POINT('Ctrl Pts',(25.1816020393368,16.2596627002955,-11.85));
#70862=CARTESIAN_POINT('Ctrl Pts',(25.1816020393368,16.2596627002955,-10.85));
#70863=CARTESIAN_POINT('Ctrl Pts',(25.0910887675459,16.2126197403923,-11.85));
#70864=CARTESIAN_POINT('Ctrl Pts',(25.0910887675459,16.2126197403923,-10.85));
#70865=CARTESIAN_POINT('Ctrl Pts',(24.8415473663769,16.0829242173258,-11.85));
#70866=CARTESIAN_POINT('Ctrl Pts',(24.8415473663769,16.0829242173258,-10.85));
#70867=CARTESIAN_POINT('Ctrl Pts',(24.5571678158321,16.0906663812969,-11.85));
#70868=CARTESIAN_POINT('Ctrl Pts',(24.5571678158321,16.0906663812969,-10.85));
#70869=CARTESIAN_POINT('Ctrl Pts',(24.3759379768368,16.0956003195047,-11.85));
#70870=CARTESIAN_POINT('Ctrl Pts',(24.3759379768368,16.0956003195047,-10.85));
#70871=CARTESIAN_POINT('',(25.1816020393368,16.2596627002955,-11.85));
#70872=CARTESIAN_POINT('',(24.3759379768368,16.0956003195047,-11.85));
#70873=CARTESIAN_POINT('Ctrl Pts',(25.1816020393368,16.2596627002955,-11.85));
#70874=CARTESIAN_POINT('Ctrl Pts',(25.0910887675459,16.2126197403923,-11.85));
#70875=CARTESIAN_POINT('Ctrl Pts',(24.8415473663769,16.0829242173258,-11.85));
#70876=CARTESIAN_POINT('Ctrl Pts',(24.5571678158321,16.0906663812969,-11.85));
#70877=CARTESIAN_POINT('Ctrl Pts',(24.3759379768368,16.0956003195047,-11.85));
#70878=CARTESIAN_POINT('',(25.1816020393368,16.2596627002955,-10.85));
#70879=CARTESIAN_POINT('',(25.1816020393368,16.2596627002955,-11.85));
#70880=CARTESIAN_POINT('',(24.3759379768368,16.0956003195047,-10.85));
#70881=CARTESIAN_POINT('Ctrl Pts',(25.1816020393368,16.2596627002955,-10.85));
#70882=CARTESIAN_POINT('Ctrl Pts',(25.0910887675459,16.2126197403923,-10.85));
#70883=CARTESIAN_POINT('Ctrl Pts',(24.8415473663769,16.0829242173258,-10.85));
#70884=CARTESIAN_POINT('Ctrl Pts',(24.5571678158321,16.0906663812969,-10.85));
#70885=CARTESIAN_POINT('Ctrl Pts',(24.3759379768368,16.0956003195047,-10.85));
#70886=CARTESIAN_POINT('',(24.3759379768368,16.0956003195047,-11.85));
#70887=CARTESIAN_POINT('Ctrl Pts',(25.331015386581,16.5760688906908,-11.85));
#70888=CARTESIAN_POINT('Ctrl Pts',(25.331015386581,16.5760688906908,-10.85));
#70889=CARTESIAN_POINT('Ctrl Pts',(25.3225894040723,16.5225046825816,-11.85));
#70890=CARTESIAN_POINT('Ctrl Pts',(25.3225894040723,16.5225046825816,-10.85));
#70891=CARTESIAN_POINT('Ctrl Pts',(25.3038082081018,16.4031120908622,-11.85));
#70892=CARTESIAN_POINT('Ctrl Pts',(25.3038082081018,16.4031120908622,-10.85));
#70893=CARTESIAN_POINT('Ctrl Pts',(25.2250346718595,16.3106452712169,-11.85));
#70894=CARTESIAN_POINT('Ctrl Pts',(25.2250346718595,16.3106452712169,-10.85));
#70895=CARTESIAN_POINT('Ctrl Pts',(25.1816020393368,16.2596627002955,-11.85));
#70896=CARTESIAN_POINT('Ctrl Pts',(25.1816020393368,16.2596627002955,-10.85));
#70897=CARTESIAN_POINT('',(25.331015386581,16.5760688906908,-11.85));
#70898=CARTESIAN_POINT('Ctrl Pts',(25.331015386581,16.5760688906908,-11.85));
#70899=CARTESIAN_POINT('Ctrl Pts',(25.3225894040723,16.5225046825816,-11.85));
#70900=CARTESIAN_POINT('Ctrl Pts',(25.3038082081018,16.4031120908622,-11.85));
#70901=CARTESIAN_POINT('Ctrl Pts',(25.2250346718595,16.3106452712169,-11.85));
#70902=CARTESIAN_POINT('Ctrl Pts',(25.1816020393368,16.2596627002955,-11.85));
#70903=CARTESIAN_POINT('',(25.331015386581,16.5760688906908,-10.85));
#70904=CARTESIAN_POINT('',(25.331015386581,16.5760688906908,-11.85));
#70905=CARTESIAN_POINT('Ctrl Pts',(25.331015386581,16.5760688906908,-10.85));
#70906=CARTESIAN_POINT('Ctrl Pts',(25.3225894040723,16.5225046825816,-10.85));
#70907=CARTESIAN_POINT('Ctrl Pts',(25.3038082081018,16.4031120908622,-10.85));
#70908=CARTESIAN_POINT('Ctrl Pts',(25.2250346718595,16.3106452712169,-10.85));
#70909=CARTESIAN_POINT('Ctrl Pts',(25.1816020393368,16.2596627002955,-10.85));
#70910=CARTESIAN_POINT('Ctrl Pts',(25.1376567268368,16.9364206916094,-11.85));
#70911=CARTESIAN_POINT('Ctrl Pts',(25.1376567268368,16.9364206916094,-10.85));
#70912=CARTESIAN_POINT('Ctrl Pts',(25.1940289388274,16.8927550239547,-11.85));
#70913=CARTESIAN_POINT('Ctrl Pts',(25.1940289388274,16.8927550239547,-10.85));
#70914=CARTESIAN_POINT('Ctrl Pts',(25.3114123185997,16.8018303738774,-11.85));
#70915=CARTESIAN_POINT('Ctrl Pts',(25.3114123185997,16.8018303738774,-10.85));
#70916=CARTESIAN_POINT('Ctrl Pts',(25.3243111117809,16.6532796121966,-11.85));
#70917=CARTESIAN_POINT('Ctrl Pts',(25.3243111117809,16.6532796121966,-10.85));
#70918=CARTESIAN_POINT('Ctrl Pts',(25.331015386581,16.5760688906908,-11.85));
#70919=CARTESIAN_POINT('Ctrl Pts',(25.331015386581,16.5760688906908,-10.85));
#70920=CARTESIAN_POINT('',(25.1376567268368,16.9364206916094,-11.85));
#70921=CARTESIAN_POINT('Ctrl Pts',(25.1376567268368,16.9364206916094,-11.85));
#70922=CARTESIAN_POINT('Ctrl Pts',(25.1940289388274,16.8927550239547,-11.85));
#70923=CARTESIAN_POINT('Ctrl Pts',(25.3114123185997,16.8018303738774,-11.85));
#70924=CARTESIAN_POINT('Ctrl Pts',(25.3243111117809,16.6532796121966,-11.85));
#70925=CARTESIAN_POINT('Ctrl Pts',(25.331015386581,16.5760688906908,-11.85));
#70926=CARTESIAN_POINT('',(25.1376567268368,16.9364206916094,-10.85));
#70927=CARTESIAN_POINT('',(25.1376567268368,16.9364206916094,-11.85));
#70928=CARTESIAN_POINT('Ctrl Pts',(25.1376567268368,16.9364206916094,-10.85));
#70929=CARTESIAN_POINT('Ctrl Pts',(25.1940289388274,16.8927550239547,-10.85));
#70930=CARTESIAN_POINT('Ctrl Pts',(25.3114123185997,16.8018303738774,-10.85));
#70931=CARTESIAN_POINT('Ctrl Pts',(25.3243111117809,16.6532796121966,-10.85));
#70932=CARTESIAN_POINT('Ctrl Pts',(25.331015386581,16.5760688906908,-10.85));
#70933=CARTESIAN_POINT('Ctrl Pts',(24.3173442268368,17.044819188714,-11.85));
#70934=CARTESIAN_POINT('Ctrl Pts',(24.3173442268368,17.044819188714,-10.85));
#70935=CARTESIAN_POINT('Ctrl Pts',(24.4919822209519,17.047021158587,-11.85));
#70936=CARTESIAN_POINT('Ctrl Pts',(24.4919822209519,17.047021158587,-10.85));
#70937=CARTESIAN_POINT('Ctrl Pts',(24.7717260999028,17.0505483841297,-11.85));
#70938=CARTESIAN_POINT('Ctrl Pts',(24.7717260999028,17.0505483841297,-10.85));
#70939=CARTESIAN_POINT('Ctrl Pts',(25.037717826124,16.9675899769653,-11.85));
#70940=CARTESIAN_POINT('Ctrl Pts',(25.037717826124,16.9675899769653,-10.85));
#70941=CARTESIAN_POINT('Ctrl Pts',(25.1376567268368,16.9364206916094,-11.85));
#70942=CARTESIAN_POINT('Ctrl Pts',(25.1376567268368,16.9364206916094,-10.85));
#70943=CARTESIAN_POINT('',(24.3173442268368,17.044819188714,-11.85));
#70944=CARTESIAN_POINT('Ctrl Pts',(24.3173442268368,17.044819188714,-11.85));
#70945=CARTESIAN_POINT('Ctrl Pts',(24.4919822209519,17.047021158587,-11.85));
#70946=CARTESIAN_POINT('Ctrl Pts',(24.7717260999028,17.0505483841297,-11.85));
#70947=CARTESIAN_POINT('Ctrl Pts',(25.037717826124,16.9675899769653,-11.85));
#70948=CARTESIAN_POINT('Ctrl Pts',(25.1376567268368,16.9364206916094,-11.85));
#70949=CARTESIAN_POINT('',(24.3173442268368,17.044819188714,-10.85));
#70950=CARTESIAN_POINT('',(24.3173442268368,17.044819188714,-11.85));
#70951=CARTESIAN_POINT('Ctrl Pts',(24.3173442268368,17.044819188714,-10.85));
#70952=CARTESIAN_POINT('Ctrl Pts',(24.4919822209519,17.047021158587,-10.85));
#70953=CARTESIAN_POINT('Ctrl Pts',(24.7717260999028,17.0505483841297,-10.85));
#70954=CARTESIAN_POINT('Ctrl Pts',(25.037717826124,16.9675899769653,-10.85));
#70955=CARTESIAN_POINT('Ctrl Pts',(25.1376567268368,16.9364206916094,-10.85));
#70956=CARTESIAN_POINT('Origin',(22.6005468749996,17.044819188714,-11.85));
#70957=CARTESIAN_POINT('',(22.6005468749996,17.044819188714,-11.85));
#70958=CARTESIAN_POINT('',(23.8752734374997,17.044819188714,-11.85));
#70959=CARTESIAN_POINT('',(22.6005468749996,17.044819188714,-10.85));
#70960=CARTESIAN_POINT('',(22.6005468749996,17.044819188714,-11.85));
#70961=CARTESIAN_POINT('',(22.6005468749996,17.044819188714,-10.85));
#70962=CARTESIAN_POINT('Ctrl Pts',(21.9003520393368,16.9305612570048,-11.85));
#70963=CARTESIAN_POINT('Ctrl Pts',(21.9003520393368,16.9305612570048,-10.85));
#70964=CARTESIAN_POINT('Ctrl Pts',(21.9876475767527,16.9635019920695,-11.85));
#70965=CARTESIAN_POINT('Ctrl Pts',(21.9876475767527,16.9635019920695,-10.85));
#70966=CARTESIAN_POINT('Ctrl Pts',(22.2121083967239,17.0482016757182,-11.85));
#70967=CARTESIAN_POINT('Ctrl Pts',(22.2121083967239,17.0482016757182,-10.85));
#70968=CARTESIAN_POINT('Ctrl Pts',(22.4532116211123,17.0461021707289,-11.85));
#70969=CARTESIAN_POINT('Ctrl Pts',(22.4532116211123,17.0461021707289,-10.85));
#70970=CARTESIAN_POINT('Ctrl Pts',(22.6005468749996,17.044819188714,-11.85));
#70971=CARTESIAN_POINT('Ctrl Pts',(22.6005468749996,17.044819188714,-10.85));
#70972=CARTESIAN_POINT('',(21.9003520393368,16.9305612570048,-11.85));
#70973=CARTESIAN_POINT('Ctrl Pts',(21.9003520393368,16.9305612570048,-11.85));
#70974=CARTESIAN_POINT('Ctrl Pts',(21.9876475767527,16.9635019920695,-11.85));
#70975=CARTESIAN_POINT('Ctrl Pts',(22.2121083967239,17.0482016757182,-11.85));
#70976=CARTESIAN_POINT('Ctrl Pts',(22.4532116211123,17.0461021707289,-11.85));
#70977=CARTESIAN_POINT('Ctrl Pts',(22.6005468749996,17.044819188714,-11.85));
#70978=CARTESIAN_POINT('',(21.9003520393368,16.9305612570048,-10.85));
#70979=CARTESIAN_POINT('',(21.9003520393368,16.9305612570048,-11.85));
#70980=CARTESIAN_POINT('Ctrl Pts',(21.9003520393368,16.9305612570048,-10.85));
#70981=CARTESIAN_POINT('Ctrl Pts',(21.9876475767527,16.9635019920695,-10.85));
#70982=CARTESIAN_POINT('Ctrl Pts',(22.2121083967239,17.0482016757182,-10.85));
#70983=CARTESIAN_POINT('Ctrl Pts',(22.4532116211123,17.0461021707289,-10.85));
#70984=CARTESIAN_POINT('Ctrl Pts',(22.6005468749996,17.044819188714,-10.85));
#70985=CARTESIAN_POINT('Ctrl Pts',(21.7216406249996,16.5702097541094,-11.85));
#70986=CARTESIAN_POINT('Ctrl Pts',(21.7216406249996,16.5702097541094,-10.85));
#70987=CARTESIAN_POINT('Ctrl Pts',(21.7276584807961,16.6457915949636,-11.85));
#70988=CARTESIAN_POINT('Ctrl Pts',(21.7276584807961,16.6457915949636,-10.85));
#70989=CARTESIAN_POINT('Ctrl Pts',(21.7391781480276,16.7904739676936,-11.85));
#70990=CARTESIAN_POINT('Ctrl Pts',(21.7391781480276,16.7904739676936,-10.85));
#70991=CARTESIAN_POINT('Ctrl Pts',(21.8482561917549,16.8852811808532,-11.85));
#70992=CARTESIAN_POINT('Ctrl Pts',(21.8482561917549,16.8852811808532,-10.85));
#70993=CARTESIAN_POINT('Ctrl Pts',(21.9003520393368,16.9305612570048,-11.85));
#70994=CARTESIAN_POINT('Ctrl Pts',(21.9003520393368,16.9305612570048,-10.85));
#70995=CARTESIAN_POINT('',(21.7216406249996,16.5702097541094,-11.85));
#70996=CARTESIAN_POINT('Ctrl Pts',(21.7216406249996,16.5702097541094,-11.85));
#70997=CARTESIAN_POINT('Ctrl Pts',(21.7276584807961,16.6457915949636,-11.85));
#70998=CARTESIAN_POINT('Ctrl Pts',(21.7391781480276,16.7904739676936,-11.85));
#70999=CARTESIAN_POINT('Ctrl Pts',(21.8482561917549,16.8852811808532,-11.85));
#71000=CARTESIAN_POINT('Ctrl Pts',(21.9003520393368,16.9305612570048,-11.85));
#71001=CARTESIAN_POINT('',(21.7216406249996,16.5702097541094,-10.85));
#71002=CARTESIAN_POINT('',(21.7216406249996,16.5702097541094,-11.85));
#71003=CARTESIAN_POINT('Ctrl Pts',(21.7216406249996,16.5702097541094,-10.85));
#71004=CARTESIAN_POINT('Ctrl Pts',(21.7276584807961,16.6457915949636,-10.85));
#71005=CARTESIAN_POINT('Ctrl Pts',(21.7391781480276,16.7904739676936,-10.85));
#71006=CARTESIAN_POINT('Ctrl Pts',(21.8482561917549,16.8852811808532,-10.85));
#71007=CARTESIAN_POINT('Ctrl Pts',(21.9003520393368,16.9305612570048,-10.85));
#71008=CARTESIAN_POINT('Ctrl Pts',(21.9091407442089,16.2069283849001,-11.85));
#71009=CARTESIAN_POINT('Ctrl Pts',(21.9091407442089,16.2069283849001,-10.85));
#71010=CARTESIAN_POINT('Ctrl Pts',(21.8544825648666,16.251615323109,-11.85));
#71011=CARTESIAN_POINT('Ctrl Pts',(21.8544825648666,16.251615323109,-10.85));
#71012=CARTESIAN_POINT('Ctrl Pts',(21.7401197972262,16.3451149967615,-11.85));
#71013=CARTESIAN_POINT('Ctrl Pts',(21.7401197972262,16.3451149967615,-10.85));
#71014=CARTESIAN_POINT('Ctrl Pts',(21.7279789264228,16.4930029180369,-11.85));
#71015=CARTESIAN_POINT('Ctrl Pts',(21.7279789264228,16.4930029180369,-10.85));
#71016=CARTESIAN_POINT('Ctrl Pts',(21.7216406249996,16.5702097541094,-11.85));
#71017=CARTESIAN_POINT('Ctrl Pts',(21.7216406249996,16.5702097541094,-10.85));
#71018=CARTESIAN_POINT('',(21.9091407442089,16.2069283849001,-11.85));
#71019=CARTESIAN_POINT('Ctrl Pts',(21.9091407442089,16.2069283849001,-11.85));
#71020=CARTESIAN_POINT('Ctrl Pts',(21.8544825648666,16.251615323109,-11.85));
#71021=CARTESIAN_POINT('Ctrl Pts',(21.7401197972262,16.3451149967615,-11.85));
#71022=CARTESIAN_POINT('Ctrl Pts',(21.7279789264228,16.4930029180369,-11.85));
#71023=CARTESIAN_POINT('Ctrl Pts',(21.7216406249996,16.5702097541094,-11.85));
#71024=CARTESIAN_POINT('',(21.9091407442089,16.2069283849001,-10.85));
#71025=CARTESIAN_POINT('',(21.9091407442089,16.2069283849001,-11.85));
#71026=CARTESIAN_POINT('Ctrl Pts',(21.9091407442089,16.2069283849001,-10.85));
#71027=CARTESIAN_POINT('Ctrl Pts',(21.8544825648666,16.251615323109,-10.85));
#71028=CARTESIAN_POINT('Ctrl Pts',(21.7401197972262,16.3451149967615,-10.85));
#71029=CARTESIAN_POINT('Ctrl Pts',(21.7279789264228,16.4930029180369,-10.85));
#71030=CARTESIAN_POINT('Ctrl Pts',(21.7216406249996,16.5702097541094,-10.85));
#71031=CARTESIAN_POINT('Ctrl Pts',(22.6005468749996,16.0956003195048,-11.85));
#71032=CARTESIAN_POINT('Ctrl Pts',(22.6005468749996,16.0956003195048,-10.85));
#71033=CARTESIAN_POINT('Ctrl Pts',(22.4570791168575,16.0948749252616,-11.85));
#71034=CARTESIAN_POINT('Ctrl Pts',(22.4570791168575,16.0948749252616,-10.85));
#71035=CARTESIAN_POINT('Ctrl Pts',(22.2194620753773,16.0936734982915,-11.85));
#71036=CARTESIAN_POINT('Ctrl Pts',(22.2194620753773,16.0936734982915,-10.85));
#71037=CARTESIAN_POINT('Ctrl Pts',(21.9972043261593,16.1747886961762,-11.85));
#71038=CARTESIAN_POINT('Ctrl Pts',(21.9972043261593,16.1747886961762,-10.85));
#71039=CARTESIAN_POINT('Ctrl Pts',(21.9091407442089,16.2069283849001,-11.85));
#71040=CARTESIAN_POINT('Ctrl Pts',(21.9091407442089,16.2069283849001,-10.85));
#71041=CARTESIAN_POINT('',(22.6005468749996,16.0956003195048,-11.85));
#71042=CARTESIAN_POINT('Ctrl Pts',(22.6005468749996,16.0956003195048,-11.85));
#71043=CARTESIAN_POINT('Ctrl Pts',(22.4570791168575,16.0948749252616,-11.85));
#71044=CARTESIAN_POINT('Ctrl Pts',(22.2194620753773,16.0936734982915,-11.85));
#71045=CARTESIAN_POINT('Ctrl Pts',(21.9972043261593,16.1747886961762,-11.85));
#71046=CARTESIAN_POINT('Ctrl Pts',(21.9091407442089,16.2069283849001,-11.85));
#71047=CARTESIAN_POINT('',(22.6005468749996,16.0956003195048,-10.85));
#71048=CARTESIAN_POINT('',(22.6005468749996,16.0956003195048,-11.85));
#71049=CARTESIAN_POINT('Ctrl Pts',(22.6005468749996,16.0956003195048,-10.85));
#71050=CARTESIAN_POINT('Ctrl Pts',(22.4570791168575,16.0948749252616,-10.85));
#71051=CARTESIAN_POINT('Ctrl Pts',(22.2194620753773,16.0936734982915,-10.85));
#71052=CARTESIAN_POINT('Ctrl Pts',(21.9972043261593,16.1747886961762,-10.85));
#71053=CARTESIAN_POINT('Ctrl Pts',(21.9091407442089,16.2069283849001,-10.85));
#71054=CARTESIAN_POINT('Origin',(24.3759379768368,16.0956003195047,-11.85));
#71055=CARTESIAN_POINT('',(24.7629689884183,16.0956003195047,-11.85));
#71056=CARTESIAN_POINT('',(24.3759379768368,16.0956003195047,-10.85));
#71057=CARTESIAN_POINT('Ctrl Pts',(17.2567967557903,14.9178658849001,-11.85));
#71058=CARTESIAN_POINT('Ctrl Pts',(17.2567967557903,14.9178658849001,-10.85));
#71059=CARTESIAN_POINT('Ctrl Pts',(17.3724294495198,14.7214469273766,-11.85));
#71060=CARTESIAN_POINT('Ctrl Pts',(17.3724294495198,14.7214469273766,-10.85));
#71061=CARTESIAN_POINT('Ctrl Pts',(17.5975966278673,14.3389677079356,-11.85));
#71062=CARTESIAN_POINT('Ctrl Pts',(17.5975966278673,14.3389677079356,-10.85));
#71063=CARTESIAN_POINT('Ctrl Pts',(17.9793114610531,14.1134890390875,-11.85));
#71064=CARTESIAN_POINT('Ctrl Pts',(17.9793114610531,14.1134890390875,-10.85));
#71065=CARTESIAN_POINT('Ctrl Pts',(18.1649998807903,14.0038030272723,-11.85));
#71066=CARTESIAN_POINT('Ctrl Pts',(18.1649998807903,14.0038030272723,-10.85));
#71067=CARTESIAN_POINT('',(17.2567967557903,14.9178658849001,-10.85));
#71068=CARTESIAN_POINT('',(17.2567967557903,14.9178658849001,-11.85));
#71069=CARTESIAN_POINT('',(18.1649998807903,14.0038030272723,-10.85));
#71070=CARTESIAN_POINT('Ctrl Pts',(17.2567967557903,14.9178658849001,-10.85));
#71071=CARTESIAN_POINT('Ctrl Pts',(17.3724294495198,14.7214469273766,-10.85));
#71072=CARTESIAN_POINT('Ctrl Pts',(17.5975966278673,14.3389677079356,-10.85));
#71073=CARTESIAN_POINT('Ctrl Pts',(17.9793114610531,14.1134890390875,-10.85));
#71074=CARTESIAN_POINT('Ctrl Pts',(18.1649998807903,14.0038030272723,-10.85));
#71075=CARTESIAN_POINT('',(18.1649998807903,14.0038030272723,-11.85));
#71076=CARTESIAN_POINT('Ctrl Pts',(16.9345311307903,16.5526314502955,-11.85));
#71077=CARTESIAN_POINT('Ctrl Pts',(16.9345311307903,16.5526314502955,-10.85));
#71078=CARTESIAN_POINT('Ctrl Pts',(16.9427311624834,16.2465146257899,-11.85));
#71079=CARTESIAN_POINT('Ctrl Pts',(16.9427311624834,16.2465146257899,-10.85));
#71080=CARTESIAN_POINT('Ctrl Pts',(16.9578411906364,15.6824395088214,-11.85));
#71081=CARTESIAN_POINT('Ctrl Pts',(16.9578411906364,15.6824395088214,-10.85));
#71082=CARTESIAN_POINT('Ctrl Pts',(17.1629829688754,15.1577930024917,-11.85));
#71083=CARTESIAN_POINT('Ctrl Pts',(17.1629829688754,15.1577930024917,-10.85));
#71084=CARTESIAN_POINT('Ctrl Pts',(17.2567967557903,14.9178658849001,-11.85));
#71085=CARTESIAN_POINT('Ctrl Pts',(17.2567967557903,14.9178658849001,-10.85));
#71086=CARTESIAN_POINT('',(16.9345311307903,16.5526314502955,-10.85));
#71087=CARTESIAN_POINT('',(16.9345311307903,16.5526314502955,-11.85));
#71088=CARTESIAN_POINT('Ctrl Pts',(16.9345311307903,16.5526314502955,-10.85));
#71089=CARTESIAN_POINT('Ctrl Pts',(16.9427311624834,16.2465146257899,-10.85));
#71090=CARTESIAN_POINT('Ctrl Pts',(16.9578411906364,15.6824395088214,-10.85));
#71091=CARTESIAN_POINT('Ctrl Pts',(17.1629829688754,15.1577930024917,-10.85));
#71092=CARTESIAN_POINT('Ctrl Pts',(17.2567967557903,14.9178658849001,-10.85));
#71093=CARTESIAN_POINT('Ctrl Pts',(17.1894137048717,17.9793894714118,-11.85));
#71094=CARTESIAN_POINT('Ctrl Pts',(17.1894137048717,17.9793894714118,-10.85));
#71095=CARTESIAN_POINT('Ctrl Pts',(17.1151210623747,17.7594066002111,-11.85));
#71096=CARTESIAN_POINT('Ctrl Pts',(17.1151210623747,17.7594066002111,-10.85));
#71097=CARTESIAN_POINT('Ctrl Pts',(16.9589230667732,17.2968993802046,-11.85));
#71098=CARTESIAN_POINT('Ctrl Pts',(16.9589230667732,17.2968993802046,-10.85));
#71099=CARTESIAN_POINT('Ctrl Pts',(16.9429217315124,16.8086527449764,-11.85));
#71100=CARTESIAN_POINT('Ctrl Pts',(16.9429217315124,16.8086527449764,-10.85));
#71101=CARTESIAN_POINT('Ctrl Pts',(16.9345311307903,16.5526314502955,-11.85));
#71102=CARTESIAN_POINT('Ctrl Pts',(16.9345311307903,16.5526314502955,-10.85));
#71103=CARTESIAN_POINT('',(17.1894137048717,17.9793894714118,-10.85));
#71104=CARTESIAN_POINT('',(17.1894137048717,17.9793894714118,-11.85));
#71105=CARTESIAN_POINT('Ctrl Pts',(17.1894137048717,17.9793894714118,-10.85));
#71106=CARTESIAN_POINT('Ctrl Pts',(17.1151210623747,17.7594066002111,-10.85));
#71107=CARTESIAN_POINT('Ctrl Pts',(16.9589230667732,17.2968993802046,-10.85));
#71108=CARTESIAN_POINT('Ctrl Pts',(16.9429217315124,16.8086527449764,-10.85));
#71109=CARTESIAN_POINT('Ctrl Pts',(16.9345311307903,16.5526314502955,-10.85));
#71110=CARTESIAN_POINT('Ctrl Pts',(17.9540620231625,18.9549754983187,-11.85));
#71111=CARTESIAN_POINT('Ctrl Pts',(17.9540620231625,18.9549754983187,-10.85));
#71112=CARTESIAN_POINT('Ctrl Pts',(17.7939926059782,18.8230828422825,-11.85));
#71113=CARTESIAN_POINT('Ctrl Pts',(17.7939926059782,18.8230828422825,-10.85));
#71114=CARTESIAN_POINT('Ctrl Pts',(17.4676688205097,18.5542013060694,-11.85));
#71115=CARTESIAN_POINT('Ctrl Pts',(17.4676688205097,18.5542013060694,-10.85));
#71116=CARTESIAN_POINT('Ctrl Pts',(17.2833300268194,18.1733992498098,-11.85));
#71117=CARTESIAN_POINT('Ctrl Pts',(17.2833300268194,18.1733992498098,-10.85));
#71118=CARTESIAN_POINT('Ctrl Pts',(17.1894137048717,17.9793894714118,-11.85));
#71119=CARTESIAN_POINT('Ctrl Pts',(17.1894137048717,17.9793894714118,-10.85));
#71120=CARTESIAN_POINT('',(17.9540620231625,18.9549754983187,-10.85));
#71121=CARTESIAN_POINT('',(17.9540620231625,18.9549754983187,-11.85));
#71122=CARTESIAN_POINT('Ctrl Pts',(17.9540620231625,18.9549754983187,-10.85));
#71123=CARTESIAN_POINT('Ctrl Pts',(17.7939926059782,18.8230828422825,-10.85));
#71124=CARTESIAN_POINT('Ctrl Pts',(17.4676688205097,18.5542013060694,-10.85));
#71125=CARTESIAN_POINT('Ctrl Pts',(17.2833300268194,18.1733992498098,-10.85));
#71126=CARTESIAN_POINT('Ctrl Pts',(17.1894137048717,17.9793894714118,-10.85));
#71127=CARTESIAN_POINT('Ctrl Pts',(19.0351168298717,19.3622020310164,-11.85));
#71128=CARTESIAN_POINT('Ctrl Pts',(19.0351168298717,19.3622020310164,-10.85));
#71129=CARTESIAN_POINT('Ctrl Pts',(18.8454932482515,19.3315375847861,-11.85));
#71130=CARTESIAN_POINT('Ctrl Pts',(18.8454932482515,19.3315375847861,-10.85));
#71131=CARTESIAN_POINT('Ctrl Pts',(18.4578628855087,19.2688530267052,-11.85));
#71132=CARTESIAN_POINT('Ctrl Pts',(18.4578628855087,19.2688530267052,-10.85));
#71133=CARTESIAN_POINT('Ctrl Pts',(18.1243995574615,19.061099025,-11.85));
#71134=CARTESIAN_POINT('Ctrl Pts',(18.1243995574615,19.061099025,-10.85));
#71135=CARTESIAN_POINT('Ctrl Pts',(17.9540620231625,18.9549754983187,-11.85));
#71136=CARTESIAN_POINT('Ctrl Pts',(17.9540620231625,18.9549754983187,-10.85));
#71137=CARTESIAN_POINT('',(19.0351168298717,19.3622020310164,-10.85));
#71138=CARTESIAN_POINT('',(19.0351168298717,19.3622020310164,-11.85));
#71139=CARTESIAN_POINT('Ctrl Pts',(19.0351168298717,19.3622020310164,-10.85));
#71140=CARTESIAN_POINT('Ctrl Pts',(18.8454932482515,19.3315375847861,-10.85));
#71141=CARTESIAN_POINT('Ctrl Pts',(18.4578628855087,19.2688530267052,-10.85));
#71142=CARTESIAN_POINT('Ctrl Pts',(18.1243995574615,19.061099025,-10.85));
#71143=CARTESIAN_POINT('Ctrl Pts',(17.9540620231625,18.9549754983187,-10.85));
#71144=CARTESIAN_POINT('Ctrl Pts',(21.2997655057903,19.4120067408681,-11.85));
#71145=CARTESIAN_POINT('Ctrl Pts',(21.2997655057903,19.4120067408681,-10.85));
#71146=CARTESIAN_POINT('Ctrl Pts',(20.8286829803367,19.4129960454781,-11.85));
#71147=CARTESIAN_POINT('Ctrl Pts',(20.8286829803367,19.4129960454781,-10.85));
#71148=CARTESIAN_POINT('Ctrl Pts',(20.0733213031989,19.4145823551987,-11.85));
#71149=CARTESIAN_POINT('Ctrl Pts',(20.0733213031989,19.4145823551987,-10.85));
#71150=CARTESIAN_POINT('Ctrl Pts',(19.3190032248362,19.3765248949427,-11.85));
#71151=CARTESIAN_POINT('Ctrl Pts',(19.3190032248362,19.3765248949427,-10.85));
#71152=CARTESIAN_POINT('Ctrl Pts',(19.0351168298717,19.3622020310164,-11.85));
#71153=CARTESIAN_POINT('Ctrl Pts',(19.0351168298717,19.3622020310164,-10.85));
#71154=CARTESIAN_POINT('',(21.2997655057903,19.4120067408681,-10.85));
#71155=CARTESIAN_POINT('',(21.2997655057903,19.4120067408681,-11.85));
#71156=CARTESIAN_POINT('Ctrl Pts',(21.2997655057903,19.4120067408681,-10.85));
#71157=CARTESIAN_POINT('Ctrl Pts',(20.8286829803367,19.4129960454781,-10.85));
#71158=CARTESIAN_POINT('Ctrl Pts',(20.0733213031989,19.4145823551987,-10.85));
#71159=CARTESIAN_POINT('Ctrl Pts',(19.3190032248362,19.3765248949427,-10.85));
#71160=CARTESIAN_POINT('Ctrl Pts',(19.0351168298717,19.3622020310164,-10.85));
#71161=CARTESIAN_POINT('Origin',(22.6122657442089,19.4120067408681,-11.85));
#71162=CARTESIAN_POINT('',(22.6122657442089,19.4120067408681,-10.85));
#71163=CARTESIAN_POINT('',(22.6122657442089,19.4120067408681,-11.85));
#71164=CARTESIAN_POINT('',(22.6122657442089,19.4120067408681,-10.85));
#71165=CARTESIAN_POINT('Ctrl Pts',(24.7275004768368,19.3563426709175,-11.85));
#71166=CARTESIAN_POINT('Ctrl Pts',(24.7275004768368,19.3563426709175,-10.85));
#71167=CARTESIAN_POINT('Ctrl Pts',(24.4604765032401,19.3723596751725,-11.85));
#71168=CARTESIAN_POINT('Ctrl Pts',(24.4604765032401,19.3723596751725,-10.85));
#71169=CARTESIAN_POINT('Ctrl Pts',(23.7561406590917,19.4146081297241,-11.85));
#71170=CARTESIAN_POINT('Ctrl Pts',(23.7561406590917,19.4146081297241,-10.85));
#71171=CARTESIAN_POINT('Ctrl Pts',(23.050430751035,19.4130032113309,-11.85));
#71172=CARTESIAN_POINT('Ctrl Pts',(23.050430751035,19.4130032113309,-10.85));
#71173=CARTESIAN_POINT('Ctrl Pts',(22.6122657442089,19.4120067408681,-11.85));
#71174=CARTESIAN_POINT('Ctrl Pts',(22.6122657442089,19.4120067408681,-10.85));
#71175=CARTESIAN_POINT('',(24.7275004768368,19.3563426709175,-10.85));
#71176=CARTESIAN_POINT('',(24.7275004768368,19.3563426709175,-11.85));
#71177=CARTESIAN_POINT('Ctrl Pts',(24.7275004768368,19.3563426709175,-10.85));
#71178=CARTESIAN_POINT('Ctrl Pts',(24.4604765032401,19.3723596751725,-10.85));
#71179=CARTESIAN_POINT('Ctrl Pts',(23.7561406590917,19.4146081297241,-10.85));
#71180=CARTESIAN_POINT('Ctrl Pts',(23.050430751035,19.4130032113309,-10.85));
#71181=CARTESIAN_POINT('Ctrl Pts',(22.6122657442089,19.4120067408681,-10.85));
#71182=CARTESIAN_POINT('Ctrl Pts',(25.7675395393368,18.9696239358187,-11.85));
#71183=CARTESIAN_POINT('Ctrl Pts',(25.7675395393368,18.9696239358187,-10.85));
#71184=CARTESIAN_POINT('Ctrl Pts',(25.6024985989674,19.0682776052667,-11.85));
#71185=CARTESIAN_POINT('Ctrl Pts',(25.6024985989674,19.0682776052667,-10.85));
#71186=CARTESIAN_POINT('Ctrl Pts',(25.279483573075,19.2613607125237,-11.85));
#71187=CARTESIAN_POINT('Ctrl Pts',(25.279483573075,19.2613607125237,-10.85));
#71188=CARTESIAN_POINT('Ctrl Pts',(24.9087915347482,19.3251471871481,-11.85));
#71189=CARTESIAN_POINT('Ctrl Pts',(24.9087915347482,19.3251471871481,-10.85));
#71190=CARTESIAN_POINT('Ctrl Pts',(24.7275004768368,19.3563426709175,-11.85));
#71191=CARTESIAN_POINT('Ctrl Pts',(24.7275004768368,19.3563426709175,-10.85));
#71192=CARTESIAN_POINT('',(25.7675395393368,18.9696239358187,-10.85));
#71193=CARTESIAN_POINT('',(25.7675395393368,18.9696239358187,-11.85));
#71194=CARTESIAN_POINT('Ctrl Pts',(25.7675395393368,18.9696239358187,-10.85));
#71195=CARTESIAN_POINT('Ctrl Pts',(25.6024985989674,19.0682776052667,-10.85));
#71196=CARTESIAN_POINT('Ctrl Pts',(25.279483573075,19.2613607125237,-10.85));
#71197=CARTESIAN_POINT('Ctrl Pts',(24.9087915347482,19.3251471871481,-10.85));
#71198=CARTESIAN_POINT('Ctrl Pts',(24.7275004768368,19.3563426709175,-10.85));
#71199=CARTESIAN_POINT('Ctrl Pts',(26.5263281249996,18.0291942185163,-11.85));
#71200=CARTESIAN_POINT('Ctrl Pts',(26.5263281249996,18.0291942185163,-10.85));
#71201=CARTESIAN_POINT('Ctrl Pts',(26.4313009310591,18.2190150754453,-11.85));
#71202=CARTESIAN_POINT('Ctrl Pts',(26.4313009310591,18.2190150754453,-10.85));
#71203=CARTESIAN_POINT('Ctrl Pts',(26.2465791397224,18.5880047011618,-11.85));
#71204=CARTESIAN_POINT('Ctrl Pts',(26.2465791397224,18.5880047011618,-10.85));
#71205=CARTESIAN_POINT('Ctrl Pts',(25.9241164270796,18.8448894628914,-11.85));
#71206=CARTESIAN_POINT('Ctrl Pts',(25.9241164270796,18.8448894628914,-10.85));
#71207=CARTESIAN_POINT('Ctrl Pts',(25.7675395393368,18.9696239358187,-11.85));
#71208=CARTESIAN_POINT('Ctrl Pts',(25.7675395393368,18.9696239358187,-10.85));
#71209=CARTESIAN_POINT('',(26.5263281249996,18.0291942185163,-10.85));
#71210=CARTESIAN_POINT('',(26.5263281249996,18.0291942185163,-11.85));
#71211=CARTESIAN_POINT('Ctrl Pts',(26.5263281249996,18.0291942185163,-10.85));
#71212=CARTESIAN_POINT('Ctrl Pts',(26.4313009310591,18.2190150754453,-10.85));
#71213=CARTESIAN_POINT('Ctrl Pts',(26.2465791397224,18.5880047011618,-10.85));
#71214=CARTESIAN_POINT('Ctrl Pts',(25.9241164270796,18.8448894628914,-10.85));
#71215=CARTESIAN_POINT('Ctrl Pts',(25.7675395393368,18.9696239358187,-10.85));
#71216=CARTESIAN_POINT('Ctrl Pts',(26.7899999999996,16.4647408252954,-11.85));
#71217=CARTESIAN_POINT('Ctrl Pts',(26.7899999999996,16.4647408252954,-10.85));
#71218=CARTESIAN_POINT('Ctrl Pts',(26.7837110750321,16.757086092152,-11.85));
#71219=CARTESIAN_POINT('Ctrl Pts',(26.7837110750321,16.757086092152,-10.85));
#71220=CARTESIAN_POINT('Ctrl Pts',(26.7722001574549,17.2921794965572,-11.85));
#71221=CARTESIAN_POINT('Ctrl Pts',(26.7722001574549,17.2921794965572,-10.85));
#71222=CARTESIAN_POINT('Ctrl Pts',(26.6030596631531,17.7991872807896,-11.85));
#71223=CARTESIAN_POINT('Ctrl Pts',(26.6030596631531,17.7991872807896,-10.85));
#71224=CARTESIAN_POINT('Ctrl Pts',(26.5263281249996,18.0291942185163,-11.85));
#71225=CARTESIAN_POINT('Ctrl Pts',(26.5263281249996,18.0291942185163,-10.85));
#71226=CARTESIAN_POINT('',(26.7899999999996,16.4647408252954,-10.85));
#71227=CARTESIAN_POINT('',(26.7899999999996,16.4647408252954,-11.85));
#71228=CARTESIAN_POINT('Ctrl Pts',(26.7899999999996,16.4647408252954,-10.85));
#71229=CARTESIAN_POINT('Ctrl Pts',(26.7837110750321,16.757086092152,-10.85));
#71230=CARTESIAN_POINT('Ctrl Pts',(26.7722001574549,17.2921794965572,-10.85));
#71231=CARTESIAN_POINT('Ctrl Pts',(26.6030596631531,17.7991872807896,-10.85));
#71232=CARTESIAN_POINT('Ctrl Pts',(26.5263281249996,18.0291942185163,-10.85));
#71233=CARTESIAN_POINT('Ctrl Pts',(26.561484136581,15.1200141435862,-11.85));
#71234=CARTESIAN_POINT('Ctrl Pts',(26.561484136581,15.1200141435862,-10.85));
#71235=CARTESIAN_POINT('Ctrl Pts',(26.628081482588,15.3266070622748,-11.85));
#71236=CARTESIAN_POINT('Ctrl Pts',(26.628081482588,15.3266070622748,-10.85));
#71237=CARTESIAN_POINT('Ctrl Pts',(26.7689240595295,15.7635175518151,-11.85));
#71238=CARTESIAN_POINT('Ctrl Pts',(26.7689240595295,15.7635175518151,-10.85));
#71239=CARTESIAN_POINT('Ctrl Pts',(26.7827248871849,16.2226885937425,-11.85));
#71240=CARTESIAN_POINT('Ctrl Pts',(26.7827248871849,16.2226885937425,-10.85));
#71241=CARTESIAN_POINT('Ctrl Pts',(26.7899999999996,16.4647408252954,-11.85));
#71242=CARTESIAN_POINT('Ctrl Pts',(26.7899999999996,16.4647408252954,-10.85));
#71243=CARTESIAN_POINT('',(26.561484136581,15.1200141435862,-10.85));
#71244=CARTESIAN_POINT('',(26.561484136581,15.1200141435862,-11.85));
#71245=CARTESIAN_POINT('Ctrl Pts',(26.561484136581,15.1200141435862,-10.85));
#71246=CARTESIAN_POINT('Ctrl Pts',(26.628081482588,15.3266070622748,-10.85));
#71247=CARTESIAN_POINT('Ctrl Pts',(26.7689240595295,15.7635175518151,-10.85));
#71248=CARTESIAN_POINT('Ctrl Pts',(26.7827248871849,16.2226885937425,-10.85));
#71249=CARTESIAN_POINT('Ctrl Pts',(26.7899999999996,16.4647408252954,-10.85));
#71250=CARTESIAN_POINT('Ctrl Pts',(25.9198830509182,14.2118113166094,-11.85));
#71251=CARTESIAN_POINT('Ctrl Pts',(25.9198830509182,14.2118113166094,-10.85));
#71252=CARTESIAN_POINT('Ctrl Pts',(26.0513251735658,14.3361467076479,-11.85));
#71253=CARTESIAN_POINT('Ctrl Pts',(26.0513251735658,14.3361467076479,-10.85));
#71254=CARTESIAN_POINT('Ctrl Pts',(26.3256679873283,14.5956565233801,-11.85));
#71255=CARTESIAN_POINT('Ctrl Pts',(26.3256679873283,14.5956565233801,-10.85));
#71256=CARTESIAN_POINT('Ctrl Pts',(26.4807200496382,14.9404282052963,-11.85));
#71257=CARTESIAN_POINT('Ctrl Pts',(26.4807200496382,14.9404282052963,-10.85));
#71258=CARTESIAN_POINT('Ctrl Pts',(26.561484136581,15.1200141435862,-11.85));
#71259=CARTESIAN_POINT('Ctrl Pts',(26.561484136581,15.1200141435862,-10.85));
#71260=CARTESIAN_POINT('',(25.9198830509182,14.2118113166094,-10.85));
#71261=CARTESIAN_POINT('',(25.9198830509182,14.2118113166094,-11.85));
#71262=CARTESIAN_POINT('Ctrl Pts',(25.9198830509182,14.2118113166094,-10.85));
#71263=CARTESIAN_POINT('Ctrl Pts',(26.0513251735658,14.3361467076479,-10.85));
#71264=CARTESIAN_POINT('Ctrl Pts',(26.3256679873283,14.5956565233801,-10.85));
#71265=CARTESIAN_POINT('Ctrl Pts',(26.4807200496382,14.9404282052963,-10.85));
#71266=CARTESIAN_POINT('Ctrl Pts',(26.561484136581,15.1200141435862,-10.85));
#71267=CARTESIAN_POINT('Ctrl Pts',(25.0204692268367,13.8045846349001,-11.85));
#71268=CARTESIAN_POINT('Ctrl Pts',(25.0204692268367,13.8045846349001,-10.85));
#71269=CARTESIAN_POINT('Ctrl Pts',(25.1807909118616,13.8416181098468,-11.85));
#71270=CARTESIAN_POINT('Ctrl Pts',(25.1807909118616,13.8416181098468,-10.85));
#71271=CARTESIAN_POINT('Ctrl Pts',(25.507682482104,13.9171283614698,-11.85));
#71272=CARTESIAN_POINT('Ctrl Pts',(25.507682482104,13.9171283614698,-10.85));
#71273=CARTESIAN_POINT('Ctrl Pts',(25.7807431025508,14.1123399081132,-11.85));
#71274=CARTESIAN_POINT('Ctrl Pts',(25.7807431025508,14.1123399081132,-10.85));
#71275=CARTESIAN_POINT('Ctrl Pts',(25.9198830509182,14.2118113166094,-11.85));
#71276=CARTESIAN_POINT('Ctrl Pts',(25.9198830509182,14.2118113166094,-10.85));
#71277=CARTESIAN_POINT('',(25.0204692268367,13.8045846349001,-10.85));
#71278=CARTESIAN_POINT('',(25.0204692268367,13.8045846349001,-11.85));
#71279=CARTESIAN_POINT('Ctrl Pts',(25.0204692268367,13.8045846349001,-10.85));
#71280=CARTESIAN_POINT('Ctrl Pts',(25.1807909118616,13.8416181098468,-10.85));
#71281=CARTESIAN_POINT('Ctrl Pts',(25.507682482104,13.9171283614698,-10.85));
#71282=CARTESIAN_POINT('Ctrl Pts',(25.7807431025508,14.1123399081132,-10.85));
#71283=CARTESIAN_POINT('Ctrl Pts',(25.9198830509182,14.2118113166094,-10.85));
#71284=CARTESIAN_POINT('Ctrl Pts',(23.5380468749996,13.7284128791094,-11.85));
#71285=CARTESIAN_POINT('Ctrl Pts',(23.5380468749996,13.7284128791094,-10.85));
#71286=CARTESIAN_POINT('Ctrl Pts',(23.8279417303236,13.7287664028986,-11.85));
#71287=CARTESIAN_POINT('Ctrl Pts',(23.8279417303236,13.7287664028986,-10.85));
#71288=CARTESIAN_POINT('Ctrl Pts',(24.3235059377782,13.7293707383436,-11.85));
#71289=CARTESIAN_POINT('Ctrl Pts',(24.3235059377782,13.7293707383436,-10.85));
#71290=CARTESIAN_POINT('Ctrl Pts',(24.8160523301265,13.7825246619217,-11.85));
#71291=CARTESIAN_POINT('Ctrl Pts',(24.8160523301265,13.7825246619217,-10.85));
#71292=CARTESIAN_POINT('Ctrl Pts',(25.0204692268367,13.8045846349001,-11.85));
#71293=CARTESIAN_POINT('Ctrl Pts',(25.0204692268367,13.8045846349001,-10.85));
#71294=CARTESIAN_POINT('',(23.5380468749996,13.7284128791094,-10.85));
#71295=CARTESIAN_POINT('',(23.5380468749996,13.7284128791094,-11.85));
#71296=CARTESIAN_POINT('Ctrl Pts',(23.5380468749996,13.7284128791094,-10.85));
#71297=CARTESIAN_POINT('Ctrl Pts',(23.8279417303236,13.7287664028986,-10.85));
#71298=CARTESIAN_POINT('Ctrl Pts',(24.3235059377782,13.7293707383436,-10.85));
#71299=CARTESIAN_POINT('Ctrl Pts',(24.8160523301265,13.7825246619217,-10.85));
#71300=CARTESIAN_POINT('Ctrl Pts',(25.0204692268367,13.8045846349001,-10.85));
#71301=CARTESIAN_POINT('Origin',(22.782187261581,13.7284128791094,-11.85));
#71302=CARTESIAN_POINT('',(22.782187261581,13.7284128791094,-10.85));
#71303=CARTESIAN_POINT('',(22.782187261581,13.7284128791094,-11.85));
#71304=CARTESIAN_POINT('',(22.782187261581,13.7284128791094,-10.85));
#71305=CARTESIAN_POINT('Ctrl Pts',(21.4521090173717,13.8631783849001,-11.85));
#71306=CARTESIAN_POINT('Ctrl Pts',(21.4521090173717,13.8631783849001,-10.85));
#71307=CARTESIAN_POINT('Ctrl Pts',(21.6443830991164,13.8240232720958,-11.85));
#71308=CARTESIAN_POINT('Ctrl Pts',(21.6443830991164,13.8240232720958,-10.85));
#71309=CARTESIAN_POINT('Ctrl Pts',(22.0828919476983,13.7347243750415,-11.85));
#71310=CARTESIAN_POINT('Ctrl Pts',(22.0828919476983,13.7347243750415,-10.85));
#71311=CARTESIAN_POINT('Ctrl Pts',(22.5307196835169,13.7306825019204,-11.85));
#71312=CARTESIAN_POINT('Ctrl Pts',(22.5307196835169,13.7306825019204,-10.85));
#71313=CARTESIAN_POINT('Ctrl Pts',(22.782187261581,13.7284128791094,-11.85));
#71314=CARTESIAN_POINT('Ctrl Pts',(22.782187261581,13.7284128791094,-10.85));
#71315=CARTESIAN_POINT('',(21.4521090173717,13.8631783849001,-10.85));
#71316=CARTESIAN_POINT('',(21.4521090173717,13.8631783849001,-11.85));
#71317=CARTESIAN_POINT('Ctrl Pts',(21.4521090173717,13.8631783849001,-10.85));
#71318=CARTESIAN_POINT('Ctrl Pts',(21.6443830991164,13.8240232720958,-10.85));
#71319=CARTESIAN_POINT('Ctrl Pts',(22.0828919476983,13.7347243750415,-10.85));
#71320=CARTESIAN_POINT('Ctrl Pts',(22.5307196835169,13.7306825019204,-10.85));
#71321=CARTESIAN_POINT('Ctrl Pts',(22.782187261581,13.7284128791094,-10.85));
#71322=CARTESIAN_POINT('Ctrl Pts',(20.6083593749996,14.4959907656908,-11.85));
#71323=CARTESIAN_POINT('Ctrl Pts',(20.6083593749996,14.4959907656908,-10.85));
#71324=CARTESIAN_POINT('Ctrl Pts',(20.7270544868723,14.3463859655406,-11.85));
#71325=CARTESIAN_POINT('Ctrl Pts',(20.7270544868723,14.3463859655406,-10.85));
#71326=CARTESIAN_POINT('Ctrl Pts',(20.9534714792971,14.0610071629271,-11.85));
#71327=CARTESIAN_POINT('Ctrl Pts',(20.9534714792971,14.0610071629271,-10.85));
#71328=CARTESIAN_POINT('Ctrl Pts',(21.2913549786791,13.9269557233658,-11.85));
#71329=CARTESIAN_POINT('Ctrl Pts',(21.2913549786791,13.9269557233658,-10.85));
#71330=CARTESIAN_POINT('Ctrl Pts',(21.4521090173717,13.8631783849001,-11.85));
#71331=CARTESIAN_POINT('Ctrl Pts',(21.4521090173717,13.8631783849001,-10.85));
#71332=CARTESIAN_POINT('',(20.6083593749996,14.4959907656908,-10.85));
#71333=CARTESIAN_POINT('',(20.6083593749996,14.4959907656908,-11.85));
#71334=CARTESIAN_POINT('Ctrl Pts',(20.6083593749996,14.4959907656908,-10.85));
#71335=CARTESIAN_POINT('Ctrl Pts',(20.7270544868723,14.3463859655406,-10.85));
#71336=CARTESIAN_POINT('Ctrl Pts',(20.9534714792971,14.0610071629271,-10.85));
#71337=CARTESIAN_POINT('Ctrl Pts',(21.2913549786791,13.9269557233658,-10.85));
#71338=CARTESIAN_POINT('Ctrl Pts',(21.4521090173717,13.8631783849001,-10.85));
#71339=CARTESIAN_POINT('Ctrl Pts',(20.2567968749996,15.6444283252955,-11.85));
#71340=CARTESIAN_POINT('Ctrl Pts',(20.2567968749996,15.6444283252955,-10.85));
#71341=CARTESIAN_POINT('Ctrl Pts',(20.2704008025601,15.4354755587029,-11.85));
#71342=CARTESIAN_POINT('Ctrl Pts',(20.2704008025601,15.4354755587029,-10.85));
#71343=CARTESIAN_POINT('Ctrl Pts',(20.2971442327602,15.0247034707454,-11.85));
#71344=CARTESIAN_POINT('Ctrl Pts',(20.2971442327602,15.0247034707454,-10.85));
#71345=CARTESIAN_POINT('Ctrl Pts',(20.5058289969215,14.6701760998422,-11.85));
#71346=CARTESIAN_POINT('Ctrl Pts',(20.5058289969215,14.6701760998422,-10.85));
#71347=CARTESIAN_POINT('Ctrl Pts',(20.6083593749996,14.4959907656908,-11.85));
#71348=CARTESIAN_POINT('Ctrl Pts',(20.6083593749996,14.4959907656908,-10.85));
#71349=CARTESIAN_POINT('',(20.2567968749996,15.6444283252955,-10.85));
#71350=CARTESIAN_POINT('',(20.2567968749996,15.6444283252955,-11.85));
#71351=CARTESIAN_POINT('Ctrl Pts',(20.2567968749996,15.6444283252955,-10.85));
#71352=CARTESIAN_POINT('Ctrl Pts',(20.2704008025601,15.4354755587029,-10.85));
#71353=CARTESIAN_POINT('Ctrl Pts',(20.2971442327602,15.0247034707454,-10.85));
#71354=CARTESIAN_POINT('Ctrl Pts',(20.5058289969215,14.6701760998422,-10.85));
#71355=CARTESIAN_POINT('Ctrl Pts',(20.6083593749996,14.4959907656908,-10.85));
#71356=CARTESIAN_POINT('Ctrl Pts',(20.4472265624996,16.5116160041094,-11.85));
#71357=CARTESIAN_POINT('Ctrl Pts',(20.4472265624996,16.5116160041094,-10.85));
#71358=CARTESIAN_POINT('Ctrl Pts',(20.391749815459,16.3825531087447,-11.85));
#71359=CARTESIAN_POINT('Ctrl Pts',(20.391749815459,16.3825531087447,-10.85));
#71360=CARTESIAN_POINT('Ctrl Pts',(20.2729293053213,16.1061252378774,-11.85));
#71361=CARTESIAN_POINT('Ctrl Pts',(20.2729293053213,16.1061252378774,-10.85));
#71362=CARTESIAN_POINT('Ctrl Pts',(20.2624065855446,15.8049736360412,-11.85));
#71363=CARTESIAN_POINT('Ctrl Pts',(20.2624065855446,15.8049736360412,-10.85));
#71364=CARTESIAN_POINT('Ctrl Pts',(20.2567968749996,15.6444283252955,-11.85));
#71365=CARTESIAN_POINT('Ctrl Pts',(20.2567968749996,15.6444283252955,-10.85));
#71366=CARTESIAN_POINT('',(20.4472265624996,16.5116160041094,-10.85));
#71367=CARTESIAN_POINT('',(20.4472265624996,16.5116160041094,-11.85));
#71368=CARTESIAN_POINT('Ctrl Pts',(20.4472265624996,16.5116160041094,-10.85));
#71369=CARTESIAN_POINT('Ctrl Pts',(20.391749815459,16.3825531087447,-10.85));
#71370=CARTESIAN_POINT('Ctrl Pts',(20.2729293053213,16.1061252378774,-10.85));
#71371=CARTESIAN_POINT('Ctrl Pts',(20.2624065855446,15.8049736360412,-10.85));
#71372=CARTESIAN_POINT('Ctrl Pts',(20.2567968749996,15.6444283252955,-10.85));
#71373=CARTESIAN_POINT('Ctrl Pts',(21.0185156249996,17.0448191887141,-11.85));
#71374=CARTESIAN_POINT('Ctrl Pts',(21.0185156249996,17.0448191887141,-10.85));
#71375=CARTESIAN_POINT('Ctrl Pts',(20.8999744485843,16.9780643642482,-11.85));
#71376=CARTESIAN_POINT('Ctrl Pts',(20.8999744485843,16.9780643642482,-10.85));
#71377=CARTESIAN_POINT('Ctrl Pts',(20.6662823132772,16.8464638694393,-11.85));
#71378=CARTESIAN_POINT('Ctrl Pts',(20.6662823132772,16.8464638694393,-10.85));
#71379=CARTESIAN_POINT('Ctrl Pts',(20.5195355179363,16.6221472240671,-11.85));
#71380=CARTESIAN_POINT('Ctrl Pts',(20.5195355179363,16.6221472240671,-10.85));
#71381=CARTESIAN_POINT('Ctrl Pts',(20.4472265624996,16.5116160041094,-11.85));
#71382=CARTESIAN_POINT('Ctrl Pts',(20.4472265624996,16.5116160041094,-10.85));
#71383=CARTESIAN_POINT('',(21.0185156249996,17.0448191887141,-10.85));
#71384=CARTESIAN_POINT('',(21.0185156249996,17.0448191887141,-11.85));
#71385=CARTESIAN_POINT('Ctrl Pts',(21.0185156249996,17.0448191887141,-10.85));
#71386=CARTESIAN_POINT('Ctrl Pts',(20.8999744485843,16.9780643642482,-10.85));
#71387=CARTESIAN_POINT('Ctrl Pts',(20.6662823132772,16.8464638694393,-10.85));
#71388=CARTESIAN_POINT('Ctrl Pts',(20.5195355179363,16.6221472240671,-10.85));
#71389=CARTESIAN_POINT('Ctrl Pts',(20.4472265624996,16.5116160041094,-10.85));
#71390=CARTESIAN_POINT('Origin',(19.5067963981624,17.0448191887141,-11.85));
#71391=CARTESIAN_POINT('',(19.5067963981624,17.0448191887141,-10.85));
#71392=CARTESIAN_POINT('',(19.5067963981624,17.0448191887141,-11.85));
#71393=CARTESIAN_POINT('',(19.5067963981624,17.0448191887141,-10.85));
#71394=CARTESIAN_POINT('Ctrl Pts',(18.797812261581,17.0272408849001,-11.85));
#71395=CARTESIAN_POINT('Ctrl Pts',(18.797812261581,17.0272408849001,-10.85));
#71396=CARTESIAN_POINT('Ctrl Pts',(18.8861715276802,17.0322938165723,-11.85));
#71397=CARTESIAN_POINT('Ctrl Pts',(18.8861715276802,17.0322938165723,-10.85));
#71398=CARTESIAN_POINT('Ctrl Pts',(19.1222718279519,17.0457954982604,-11.85));
#71399=CARTESIAN_POINT('Ctrl Pts',(19.1222718279519,17.0457954982604,-10.85));
#71400=CARTESIAN_POINT('Ctrl Pts',(19.3587923856454,17.0451949715418,-11.85));
#71401=CARTESIAN_POINT('Ctrl Pts',(19.3587923856454,17.0451949715418,-10.85));
#71402=CARTESIAN_POINT('Ctrl Pts',(19.5067963981624,17.0448191887141,-11.85));
#71403=CARTESIAN_POINT('Ctrl Pts',(19.5067963981624,17.0448191887141,-10.85));
#71404=CARTESIAN_POINT('',(18.797812261581,17.0272408849001,-10.85));
#71405=CARTESIAN_POINT('',(18.797812261581,17.0272408849001,-11.85));
#71406=CARTESIAN_POINT('Ctrl Pts',(18.797812261581,17.0272408849001,-10.85));
#71407=CARTESIAN_POINT('Ctrl Pts',(18.8861715276802,17.0322938165723,-10.85));
#71408=CARTESIAN_POINT('Ctrl Pts',(19.1222718279519,17.0457954982604,-10.85));
#71409=CARTESIAN_POINT('Ctrl Pts',(19.3587923856454,17.0451949715418,-10.85));
#71410=CARTESIAN_POINT('Ctrl Pts',(19.5067963981624,17.0448191887141,-10.85));
#71411=CARTESIAN_POINT('Ctrl Pts',(18.5107026481624,16.8924753791094,-11.85));
#71412=CARTESIAN_POINT('Ctrl Pts',(18.5107026481624,16.8924753791094,-10.85));
#71413=CARTESIAN_POINT('Ctrl Pts',(18.5511819844435,16.9274508477349,-11.85));
#71414=CARTESIAN_POINT('Ctrl Pts',(18.5511819844435,16.9274508477349,-10.85));
#71415=CARTESIAN_POINT('Ctrl Pts',(18.6341668285171,16.9991524633475,-11.85));
#71416=CARTESIAN_POINT('Ctrl Pts',(18.6341668285171,16.9991524633475,-10.85));
#71417=CARTESIAN_POINT('Ctrl Pts',(18.7423830423074,17.017726905511,-11.85));
#71418=CARTESIAN_POINT('Ctrl Pts',(18.7423830423074,17.017726905511,-10.85));
#71419=CARTESIAN_POINT('Ctrl Pts',(18.797812261581,17.0272408849001,-11.85));
#71420=CARTESIAN_POINT('Ctrl Pts',(18.797812261581,17.0272408849001,-10.85));
#71421=CARTESIAN_POINT('',(18.5107026481624,16.8924753791094,-10.85));
#71422=CARTESIAN_POINT('',(18.5107026481624,16.8924753791094,-11.85));
#71423=CARTESIAN_POINT('Ctrl Pts',(18.5107026481624,16.8924753791094,-10.85));
#71424=CARTESIAN_POINT('Ctrl Pts',(18.5511819844435,16.9274508477349,-10.85));
#71425=CARTESIAN_POINT('Ctrl Pts',(18.6341668285171,16.9991524633475,-10.85));
#71426=CARTESIAN_POINT('Ctrl Pts',(18.7423830423074,17.017726905511,-10.85));
#71427=CARTESIAN_POINT('Ctrl Pts',(18.797812261581,17.0272408849001,-10.85));
#71428=CARTESIAN_POINT('Ctrl Pts',(18.3993748807903,16.5936471945048,-11.85));
#71429=CARTESIAN_POINT('Ctrl Pts',(18.3993748807903,16.5936471945048,-10.85));
#71430=CARTESIAN_POINT('Ctrl Pts',(18.4033807152981,16.6508257151463,-11.85));
#71431=CARTESIAN_POINT('Ctrl Pts',(18.4033807152981,16.6508257151463,-10.85));
#71432=CARTESIAN_POINT('Ctrl Pts',(18.4111403890567,16.7615858240107,-11.85));
#71433=CARTESIAN_POINT('Ctrl Pts',(18.4111403890567,16.7615858240107,-10.85));
#71434=CARTESIAN_POINT('Ctrl Pts',(18.4782415986267,16.8498004501762,-11.85));
#71435=CARTESIAN_POINT('Ctrl Pts',(18.4782415986267,16.8498004501762,-10.85));
#71436=CARTESIAN_POINT('Ctrl Pts',(18.5107026481624,16.8924753791094,-11.85));
#71437=CARTESIAN_POINT('Ctrl Pts',(18.5107026481624,16.8924753791094,-10.85));
#71438=CARTESIAN_POINT('',(18.3993748807903,16.5936471945048,-10.85));
#71439=CARTESIAN_POINT('',(18.3993748807903,16.5936471945048,-11.85));
#71440=CARTESIAN_POINT('Ctrl Pts',(18.3993748807903,16.5936471945048,-10.85));
#71441=CARTESIAN_POINT('Ctrl Pts',(18.4033807152981,16.6508257151463,-10.85));
#71442=CARTESIAN_POINT('Ctrl Pts',(18.4111403890567,16.7615858240107,-10.85));
#71443=CARTESIAN_POINT('Ctrl Pts',(18.4782415986267,16.8498004501762,-10.85));
#71444=CARTESIAN_POINT('Ctrl Pts',(18.5107026481624,16.8924753791094,-10.85));
#71445=CARTESIAN_POINT('Ctrl Pts',(18.5165623807903,16.2508736974001,-11.85));
#71446=CARTESIAN_POINT('Ctrl Pts',(18.5165623807903,16.2508736974001,-10.85));
#71447=CARTESIAN_POINT('Ctrl Pts',(18.4824201575963,16.2987101295856,-11.85));
#71448=CARTESIAN_POINT('Ctrl Pts',(18.4824201575963,16.2987101295856,-10.85));
#71449=CARTESIAN_POINT('Ctrl Pts',(18.4094755655428,16.4009122917365,-11.85));
#71450=CARTESIAN_POINT('Ctrl Pts',(18.4094755655428,16.4009122917365,-10.85));
#71451=CARTESIAN_POINT('Ctrl Pts',(18.4028821841863,16.5267230407899,-11.85));
#71452=CARTESIAN_POINT('Ctrl Pts',(18.4028821841863,16.5267230407899,-10.85));
#71453=CARTESIAN_POINT('Ctrl Pts',(18.3993748807903,16.5936471945048,-11.85));
#71454=CARTESIAN_POINT('Ctrl Pts',(18.3993748807903,16.5936471945048,-10.85));
#71455=CARTESIAN_POINT('',(18.5165623807903,16.2508736974001,-10.85));
#71456=CARTESIAN_POINT('',(18.5165623807903,16.2508736974001,-11.85));
#71457=CARTESIAN_POINT('Ctrl Pts',(18.5165623807903,16.2508736974001,-10.85));
#71458=CARTESIAN_POINT('Ctrl Pts',(18.4824201575963,16.2987101295856,-10.85));
#71459=CARTESIAN_POINT('Ctrl Pts',(18.4094755655428,16.4009122917365,-10.85));
#71460=CARTESIAN_POINT('Ctrl Pts',(18.4028821841863,16.5267230407899,-10.85));
#71461=CARTESIAN_POINT('Ctrl Pts',(18.3993748807903,16.5936471945048,-10.85));
#71462=CARTESIAN_POINT('Ctrl Pts',(18.8066009664531,16.1102487570048,-11.85));
#71463=CARTESIAN_POINT('Ctrl Pts',(18.8066009664531,16.1102487570048,-10.85));
#71464=CARTESIAN_POINT('Ctrl Pts',(18.7513478018703,16.1197224608558,-11.85));
#71465=CARTESIAN_POINT('Ctrl Pts',(18.7513478018703,16.1197224608558,-10.85));
#71466=CARTESIAN_POINT('Ctrl Pts',(18.6410916773524,16.1386269684858,-11.85));
#71467=CARTESIAN_POINT('Ctrl Pts',(18.6410916773524,16.1386269684858,-10.85));
#71468=CARTESIAN_POINT('Ctrl Pts',(18.5580092999247,16.2135147688199,-11.85));
#71469=CARTESIAN_POINT('Ctrl Pts',(18.5580092999247,16.2135147688199,-10.85));
#71470=CARTESIAN_POINT('Ctrl Pts',(18.5165623807903,16.2508736974001,-11.85));
#71471=CARTESIAN_POINT('Ctrl Pts',(18.5165623807903,16.2508736974001,-10.85));
#71472=CARTESIAN_POINT('',(18.8066009664531,16.1102487570048,-10.85));
#71473=CARTESIAN_POINT('',(18.8066009664531,16.1102487570048,-11.85));
#71474=CARTESIAN_POINT('Ctrl Pts',(18.8066009664531,16.1102487570048,-10.85));
#71475=CARTESIAN_POINT('Ctrl Pts',(18.7513478018703,16.1197224608558,-10.85));
#71476=CARTESIAN_POINT('Ctrl Pts',(18.6410916773524,16.1386269684858,-10.85));
#71477=CARTESIAN_POINT('Ctrl Pts',(18.5580092999247,16.2135147688199,-10.85));
#71478=CARTESIAN_POINT('Ctrl Pts',(18.5165623807903,16.2508736974001,-10.85));
#71479=CARTESIAN_POINT('Ctrl Pts',(19.6415624999996,16.0956003195048,-11.85));
#71480=CARTESIAN_POINT('Ctrl Pts',(19.6415624999996,16.0956003195048,-10.85));
#71481=CARTESIAN_POINT('Ctrl Pts',(19.4615918799187,16.0950526354859,-11.85));
#71482=CARTESIAN_POINT('Ctrl Pts',(19.4615918799187,16.0950526354859,-10.85));
#71483=CARTESIAN_POINT('Ctrl Pts',(19.1831453195327,16.094205270893,-11.85));
#71484=CARTESIAN_POINT('Ctrl Pts',(19.1831453195327,16.094205270893,-10.85));
#71485=CARTESIAN_POINT('Ctrl Pts',(18.9049781107417,16.1060571861176,-11.85));
#71486=CARTESIAN_POINT('Ctrl Pts',(18.9049781107417,16.1060571861176,-10.85));
#71487=CARTESIAN_POINT('Ctrl Pts',(18.8066009664531,16.1102487570048,-11.85));
#71488=CARTESIAN_POINT('Ctrl Pts',(18.8066009664531,16.1102487570048,-10.85));
#71489=CARTESIAN_POINT('',(19.6415624999996,16.0956003195048,-10.85));
#71490=CARTESIAN_POINT('',(19.6415624999996,16.0956003195048,-11.85));
#71491=CARTESIAN_POINT('Ctrl Pts',(19.6415624999996,16.0956003195048,-10.85));
#71492=CARTESIAN_POINT('Ctrl Pts',(19.4615918799187,16.0950526354859,-10.85));
#71493=CARTESIAN_POINT('Ctrl Pts',(19.1831453195327,16.094205270893,-10.85));
#71494=CARTESIAN_POINT('Ctrl Pts',(18.9049781107417,16.1060571861176,-10.85));
#71495=CARTESIAN_POINT('Ctrl Pts',(18.8066009664531,16.1102487570048,-10.85));
#71496=CARTESIAN_POINT('Origin',(19.6415624999996,13.7284128791094,-11.85));
#71497=CARTESIAN_POINT('',(19.6415624999996,13.7284128791094,-10.85));
#71498=CARTESIAN_POINT('',(19.6415624999996,13.7284128791094,-11.85));
#71499=CARTESIAN_POINT('',(19.6415624999996,13.7284128791094,-10.85));
#71500=CARTESIAN_POINT('Ctrl Pts',(18.1649998807903,14.0038030272723,-11.85));
#71501=CARTESIAN_POINT('Ctrl Pts',(18.1649998807903,14.0038030272723,-10.85));
#71502=CARTESIAN_POINT('Ctrl Pts',(18.3834841890894,13.923623260263,-11.85));
#71503=CARTESIAN_POINT('Ctrl Pts',(18.3834841890894,13.923623260263,-10.85));
#71504=CARTESIAN_POINT('Ctrl Pts',(18.8594451452301,13.7489542468866,-11.85));
#71505=CARTESIAN_POINT('Ctrl Pts',(18.8594451452301,13.7489542468866,-10.85));
#71506=CARTESIAN_POINT('Ctrl Pts',(19.3669966015082,13.7356240208461,-11.85));
#71507=CARTESIAN_POINT('Ctrl Pts',(19.3669966015082,13.7356240208461,-10.85));
#71508=CARTESIAN_POINT('Ctrl Pts',(19.6415624999996,13.7284128791094,-11.85));
#71509=CARTESIAN_POINT('Ctrl Pts',(19.6415624999996,13.7284128791094,-10.85));
#71510=CARTESIAN_POINT('Ctrl Pts',(18.1649998807903,14.0038030272723,-10.85));
#71511=CARTESIAN_POINT('Ctrl Pts',(18.3834841890894,13.923623260263,-10.85));
#71512=CARTESIAN_POINT('Ctrl Pts',(18.8594451452301,13.7489542468866,-10.85));
#71513=CARTESIAN_POINT('Ctrl Pts',(19.3669966015082,13.7356240208461,-10.85));
#71514=CARTESIAN_POINT('Ctrl Pts',(19.6415624999996,13.7284128791094,-10.85));
#71515=CARTESIAN_POINT('Origin',(21.862265565395,16.5715105044168,-10.85));
#71516=CARTESIAN_POINT('Origin',(25.15,50.,-11.85));
#71517=CARTESIAN_POINT('Origin',(13.8,50.49375,-11.35));
#71518=CARTESIAN_POINT('',(15.8,43.,-11.35));
#71519=CARTESIAN_POINT('Origin',(13.8,43.,-11.35));
#71520=CARTESIAN_POINT('Origin',(13.8,50.49375,-11.35));
#71521=CARTESIAN_POINT('',(15.8,83.9875,-11.35));
#71522=CARTESIAN_POINT('Origin',(13.8,83.9875,-11.35));
#71523=CARTESIAN_POINT('Origin',(13.8,50.49375,-11.35));
#71524=CARTESIAN_POINT('',(15.8,30.,-11.35));
#71525=CARTESIAN_POINT('Origin',(13.8,30.,-11.35));
#71526=CARTESIAN_POINT('Origin',(13.8,50.49375,-11.35));
#71527=CARTESIAN_POINT('',(15.8,58.,-11.35));
#71528=CARTESIAN_POINT('Origin',(13.8,58.,-11.35));
#71529=CARTESIAN_POINT('Origin',(13.8,50.49375,-11.35));
#71530=CARTESIAN_POINT('',(15.8,70.9875,-11.35));
#71531=CARTESIAN_POINT('Origin',(13.8,70.9875,-11.35));
#71532=CARTESIAN_POINT('Origin',(13.8,50.49375,-11.35));
#71533=CARTESIAN_POINT('',(15.8,17.,-11.35));
#71534=CARTESIAN_POINT('Origin',(13.8,17.,-11.35));
#71535=CARTESIAN_POINT('Origin',(20.975,23.,-5.85));
#71536=CARTESIAN_POINT('',(19.8,23.,-8.85));
#71537=CARTESIAN_POINT('',(10.8,23.,-8.85));
#71538=CARTESIAN_POINT('',(20.975,23.,-8.85));
#71539=CARTESIAN_POINT('',(10.8,20.,-5.85));
#71540=CARTESIAN_POINT('Origin',(10.8,23.,-5.85));
#71541=CARTESIAN_POINT('',(16.8,20.,-5.85));
#71542=CARTESIAN_POINT('',(20.975,20.,-5.85));
#71543=CARTESIAN_POINT('Origin',(19.8,23.,-5.85));
#71544=CARTESIAN_POINT('Origin',(19.8,32.,-5.85));
#71545=CARTESIAN_POINT('',(16.8,14.,-5.85));
#71546=CARTESIAN_POINT('',(16.8,32.,-5.85));
#71547=CARTESIAN_POINT('',(19.8,11.,-8.85));
#71548=CARTESIAN_POINT('Origin',(19.8,11.,-5.85));
#71549=CARTESIAN_POINT('',(19.8,32.,-8.85));
#71550=CARTESIAN_POINT('Origin',(17.975,11.,-5.85));
#71551=CARTESIAN_POINT('',(10.8,14.,-5.85));
#71552=CARTESIAN_POINT('',(17.975,14.,-5.85));
#71553=CARTESIAN_POINT('',(10.8,11.,-8.85));
#71554=CARTESIAN_POINT('Origin',(10.8,11.,-5.85));
#71555=CARTESIAN_POINT('',(17.975,11.,-8.85));
#71556=CARTESIAN_POINT('Origin',(25.15,50.,-8.85));
#71557=CARTESIAN_POINT('',(5.,9.1,-8.85));
#71558=CARTESIAN_POINT('',(15.075,9.1,-8.85));
#71559=CARTESIAN_POINT('',(9.1,5.,-8.85));
#71560=CARTESIAN_POINT('Origin',(5.,5.,-8.85));
#71561=CARTESIAN_POINT('',(9.1,-0.5,-8.85));
#71562=CARTESIAN_POINT('',(9.10000000000001,24.75,-8.85));
#71563=CARTESIAN_POINT('',(35.9137466467195,-0.500000000000011,-8.84999999999999));
#71564=CARTESIAN_POINT('',(38.075,-0.499999999999998,-8.84999999999999));
#71565=CARTESIAN_POINT('',(40.9061935441264,4.77472527472528,-8.84999999999999));
#71566=CARTESIAN_POINT('Origin',(35.9137466467195,4.5,-8.85));
#71567=CARTESIAN_POINT('',(45.4505494505494,9.07516934526771,-8.84999999999999));
#71568=CARTESIAN_POINT('Origin',(45.,5.,-8.85));
#71569=CARTESIAN_POINT('Origin',(46.,14.0448880590088,-8.85));
#71570=CARTESIAN_POINT('',(45.4505494505495,90.9248306547323,-8.84999999999999));
#71571=CARTESIAN_POINT('Origin',(46.,85.9551119409912,-8.85));
#71572=CARTESIAN_POINT('',(40.9061935441263,95.2252747252726,-8.84999999999999));
#71573=CARTESIAN_POINT('Origin',(45.,95.,-8.85));
#71574=CARTESIAN_POINT('',(35.9137466467148,100.5,-8.84999999999999));
#71575=CARTESIAN_POINT('Origin',(35.9137466467148,95.4999999999955,-8.85));
#71576=CARTESIAN_POINT('',(9.1,100.5,-8.85));
#71577=CARTESIAN_POINT('',(12.225,100.5,-8.85));
#71578=CARTESIAN_POINT('',(9.1,95.,-8.85));
#71579=CARTESIAN_POINT('',(9.10000000000001,72.5,-8.85));
#71580=CARTESIAN_POINT('',(5.,90.9,-8.85));
#71581=CARTESIAN_POINT('Origin',(5.,95.,-8.85));
#71582=CARTESIAN_POINT('',(12.225,90.9,-8.85));
#71583=CARTESIAN_POINT('',(10.8,24.,-8.85));
#71584=CARTESIAN_POINT('',(10.8,36.,-8.85));
#71585=CARTESIAN_POINT('',(10.8,41.5,-8.85));
#71586=CARTESIAN_POINT('',(19.8,36.,-8.85));
#71587=CARTESIAN_POINT('',(20.975,36.,-8.85));
#71588=CARTESIAN_POINT('',(19.8,24.,-8.85));
#71589=CARTESIAN_POINT('',(19.8,38.5,-8.85));
#71590=CARTESIAN_POINT('',(17.975,24.,-8.85));
#71591=CARTESIAN_POINT('',(10.8,64.9875,-8.85));
#71592=CARTESIAN_POINT('',(10.8,76.9875,-8.85));
#71593=CARTESIAN_POINT('',(10.8,61.99375,-8.85));
#71594=CARTESIAN_POINT('',(19.8,76.9875,-8.85));
#71595=CARTESIAN_POINT('',(20.975,76.9875,-8.85));
#71596=CARTESIAN_POINT('',(19.8,64.9875,-8.85));
#71597=CARTESIAN_POINT('',(19.8,58.99375,-8.85));
#71598=CARTESIAN_POINT('',(17.975,64.9875,-8.85));
#71599=CARTESIAN_POINT('',(10.8,52.,-8.85));
#71600=CARTESIAN_POINT('',(10.8,64.,-8.85));
#71601=CARTESIAN_POINT('',(10.8,55.5,-8.85));
#71602=CARTESIAN_POINT('',(19.8,64.,-8.85));
#71603=CARTESIAN_POINT('',(20.975,64.,-8.85));
#71604=CARTESIAN_POINT('',(19.8,52.,-8.85));
#71605=CARTESIAN_POINT('',(19.8,52.5,-8.85));
#71606=CARTESIAN_POINT('',(17.975,52.,-8.85));
#71607=CARTESIAN_POINT('',(-0.0809236906138522,26.9,-8.85));
#71608=CARTESIAN_POINT('',(0.900000000000002,25.9190763093861,-8.85));
#71609=CARTESIAN_POINT('Origin',(0.900000000000001,26.9,-8.85));
#71610=CARTESIAN_POINT('',(-0.0809236906138491,33.1,-8.85));
#71611=CARTESIAN_POINT('',(-0.0809236906138492,33.1,-8.85));
#71612=CARTESIAN_POINT('',(0.900000000000002,34.0809236906139,-8.85));
#71613=CARTESIAN_POINT('Origin',(0.900000000000001,33.1,-8.85));
#71614=CARTESIAN_POINT('',(6.7,34.0809236906139,-8.85));
#71615=CARTESIAN_POINT('',(6.7,34.0809236906139,-8.85));
#71616=CARTESIAN_POINT('',(7.68092369061385,33.1,-8.85));
#71617=CARTESIAN_POINT('Origin',(6.7,33.1,-8.85));
#71618=CARTESIAN_POINT('',(7.68092369061385,26.9,-8.85));
#71619=CARTESIAN_POINT('',(7.68092369061385,26.9,-8.85));
#71620=CARTESIAN_POINT('',(6.7,25.9190763093861,-8.85));
#71621=CARTESIAN_POINT('Origin',(6.7,26.9,-8.85));
#71622=CARTESIAN_POINT('',(0.900000000000002,25.9190763093861,-8.85));
#71623=CARTESIAN_POINT('',(-0.0745649208184673,67.9,-8.85));
#71624=CARTESIAN_POINT('',(0.899999999999985,66.9254350791815,-8.85));
#71625=CARTESIAN_POINT('Origin',(0.899999999999999,67.9,-8.85));
#71626=CARTESIAN_POINT('',(-0.0745649208184809,74.1,-8.85));
#71627=CARTESIAN_POINT('',(-0.0745649208184809,74.1,-8.85));
#71628=CARTESIAN_POINT('',(0.899999999999988,75.0745649208185,-8.85));
#71629=CARTESIAN_POINT('Origin',(0.899999999999999,74.1,-8.85));
#71630=CARTESIAN_POINT('',(6.7,75.0745649208185,-8.85));
#71631=CARTESIAN_POINT('',(6.7,75.0745649208185,-8.85));
#71632=CARTESIAN_POINT('',(7.67456492081847,74.1,-8.85));
#71633=CARTESIAN_POINT('Origin',(6.7,74.1,-8.85));
#71634=CARTESIAN_POINT('',(7.67456492081847,67.9,-8.85));
#71635=CARTESIAN_POINT('',(7.67456492081847,67.9,-8.85));
#71636=CARTESIAN_POINT('',(6.7,66.9254350791815,-8.85));
#71637=CARTESIAN_POINT('Origin',(6.7,67.9,-8.85));
#71638=CARTESIAN_POINT('',(0.899999999999985,66.9254350791815,-8.85));
#71639=CARTESIAN_POINT('',(-0.0745649208184673,54.9,-8.85));
#71640=CARTESIAN_POINT('',(0.899999999999999,53.9254350791815,-8.85));
#71641=CARTESIAN_POINT('Origin',(0.899999999999999,54.9,-8.85));
#71642=CARTESIAN_POINT('',(-0.0745649208184786,61.1,-8.85));
#71643=CARTESIAN_POINT('',(-0.0745649208184785,61.1,-8.85));
#71644=CARTESIAN_POINT('',(0.899999999999989,62.0745649208185,-8.85));
#71645=CARTESIAN_POINT('Origin',(0.899999999999999,61.1,-8.85));
#71646=CARTESIAN_POINT('',(6.7,62.0745649208185,-8.85));
#71647=CARTESIAN_POINT('',(6.7,62.0745649208185,-8.85));
#71648=CARTESIAN_POINT('',(7.67456492081847,61.1,-8.85));
#71649=CARTESIAN_POINT('Origin',(6.7,61.1,-8.85));
#71650=CARTESIAN_POINT('',(7.67456492081847,54.9,-8.85));
#71651=CARTESIAN_POINT('',(7.67456492081847,54.9,-8.85));
#71652=CARTESIAN_POINT('',(6.7,53.9254350791815,-8.85));
#71653=CARTESIAN_POINT('Origin',(6.7,54.9,-8.85));
#71654=CARTESIAN_POINT('',(0.899999999999999,53.9254350791815,-8.85));
#71655=CARTESIAN_POINT('',(-0.0745649208184584,80.9,-8.85));
#71656=CARTESIAN_POINT('',(0.900000000000004,79.9254350791815,-8.85));
#71657=CARTESIAN_POINT('Origin',(0.900000000000007,80.9,-8.85));
#71658=CARTESIAN_POINT('',(-0.0745649208184691,87.1,-8.85));
#71659=CARTESIAN_POINT('',(-0.0745649208184691,87.1,-8.85));
#71660=CARTESIAN_POINT('',(0.900000000000001,88.0745649208185,-8.85));
#71661=CARTESIAN_POINT('Origin',(0.900000000000007,87.1,-8.85));
#71662=CARTESIAN_POINT('',(6.70000000000001,88.0745649208185,-8.85));
#71663=CARTESIAN_POINT('',(6.70000000000001,88.0745649208185,-8.85));
#71664=CARTESIAN_POINT('',(7.67456492081847,87.1,-8.85));
#71665=CARTESIAN_POINT('Origin',(6.70000000000001,87.1,-8.85));
#71666=CARTESIAN_POINT('',(7.67456492081848,80.9,-8.85));
#71667=CARTESIAN_POINT('',(7.67456492081848,80.9,-8.85));
#71668=CARTESIAN_POINT('',(6.70000000000001,79.9254350791815,-8.85));
#71669=CARTESIAN_POINT('Origin',(6.70000000000001,80.9,-8.85));
#71670=CARTESIAN_POINT('',(0.900000000000004,79.9254350791815,-8.85));
#71671=CARTESIAN_POINT('',(-0.0809236906138544,13.9,-8.85));
#71672=CARTESIAN_POINT('',(0.899999999999999,12.9190763093861,-8.85));
#71673=CARTESIAN_POINT('Origin',(0.899999999999999,13.9,-8.85));
#71674=CARTESIAN_POINT('',(-0.0809236906138543,20.1,-8.85));
#71675=CARTESIAN_POINT('',(-0.0809236906138543,20.1,-8.85));
#71676=CARTESIAN_POINT('',(0.899999999999999,21.0809236906139,-8.85));
#71677=CARTESIAN_POINT('Origin',(0.899999999999999,20.1,-8.85));
#71678=CARTESIAN_POINT('',(6.7,21.0809236906139,-8.85));
#71679=CARTESIAN_POINT('',(6.7,21.0809236906139,-8.85));
#71680=CARTESIAN_POINT('',(7.68092369061385,20.1,-8.85));
#71681=CARTESIAN_POINT('Origin',(6.7,20.1,-8.85));
#71682=CARTESIAN_POINT('',(7.68092369061385,13.9,-8.85));
#71683=CARTESIAN_POINT('',(7.68092369061385,13.9,-8.85));
#71684=CARTESIAN_POINT('',(6.7,12.9190763093861,-8.85));
#71685=CARTESIAN_POINT('Origin',(6.7,13.9,-8.85));
#71686=CARTESIAN_POINT('',(0.899999999999999,12.9190763093861,-8.85));
#71687=CARTESIAN_POINT('',(-0.0809236906138366,39.9,-8.85));
#71688=CARTESIAN_POINT('',(0.900000000000015,38.9190763093861,-8.85));
#71689=CARTESIAN_POINT('Origin',(0.900000000000016,39.9,-8.85));
#71690=CARTESIAN_POINT('',(-0.0809236906138373,46.1,-8.85));
#71691=CARTESIAN_POINT('',(-0.0809236906138373,46.1,-8.85));
#71692=CARTESIAN_POINT('',(0.900000000000015,47.0809236906138,-8.85));
#71693=CARTESIAN_POINT('Origin',(0.900000000000016,46.1,-8.85));
#71694=CARTESIAN_POINT('',(6.70000000000002,47.0809236906138,-8.85));
#71695=CARTESIAN_POINT('',(6.70000000000002,47.0809236906138,-8.85));
#71696=CARTESIAN_POINT('',(7.68092369061387,46.1,-8.85));
#71697=CARTESIAN_POINT('Origin',(6.70000000000002,46.1,-8.85));
#71698=CARTESIAN_POINT('',(7.68092369061387,39.9,-8.85));
#71699=CARTESIAN_POINT('',(7.68092369061387,39.9,-8.85));
#71700=CARTESIAN_POINT('',(6.70000000000002,38.9190763093861,-8.85));
#71701=CARTESIAN_POINT('Origin',(6.70000000000002,39.9,-8.85));
#71702=CARTESIAN_POINT('',(0.900000000000015,38.9190763093861,-8.85));
#71703=CARTESIAN_POINT('',(10.8,35.,-8.85));
#71704=CARTESIAN_POINT('',(10.8,37.,-8.85));
#71705=CARTESIAN_POINT('',(10.8,49.,-8.85));
#71706=CARTESIAN_POINT('',(10.8,48.,-8.85));
#71707=CARTESIAN_POINT('',(19.8,49.,-8.85));
#71708=CARTESIAN_POINT('',(20.975,49.,-8.85));
#71709=CARTESIAN_POINT('',(19.8,37.,-8.85));
#71710=CARTESIAN_POINT('',(19.8,45.,-8.85));
#71711=CARTESIAN_POINT('',(17.975,37.,-8.85));
#71712=CARTESIAN_POINT('',(10.8,77.9875,-8.85));
#71713=CARTESIAN_POINT('',(10.8,89.9875,-8.85));
#71714=CARTESIAN_POINT('',(10.8,68.49375,-8.85));
#71715=CARTESIAN_POINT('',(19.8,89.9875,-8.85));
#71716=CARTESIAN_POINT('',(20.975,89.9875,-8.85));
#71717=CARTESIAN_POINT('',(19.8,77.9875,-8.85));
#71718=CARTESIAN_POINT('',(19.8,65.49375,-8.85));
#71719=CARTESIAN_POINT('',(17.975,77.9875,-8.85));
#71720=CARTESIAN_POINT('Origin',(20.975,89.9875,-5.85));
#71721=CARTESIAN_POINT('',(10.8,86.9875,-5.85));
#71722=CARTESIAN_POINT('Origin',(10.8,89.9875,-5.85));
#71723=CARTESIAN_POINT('',(16.8,86.9875,-5.85));
#71724=CARTESIAN_POINT('',(20.975,86.9875,-5.85));
#71725=CARTESIAN_POINT('Origin',(19.8,89.9875,-5.85));
#71726=CARTESIAN_POINT('Origin',(17.975,77.9875,-5.85));
#71727=CARTESIAN_POINT('',(16.8,80.9875,-5.85));
#71728=CARTESIAN_POINT('',(10.8,80.9875,-5.85));
#71729=CARTESIAN_POINT('',(17.975,80.9875,-5.85));
#71730=CARTESIAN_POINT('Origin',(10.8,77.9875,-5.85));
#71731=CARTESIAN_POINT('Origin',(19.8,77.9875,-5.85));
#71732=CARTESIAN_POINT('Origin',(19.8,65.49375,-5.85));
#71733=CARTESIAN_POINT('',(16.8,65.49375,-5.85));
#71734=CARTESIAN_POINT('Origin',(20.975,76.9875,-5.85));
#71735=CARTESIAN_POINT('',(10.8,73.9875,-5.85));
#71736=CARTESIAN_POINT('Origin',(10.8,76.9875,-5.85));
#71737=CARTESIAN_POINT('',(16.8,73.9875,-5.85));
#71738=CARTESIAN_POINT('',(20.975,73.9875,-5.85));
#71739=CARTESIAN_POINT('Origin',(19.8,76.9875,-5.85));
#71740=CARTESIAN_POINT('Origin',(17.975,64.9875,-5.85));
#71741=CARTESIAN_POINT('',(16.8,67.9875,-5.85));
#71742=CARTESIAN_POINT('',(10.8,67.9875,-5.85));
#71743=CARTESIAN_POINT('',(17.975,67.9875,-5.85));
#71744=CARTESIAN_POINT('Origin',(10.8,64.9875,-5.85));
#71745=CARTESIAN_POINT('Origin',(19.8,64.9875,-5.85));
#71746=CARTESIAN_POINT('Origin',(19.8,58.99375,-5.85));
#71747=CARTESIAN_POINT('',(16.8,58.99375,-5.85));
#71748=CARTESIAN_POINT('Origin',(20.975,64.,-5.85));
#71749=CARTESIAN_POINT('',(10.8,61.,-5.85));
#71750=CARTESIAN_POINT('Origin',(10.8,64.,-5.85));
#71751=CARTESIAN_POINT('',(16.8,61.,-5.85));
#71752=CARTESIAN_POINT('',(20.975,61.,-5.85));
#71753=CARTESIAN_POINT('Origin',(19.8,64.,-5.85));
#71754=CARTESIAN_POINT('Origin',(17.975,52.,-5.85));
#71755=CARTESIAN_POINT('',(16.8,55.,-5.85));
#71756=CARTESIAN_POINT('',(10.8,55.,-5.85));
#71757=CARTESIAN_POINT('',(17.975,55.,-5.85));
#71758=CARTESIAN_POINT('Origin',(10.8,52.,-5.85));
#71759=CARTESIAN_POINT('Origin',(19.8,52.,-5.85));
#71760=CARTESIAN_POINT('Origin',(19.8,52.5,-5.85));
#71761=CARTESIAN_POINT('',(16.8,52.5,-5.85));
#71762=CARTESIAN_POINT('Origin',(20.975,49.,-5.85));
#71763=CARTESIAN_POINT('',(10.8,46.,-5.85));
#71764=CARTESIAN_POINT('Origin',(10.8,49.,-5.85));
#71765=CARTESIAN_POINT('',(16.8,46.,-5.85));
#71766=CARTESIAN_POINT('',(20.975,46.,-5.85));
#71767=CARTESIAN_POINT('Origin',(19.8,49.,-5.85));
#71768=CARTESIAN_POINT('Origin',(17.975,37.,-5.85));
#71769=CARTESIAN_POINT('',(16.8,40.,-5.85));
#71770=CARTESIAN_POINT('',(10.8,40.,-5.85));
#71771=CARTESIAN_POINT('',(17.975,40.,-5.85));
#71772=CARTESIAN_POINT('Origin',(10.8,37.,-5.85));
#71773=CARTESIAN_POINT('Origin',(19.8,37.,-5.85));
#71774=CARTESIAN_POINT('Origin',(19.8,45.,-5.85));
#71775=CARTESIAN_POINT('',(16.8,45.,-5.85));
#71776=CARTESIAN_POINT('Origin',(20.975,36.,-5.85));
#71777=CARTESIAN_POINT('',(10.8,33.,-5.85));
#71778=CARTESIAN_POINT('Origin',(10.8,36.,-5.85));
#71779=CARTESIAN_POINT('',(16.8,33.,-5.85));
#71780=CARTESIAN_POINT('',(20.975,33.,-5.85));
#71781=CARTESIAN_POINT('Origin',(19.8,36.,-5.85));
#71782=CARTESIAN_POINT('Origin',(17.975,24.,-5.85));
#71783=CARTESIAN_POINT('',(16.8,27.,-5.85));
#71784=CARTESIAN_POINT('',(10.8,27.,-5.85));
#71785=CARTESIAN_POINT('',(17.975,27.,-5.85));
#71786=CARTESIAN_POINT('Origin',(10.8,24.,-5.85));
#71787=CARTESIAN_POINT('Origin',(19.8,24.,-5.85));
#71788=CARTESIAN_POINT('Origin',(19.8,38.5,-5.85));
#71789=CARTESIAN_POINT('',(16.8,38.5,-5.85));
#71790=CARTESIAN_POINT('Origin',(13.8,83.9875,-8.85));
#71791=CARTESIAN_POINT('',(15.8,83.9875,-3.85));
#71792=CARTESIAN_POINT('Origin',(13.8,83.9875,-3.85));
#71793=CARTESIAN_POINT('',(15.8,83.9875,-8.85));
#71794=CARTESIAN_POINT('Origin',(10.8,80.9875,-8.85));
#71795=CARTESIAN_POINT('',(16.8,80.9875,-3.85));
#71796=CARTESIAN_POINT('',(16.8,80.9875,-8.85));
#71797=CARTESIAN_POINT('',(10.8,80.9875,-3.85));
#71798=CARTESIAN_POINT('',(10.8,80.9875,-3.85));
#71799=CARTESIAN_POINT('',(10.8,80.9875,-8.85));
#71800=CARTESIAN_POINT('Origin',(10.8,86.9875,-8.85));
#71801=CARTESIAN_POINT('',(10.8,86.9875,-3.85));
#71802=CARTESIAN_POINT('',(10.8,95.,-3.85));
#71803=CARTESIAN_POINT('',(10.8,86.9875,-8.85));
#71804=CARTESIAN_POINT('Origin',(16.8,86.9875,-8.85));
#71805=CARTESIAN_POINT('',(16.8,86.9875,-3.85));
#71806=CARTESIAN_POINT('',(16.8,86.9875,-3.85));
#71807=CARTESIAN_POINT('',(16.8,86.9875,-8.85));
#71808=CARTESIAN_POINT('Origin',(16.8,80.9875,-8.85));
#71809=CARTESIAN_POINT('',(16.8,1.58932746665604,-3.85));
#71810=CARTESIAN_POINT('Origin',(13.8,83.9875,-3.85));
#71811=CARTESIAN_POINT('Origin',(13.8,30.,-8.85));
#71812=CARTESIAN_POINT('',(15.8,30.,-3.85));
#71813=CARTESIAN_POINT('Origin',(13.8,30.,-3.85));
#71814=CARTESIAN_POINT('',(15.8,30.,-8.85));
#71815=CARTESIAN_POINT('Origin',(10.8,27.,-8.85));
#71816=CARTESIAN_POINT('',(16.8,27.,-3.85));
#71817=CARTESIAN_POINT('',(16.8,27.,-8.85));
#71818=CARTESIAN_POINT('',(10.8,27.,-3.85));
#71819=CARTESIAN_POINT('',(10.8,27.,-3.85));
#71820=CARTESIAN_POINT('',(10.8,27.,-8.85));
#71821=CARTESIAN_POINT('Origin',(10.8,33.,-8.85));
#71822=CARTESIAN_POINT('',(10.8,33.,-3.85));
#71823=CARTESIAN_POINT('',(10.8,95.,-3.85));
#71824=CARTESIAN_POINT('',(10.8,33.,-8.85));
#71825=CARTESIAN_POINT('Origin',(16.8,33.,-8.85));
#71826=CARTESIAN_POINT('',(16.8,33.,-3.85));
#71827=CARTESIAN_POINT('',(16.8,33.,-3.85));
#71828=CARTESIAN_POINT('',(16.8,33.,-8.85));
#71829=CARTESIAN_POINT('Origin',(16.8,27.,-8.85));
#71830=CARTESIAN_POINT('',(16.8,1.58932746665604,-3.85));
#71831=CARTESIAN_POINT('Origin',(13.8,30.,-3.85));
#71832=CARTESIAN_POINT('Origin',(13.8,43.,-8.85));
#71833=CARTESIAN_POINT('',(15.8,43.,-3.85));
#71834=CARTESIAN_POINT('Origin',(13.8,43.,-3.85));
#71835=CARTESIAN_POINT('',(15.8,43.,-8.85));
#71836=CARTESIAN_POINT('Origin',(10.8,40.,-8.85));
#71837=CARTESIAN_POINT('',(16.8,40.,-3.85));
#71838=CARTESIAN_POINT('',(16.8,40.,-8.85));
#71839=CARTESIAN_POINT('',(10.8,40.,-3.85));
#71840=CARTESIAN_POINT('',(10.8,40.,-3.85));
#71841=CARTESIAN_POINT('',(10.8,40.,-8.85));
#71842=CARTESIAN_POINT('Origin',(10.8,46.,-8.85));
#71843=CARTESIAN_POINT('',(10.8,46.,-3.85));
#71844=CARTESIAN_POINT('',(10.8,95.,-3.85));
#71845=CARTESIAN_POINT('',(10.8,46.,-8.85));
#71846=CARTESIAN_POINT('Origin',(16.8,46.,-8.85));
#71847=CARTESIAN_POINT('',(16.8,46.,-3.85));
#71848=CARTESIAN_POINT('',(16.8,46.,-3.85));
#71849=CARTESIAN_POINT('',(16.8,46.,-8.85));
#71850=CARTESIAN_POINT('Origin',(16.8,40.,-8.85));
#71851=CARTESIAN_POINT('',(16.8,1.58932746665604,-3.85));
#71852=CARTESIAN_POINT('Origin',(13.8,43.,-3.85));
#71853=CARTESIAN_POINT('Origin',(13.8,70.9875,-8.85));
#71854=CARTESIAN_POINT('',(15.8,70.9875,-3.85));
#71855=CARTESIAN_POINT('Origin',(13.8,70.9875,-3.85));
#71856=CARTESIAN_POINT('',(15.8,70.9875,-8.85));
#71857=CARTESIAN_POINT('Origin',(10.8,67.9875,-8.85));
#71858=CARTESIAN_POINT('',(16.8,67.9875,-3.85));
#71859=CARTESIAN_POINT('',(16.8,67.9875,-8.85));
#71860=CARTESIAN_POINT('',(10.8,67.9875,-3.85));
#71861=CARTESIAN_POINT('',(10.8,67.9875,-3.85));
#71862=CARTESIAN_POINT('',(10.8,67.9875,-8.85));
#71863=CARTESIAN_POINT('Origin',(10.8,73.9875,-8.85));
#71864=CARTESIAN_POINT('',(10.8,73.9875,-3.85));
#71865=CARTESIAN_POINT('',(10.8,95.,-3.85));
#71866=CARTESIAN_POINT('',(10.8,73.9875,-8.85));
#71867=CARTESIAN_POINT('Origin',(16.8,73.9875,-8.85));
#71868=CARTESIAN_POINT('',(16.8,73.9875,-3.85));
#71869=CARTESIAN_POINT('',(16.8,73.9875,-3.85));
#71870=CARTESIAN_POINT('',(16.8,73.9875,-8.85));
#71871=CARTESIAN_POINT('Origin',(16.8,67.9875,-8.85));
#71872=CARTESIAN_POINT('',(16.8,1.58932746665604,-3.85));
#71873=CARTESIAN_POINT('Origin',(13.8,70.9875,-3.85));
#71874=CARTESIAN_POINT('Origin',(13.8,17.,-8.85));
#71875=CARTESIAN_POINT('',(15.8,17.,-3.85));
#71876=CARTESIAN_POINT('Origin',(13.8,17.,-3.85));
#71877=CARTESIAN_POINT('',(15.8,17.,-8.85));
#71878=CARTESIAN_POINT('Origin',(16.8,20.,-8.85));
#71879=CARTESIAN_POINT('',(10.8,20.,-3.85));
#71880=CARTESIAN_POINT('',(10.8,20.,-8.85));
#71881=CARTESIAN_POINT('',(16.8,20.,-3.85));
#71882=CARTESIAN_POINT('',(10.8,20.,-3.85));
#71883=CARTESIAN_POINT('',(16.8,20.,-8.85));
#71884=CARTESIAN_POINT('Origin',(16.8,14.,-8.85));
#71885=CARTESIAN_POINT('',(16.8,14.,-3.85));
#71886=CARTESIAN_POINT('',(16.8,1.58932746665604,-3.85));
#71887=CARTESIAN_POINT('',(16.8,14.,-8.85));
#71888=CARTESIAN_POINT('Origin',(10.8,14.,-8.85));
#71889=CARTESIAN_POINT('',(10.8,14.,-3.85));
#71890=CARTESIAN_POINT('',(10.8,14.,-3.85));
#71891=CARTESIAN_POINT('',(10.8,14.,-8.85));
#71892=CARTESIAN_POINT('Origin',(10.8,20.,-8.85));
#71893=CARTESIAN_POINT('',(10.8,95.,-3.85));
#71894=CARTESIAN_POINT('Origin',(13.8,17.,-3.85));
#71895=CARTESIAN_POINT('Origin',(13.8,58.,-8.85));
#71896=CARTESIAN_POINT('',(15.8,58.,-3.85));
#71897=CARTESIAN_POINT('Origin',(13.8,58.,-3.85));
#71898=CARTESIAN_POINT('',(15.8,58.,-8.85));
#71899=CARTESIAN_POINT('Origin',(10.8,55.,-8.85));
#71900=CARTESIAN_POINT('',(16.8,55.,-3.85));
#71901=CARTESIAN_POINT('',(16.8,55.,-8.85));
#71902=CARTESIAN_POINT('',(10.8,55.,-3.85));
#71903=CARTESIAN_POINT('',(10.8,55.,-3.85));
#71904=CARTESIAN_POINT('',(10.8,55.,-8.85));
#71905=CARTESIAN_POINT('Origin',(10.8,61.,-8.85));
#71906=CARTESIAN_POINT('',(10.8,61.,-3.85));
#71907=CARTESIAN_POINT('',(10.8,95.,-3.85));
#71908=CARTESIAN_POINT('',(10.8,61.,-8.85));
#71909=CARTESIAN_POINT('Origin',(16.8,61.,-8.85));
#71910=CARTESIAN_POINT('',(16.8,61.,-3.85));
#71911=CARTESIAN_POINT('',(16.8,61.,-3.85));
#71912=CARTESIAN_POINT('',(16.8,61.,-8.85));
#71913=CARTESIAN_POINT('Origin',(16.8,55.,-8.85));
#71914=CARTESIAN_POINT('',(16.8,1.58932746665604,-3.85));
#71915=CARTESIAN_POINT('Origin',(13.8,58.,-3.85));
#71916=CARTESIAN_POINT('Origin',(5.,9.1,-8.85));
#71917=CARTESIAN_POINT('',(5.,9.1,0.));
#71918=CARTESIAN_POINT('',(15.075,9.1,0.));
#71919=CARTESIAN_POINT('',(5.,9.1,-8.85));
#71920=CARTESIAN_POINT('Origin',(5.,5.,-8.85));
#71921=CARTESIAN_POINT('',(9.1,5.,0.));
#71922=CARTESIAN_POINT('Origin',(5.,5.,-4.44089209850063E-15));
#71923=CARTESIAN_POINT('',(9.1,5.,-8.85));
#71924=CARTESIAN_POINT('Origin',(5.,5.,-8.85));
#71925=CARTESIAN_POINT('',(6.2645,5.,-4.15247360550864E-15));
#71926=CARTESIAN_POINT('Origin',(5.,5.,-4.44089209850063E-15));
#71927=CARTESIAN_POINT('',(6.2645,5.,-8.85));
#71928=CARTESIAN_POINT('',(6.2645,5.,-8.85));
#71929=CARTESIAN_POINT('Origin',(5.,5.,-8.85));
#71930=CARTESIAN_POINT('Origin',(9.1,-0.5,-8.85));
#71931=CARTESIAN_POINT('',(9.1,-0.5,0.));
#71932=CARTESIAN_POINT('',(9.1,24.75,0.));
#71933=CARTESIAN_POINT('',(9.1,-0.5,-8.85));
#71934=CARTESIAN_POINT('Origin',(25.15,50.,0.));
#71935=CARTESIAN_POINT('',(38.075,-0.499999999999998,0.));
#71936=CARTESIAN_POINT('Origin',(35.9137466467195,4.5,-8.84999999999999));
#71937=CARTESIAN_POINT('',(40.9061935441264,4.77472527472528,0.));
#71938=CARTESIAN_POINT('',(35.9137466467195,-0.500000000000011,0.));
#71939=CARTESIAN_POINT('Origin',(35.9137466467195,4.5,0.));
#71940=CARTESIAN_POINT('',(40.9061935441264,4.77472527472528,-8.84999999999999));
#71941=CARTESIAN_POINT('',(35.9137466467195,-0.5,-8.84999999999999));
#71942=CARTESIAN_POINT('Origin',(45.,5.,-8.84999999999999));
#71943=CARTESIAN_POINT('',(45.4505494505494,9.07516934526771,0.));
#71944=CARTESIAN_POINT('Origin',(45.,5.,0.));
#71945=CARTESIAN_POINT('',(45.4505494505494,9.07516934526771,-8.84999999999999));
#71946=CARTESIAN_POINT('Origin',(45.,5.,-8.84999999999999));
#71947=CARTESIAN_POINT('',(46.2645,5.,0.));
#71948=CARTESIAN_POINT('Origin',(45.,5.,0.));
#71949=CARTESIAN_POINT('',(46.2645,5.,-8.85));
#71950=CARTESIAN_POINT('',(46.2645,5.,-8.84999999999999));
#71951=CARTESIAN_POINT('Origin',(45.,5.,-8.85));
#71952=CARTESIAN_POINT('Origin',(46.,14.0448880590088,-8.84999999999999));
#71953=CARTESIAN_POINT('Origin',(46.,14.0448880590088,0.));
#71954=CARTESIAN_POINT('Origin',(25.15,50.,0.));
#71955=CARTESIAN_POINT('',(38.075,-0.499999999999998,0.));
#71956=CARTESIAN_POINT('Origin',(51.,-0.5,-8.84999999999999));
#71957=CARTESIAN_POINT('Origin',(25.15,50.,-8.85));
#71958=CARTESIAN_POINT('Origin',(9.1,95.,-8.85));
#71959=CARTESIAN_POINT('',(9.1,95.,0.));
#71960=CARTESIAN_POINT('',(9.1,100.5,0.));
#71961=CARTESIAN_POINT('',(9.1,72.5,0.));
#71962=CARTESIAN_POINT('',(9.1,95.,-8.85));
#71963=CARTESIAN_POINT('',(9.1,100.5,-8.85));
#71964=CARTESIAN_POINT('Origin',(5.,95.,-8.85));
#71965=CARTESIAN_POINT('',(5.,90.9,0.));
#71966=CARTESIAN_POINT('Origin',(5.,95.,-4.44089209850063E-15));
#71967=CARTESIAN_POINT('',(5.,90.9,-8.85));
#71968=CARTESIAN_POINT('Origin',(5.,95.,-8.85));
#71969=CARTESIAN_POINT('',(6.2645,95.,-4.15247360550864E-15));
#71970=CARTESIAN_POINT('Origin',(5.,95.,-4.44089209850063E-15));
#71971=CARTESIAN_POINT('',(6.2645,95.,-8.85));
#71972=CARTESIAN_POINT('',(6.2645,95.,-8.85));
#71973=CARTESIAN_POINT('Origin',(5.,95.,-8.85));
#71974=CARTESIAN_POINT('Origin',(-0.699999999999998,90.9,-8.85));
#71975=CARTESIAN_POINT('',(12.225,90.9,0.));
#71976=CARTESIAN_POINT('Origin',(25.15,50.,0.));
#71977=CARTESIAN_POINT('',(12.225,100.5,0.));
#71978=CARTESIAN_POINT('Origin',(-0.700000000000003,100.5,-8.85));
#71979=CARTESIAN_POINT('',(35.9137466467148,100.5,0.));
#71980=CARTESIAN_POINT('',(35.9137466467148,100.5,-8.84999999999999));
#71981=CARTESIAN_POINT('',(12.225,100.5,0.));
#71982=CARTESIAN_POINT('Origin',(25.15,50.,-8.85));
#71983=CARTESIAN_POINT('Origin',(46.,85.9551119409912,-8.84999999999999));
#71984=CARTESIAN_POINT('',(45.4505494505495,90.9248306547323,0.));
#71985=CARTESIAN_POINT('Origin',(46.,85.9551119409912,0.));
#71986=CARTESIAN_POINT('',(45.4505494505495,90.9248306547323,-8.84999999999999));
#71987=CARTESIAN_POINT('Origin',(45.,95.,-8.84999999999999));
#71988=CARTESIAN_POINT('',(40.9061935441263,95.2252747252726,0.));
#71989=CARTESIAN_POINT('Origin',(45.,95.,0.));
#71990=CARTESIAN_POINT('',(40.9061935441263,95.2252747252726,-8.84999999999999));
#71991=CARTESIAN_POINT('Origin',(45.,95.,-8.84999999999999));
#71992=CARTESIAN_POINT('',(46.2645,95.,0.));
#71993=CARTESIAN_POINT('Origin',(45.,95.,0.));
#71994=CARTESIAN_POINT('',(46.2645,95.,-8.85));
#71995=CARTESIAN_POINT('',(46.2645,95.,-8.84999999999999));
#71996=CARTESIAN_POINT('Origin',(45.,95.,-8.85));
#71997=CARTESIAN_POINT('Origin',(35.9137466467148,95.4999999999955,-8.84999999999999));
#71998=CARTESIAN_POINT('Origin',(35.9137466467148,95.4999999999955,0.));
#71999=CARTESIAN_POINT('Origin',(25.15,50.,0.));
#72000=CARTESIAN_POINT('Origin',(25.15,50.,-8.85));
#72001=CARTESIAN_POINT('Origin',(-0.0809236906138373,46.1,-8.85));
#72002=CARTESIAN_POINT('',(-0.0809236906138366,39.9,-8.85));
#72003=CARTESIAN_POINT('',(-0.0809236906138373,46.1,-8.85));
#72004=CARTESIAN_POINT('Origin',(0.900000000000016,46.1,-8.85));
#72005=CARTESIAN_POINT('',(0.900000000000015,47.0809236906138,-8.85));
#72006=CARTESIAN_POINT('Origin',(6.70000000000002,47.0809236906138,-8.85));
#72007=CARTESIAN_POINT('',(6.70000000000002,47.0809236906138,-8.85));
#72008=CARTESIAN_POINT('Origin',(6.70000000000002,46.1,-8.85));
#72009=CARTESIAN_POINT('',(7.68092369061387,46.1,-8.85));
#72010=CARTESIAN_POINT('Origin',(7.68092369061387,39.9,-8.85));
#72011=CARTESIAN_POINT('',(7.68092369061387,39.9,-8.85));
#72012=CARTESIAN_POINT('Origin',(6.70000000000002,39.9,-8.85));
#72013=CARTESIAN_POINT('',(6.70000000000002,38.9190763093861,-8.85));
#72014=CARTESIAN_POINT('Origin',(0.900000000000015,38.9190763093861,-8.85));
#72015=CARTESIAN_POINT('',(0.900000000000015,38.9190763093861,-8.85));
#72016=CARTESIAN_POINT('Origin',(0.900000000000016,39.9,-8.85));
#72017=CARTESIAN_POINT('Origin',(-0.0809236906138543,20.1,-8.85));
#72018=CARTESIAN_POINT('',(-0.0809236906138544,13.9,-8.85));
#72019=CARTESIAN_POINT('',(-0.0809236906138543,20.1,-8.85));
#72020=CARTESIAN_POINT('Origin',(0.899999999999999,20.1,-8.85));
#72021=CARTESIAN_POINT('',(0.899999999999999,21.0809236906139,-8.85));
#72022=CARTESIAN_POINT('Origin',(6.7,21.0809236906139,-8.85));
#72023=CARTESIAN_POINT('',(6.7,21.0809236906139,-8.85));
#72024=CARTESIAN_POINT('Origin',(6.7,20.1,-8.85));
#72025=CARTESIAN_POINT('',(7.68092369061385,20.1,-8.85));
#72026=CARTESIAN_POINT('Origin',(7.68092369061385,13.9,-8.85));
#72027=CARTESIAN_POINT('',(7.68092369061385,13.9,-8.85));
#72028=CARTESIAN_POINT('Origin',(6.7,13.9,-8.85));
#72029=CARTESIAN_POINT('',(6.7,12.9190763093861,-8.85));
#72030=CARTESIAN_POINT('Origin',(0.899999999999999,12.9190763093861,-8.85));
#72031=CARTESIAN_POINT('',(0.899999999999999,12.9190763093861,-8.85));
#72032=CARTESIAN_POINT('Origin',(0.899999999999999,13.9,-8.85));
#72033=CARTESIAN_POINT('Origin',(-0.0745649208184691,87.1,-8.85));
#72034=CARTESIAN_POINT('',(-0.0745649208184584,80.9,-8.85));
#72035=CARTESIAN_POINT('',(-0.0745649208184691,87.1,-8.85));
#72036=CARTESIAN_POINT('Origin',(0.900000000000007,87.1,-8.85));
#72037=CARTESIAN_POINT('',(0.900000000000001,88.0745649208185,-8.85));
#72038=CARTESIAN_POINT('Origin',(6.70000000000001,88.0745649208185,-8.85));
#72039=CARTESIAN_POINT('',(6.70000000000001,88.0745649208185,-8.85));
#72040=CARTESIAN_POINT('Origin',(6.70000000000001,87.1,-8.85));
#72041=CARTESIAN_POINT('',(7.67456492081847,87.1,-8.85));
#72042=CARTESIAN_POINT('Origin',(7.67456492081848,80.9,-8.85));
#72043=CARTESIAN_POINT('',(7.67456492081848,80.9,-8.85));
#72044=CARTESIAN_POINT('Origin',(6.70000000000001,80.9,-8.85));
#72045=CARTESIAN_POINT('',(6.70000000000001,79.9254350791815,-8.85));
#72046=CARTESIAN_POINT('Origin',(0.900000000000004,79.9254350791815,-8.85));
#72047=CARTESIAN_POINT('',(0.900000000000004,79.9254350791815,-8.85));
#72048=CARTESIAN_POINT('Origin',(0.900000000000007,80.9,-8.85));
#72049=CARTESIAN_POINT('Origin',(-0.0745649208184785,61.1,-8.85));
#72050=CARTESIAN_POINT('',(-0.0745649208184673,54.9,-8.85));
#72051=CARTESIAN_POINT('',(-0.0745649208184786,61.1,-8.85));
#72052=CARTESIAN_POINT('Origin',(0.899999999999999,61.1,-8.85));
#72053=CARTESIAN_POINT('',(0.899999999999989,62.0745649208185,-8.85));
#72054=CARTESIAN_POINT('Origin',(6.7,62.0745649208185,-8.85));
#72055=CARTESIAN_POINT('',(6.7,62.0745649208185,-8.85));
#72056=CARTESIAN_POINT('Origin',(6.7,61.1,-8.85));
#72057=CARTESIAN_POINT('',(7.67456492081847,61.1,-8.85));
#72058=CARTESIAN_POINT('Origin',(7.67456492081847,54.9,-8.85));
#72059=CARTESIAN_POINT('',(7.67456492081847,54.9,-8.85));
#72060=CARTESIAN_POINT('Origin',(6.7,54.9,-8.85));
#72061=CARTESIAN_POINT('',(6.7,53.9254350791815,-8.85));
#72062=CARTESIAN_POINT('Origin',(0.899999999999999,53.9254350791815,-8.85));
#72063=CARTESIAN_POINT('',(0.899999999999999,53.9254350791815,-8.85));
#72064=CARTESIAN_POINT('Origin',(0.899999999999999,54.9,-8.85));
#72065=CARTESIAN_POINT('Origin',(-0.0745649208184809,74.1,-8.85));
#72066=CARTESIAN_POINT('',(-0.0745649208184673,67.9,-8.85));
#72067=CARTESIAN_POINT('',(-0.0745649208184809,74.1,-8.85));
#72068=CARTESIAN_POINT('Origin',(0.899999999999999,74.1,-8.85));
#72069=CARTESIAN_POINT('',(0.899999999999988,75.0745649208185,-8.85));
#72070=CARTESIAN_POINT('Origin',(6.7,75.0745649208185,-8.85));
#72071=CARTESIAN_POINT('',(6.7,75.0745649208185,-8.85));
#72072=CARTESIAN_POINT('Origin',(6.7,74.1,-8.85));
#72073=CARTESIAN_POINT('',(7.67456492081847,74.1,-8.85));
#72074=CARTESIAN_POINT('Origin',(7.67456492081847,67.9,-8.85));
#72075=CARTESIAN_POINT('',(7.67456492081847,67.9,-8.85));
#72076=CARTESIAN_POINT('Origin',(6.7,67.9,-8.85));
#72077=CARTESIAN_POINT('',(6.7,66.9254350791815,-8.85));
#72078=CARTESIAN_POINT('Origin',(0.899999999999985,66.9254350791815,-8.85));
#72079=CARTESIAN_POINT('',(0.899999999999985,66.9254350791815,-8.85));
#72080=CARTESIAN_POINT('Origin',(0.899999999999999,67.9,-8.85));
#72081=CARTESIAN_POINT('Origin',(-0.0809236906138492,33.1,-8.85));
#72082=CARTESIAN_POINT('',(-0.0809236906138522,26.9,-8.85));
#72083=CARTESIAN_POINT('',(-0.0809236906138491,33.1,-8.85));
#72084=CARTESIAN_POINT('Origin',(0.900000000000001,33.1,-8.85));
#72085=CARTESIAN_POINT('',(0.900000000000002,34.0809236906139,-8.85));
#72086=CARTESIAN_POINT('Origin',(6.7,34.0809236906139,-8.85));
#72087=CARTESIAN_POINT('',(6.7,34.0809236906139,-8.85));
#72088=CARTESIAN_POINT('Origin',(6.7,33.1,-8.85));
#72089=CARTESIAN_POINT('',(7.68092369061385,33.1,-8.85));
#72090=CARTESIAN_POINT('Origin',(7.68092369061385,26.9,-8.85));
#72091=CARTESIAN_POINT('',(7.68092369061385,26.9,-8.85));
#72092=CARTESIAN_POINT('Origin',(6.7,26.9,-8.85));
#72093=CARTESIAN_POINT('',(6.7,25.9190763093861,-8.85));
#72094=CARTESIAN_POINT('Origin',(0.900000000000002,25.9190763093861,-8.85));
#72095=CARTESIAN_POINT('',(0.900000000000002,25.9190763093861,-8.85));
#72096=CARTESIAN_POINT('Origin',(0.900000000000001,26.9,-8.85));
#72097=CARTESIAN_POINT('Origin',(52.5,-2.,-8.84999999999999));
#72098=CARTESIAN_POINT('Origin',(25.15,50.,-11.85));
#72099=CARTESIAN_POINT('Origin',(25.15,50.,-8.85));
#72100=CARTESIAN_POINT('',(0.,0.,0.));
#72101=CARTESIAN_POINT('',(3.8,17.,-7.85));
#72102=CARTESIAN_POINT('',(3.8,30.,-7.85));
#72103=CARTESIAN_POINT('',(3.80000000000002,43.,-7.85));
#72104=CARTESIAN_POINT('',(3.8,58.,-7.85));
#72105=CARTESIAN_POINT('',(3.8,71.,-7.85));
#72106=CARTESIAN_POINT('',(3.80000000000001,84.,-7.85));
#72107=CARTESIAN_POINT('',(0.,0.,0.));
#72108=CARTESIAN_POINT('',(0.,0.,0.));
#72109=CARTESIAN_POINT('Origin',(0.,0.,0.));
#72110=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72111=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72112=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72113=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72114=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72115=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72116=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72117=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72118=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72119=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72120=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72121=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72122=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72123=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72124=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72125=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72126=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72127=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72128=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72129=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72130=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72131=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72132=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72133=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72134=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72135=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72136=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72137=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72138=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#72168,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#72139=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72110))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72140=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72111))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72141=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72112))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72142=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72113))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72143=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72114))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72144=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72115))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72145=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72116))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72146=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72117))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72147=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72118))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72148=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72119))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72149=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72120))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72150=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72121))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72151=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72122))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72152=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72123))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72153=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72124))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72154=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72125))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72155=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72126))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72156=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72127))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72157=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72128))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72158=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72129))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72159=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72130))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72160=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72131))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72161=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72132))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72162=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72133))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72163=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72134))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72164=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72135))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72165=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72136))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72166=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72137))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72167=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#72138))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#72168,#72170,#72171))
REPRESENTATION_CONTEXT('','3D')
);
#72168=(
LENGTH_UNIT()
NAMED_UNIT(*)
SI_UNIT(.MILLI.,.METRE.)
);
#72169=(
LENGTH_UNIT()
NAMED_UNIT(*)
SI_UNIT($,.METRE.)
);
#72170=(
NAMED_UNIT(*)
PLANE_ANGLE_UNIT()
SI_UNIT($,.RADIAN.)
);
#72171=(
NAMED_UNIT(*)
SI_UNIT($,.STERADIAN.)
SOLID_ANGLE_UNIT()
);
#72172=SHAPE_DEFINITION_REPRESENTATION(#72200,#72318);
#72173=SHAPE_DEFINITION_REPRESENTATION(#72201,#72319);
#72174=SHAPE_DEFINITION_REPRESENTATION(#72202,#72320);
#72175=SHAPE_DEFINITION_REPRESENTATION(#72203,#72321);
#72176=SHAPE_DEFINITION_REPRESENTATION(#72205,#72322);
#72177=SHAPE_DEFINITION_REPRESENTATION(#72206,#72323);
#72178=SHAPE_DEFINITION_REPRESENTATION(#72208,#72324);
#72179=SHAPE_DEFINITION_REPRESENTATION(#72209,#72325);
#72180=SHAPE_DEFINITION_REPRESENTATION(#72211,#72326);
#72181=SHAPE_DEFINITION_REPRESENTATION(#72212,#72327);
#72182=SHAPE_DEFINITION_REPRESENTATION(#72214,#72328);
#72183=SHAPE_DEFINITION_REPRESENTATION(#72215,#72329);
#72184=SHAPE_DEFINITION_REPRESENTATION(#72217,#72330);
#72185=SHAPE_DEFINITION_REPRESENTATION(#72218,#72331);
#72186=SHAPE_DEFINITION_REPRESENTATION(#72220,#72332);
#72187=SHAPE_DEFINITION_REPRESENTATION(#72221,#72333);
#72188=SHAPE_DEFINITION_REPRESENTATION(#72223,#72334);
#72189=SHAPE_DEFINITION_REPRESENTATION(#72224,#72335);
#72190=SHAPE_DEFINITION_REPRESENTATION(#72226,#72336);
#72191=SHAPE_DEFINITION_REPRESENTATION(#72227,#72337);
#72192=SHAPE_DEFINITION_REPRESENTATION(#72229,#72338);
#72193=SHAPE_DEFINITION_REPRESENTATION(#72230,#72339);
#72194=SHAPE_DEFINITION_REPRESENTATION(#72232,#72340);
#72195=SHAPE_DEFINITION_REPRESENTATION(#72233,#72341);
#72196=SHAPE_DEFINITION_REPRESENTATION(#72235,#72342);
#72197=SHAPE_DEFINITION_REPRESENTATION(#72306,#72343);
#72198=SHAPE_DEFINITION_REPRESENTATION(#72307,#72344);
#72199=SHAPE_DEFINITION_REPRESENTATION(#72308,#72345);
#72200=PRODUCT_DEFINITION_SHAPE('',$,#72347);
#72201=PRODUCT_DEFINITION_SHAPE('',$,#72348);
#72202=PRODUCT_DEFINITION_SHAPE('',$,#72349);
#72203=PRODUCT_DEFINITION_SHAPE('',$,#72350);
#72204=PRODUCT_DEFINITION_SHAPE($,$,#2795);
#72205=PRODUCT_DEFINITION_SHAPE('',$,#72351);
#72206=PRODUCT_DEFINITION_SHAPE('',$,#72352);
#72207=PRODUCT_DEFINITION_SHAPE($,$,#2796);
#72208=PRODUCT_DEFINITION_SHAPE('',$,#72353);
#72209=PRODUCT_DEFINITION_SHAPE('',$,#72354);
#72210=PRODUCT_DEFINITION_SHAPE($,$,#2797);
#72211=PRODUCT_DEFINITION_SHAPE('',$,#72355);
#72212=PRODUCT_DEFINITION_SHAPE('',$,#72356);
#72213=PRODUCT_DEFINITION_SHAPE($,$,#2798);
#72214=PRODUCT_DEFINITION_SHAPE('',$,#72357);
#72215=PRODUCT_DEFINITION_SHAPE('',$,#72358);
#72216=PRODUCT_DEFINITION_SHAPE($,$,#2799);
#72217=PRODUCT_DEFINITION_SHAPE('',$,#72359);
#72218=PRODUCT_DEFINITION_SHAPE('',$,#72360);
#72219=PRODUCT_DEFINITION_SHAPE($,$,#2800);
#72220=PRODUCT_DEFINITION_SHAPE('',$,#72361);
#72221=PRODUCT_DEFINITION_SHAPE('',$,#72362);
#72222=PRODUCT_DEFINITION_SHAPE($,$,#2801);
#72223=PRODUCT_DEFINITION_SHAPE('',$,#72363);
#72224=PRODUCT_DEFINITION_SHAPE('',$,#72364);
#72225=PRODUCT_DEFINITION_SHAPE($,$,#2802);
#72226=PRODUCT_DEFINITION_SHAPE('',$,#72365);
#72227=PRODUCT_DEFINITION_SHAPE('',$,#72366);
#72228=PRODUCT_DEFINITION_SHAPE($,$,#2803);
#72229=PRODUCT_DEFINITION_SHAPE('',$,#72367);
#72230=PRODUCT_DEFINITION_SHAPE('',$,#72368);
#72231=PRODUCT_DEFINITION_SHAPE($,$,#2804);
#72232=PRODUCT_DEFINITION_SHAPE('',$,#72369);
#72233=PRODUCT_DEFINITION_SHAPE('',$,#72370);
#72234=PRODUCT_DEFINITION_SHAPE($,$,#2805);
#72235=PRODUCT_DEFINITION_SHAPE('',$,#72371);
#72236=PRODUCT_DEFINITION_SHAPE($,$,#2806);
#72237=PRODUCT_DEFINITION_SHAPE($,$,#2807);
#72238=PRODUCT_DEFINITION_SHAPE($,$,#2808);
#72239=PRODUCT_DEFINITION_SHAPE($,$,#2809);
#72240=PRODUCT_DEFINITION_SHAPE($,$,#2810);
#72241=PRODUCT_DEFINITION_SHAPE($,$,#2811);
#72242=PRODUCT_DEFINITION_SHAPE($,$,#2812);
#72243=PRODUCT_DEFINITION_SHAPE($,$,#2813);
#72244=PRODUCT_DEFINITION_SHAPE($,$,#2814);
#72245=PRODUCT_DEFINITION_SHAPE($,$,#2815);
#72246=PRODUCT_DEFINITION_SHAPE($,$,#2816);
#72247=PRODUCT_DEFINITION_SHAPE($,$,#2817);
#72248=PRODUCT_DEFINITION_SHAPE($,$,#2818);
#72249=PRODUCT_DEFINITION_SHAPE($,$,#2819);
#72250=PRODUCT_DEFINITION_SHAPE($,$,#2820);
#72251=PRODUCT_DEFINITION_SHAPE($,$,#2821);
#72252=PRODUCT_DEFINITION_SHAPE($,$,#2822);
#72253=PRODUCT_DEFINITION_SHAPE($,$,#2823);
#72254=PRODUCT_DEFINITION_SHAPE($,$,#2824);
#72255=PRODUCT_DEFINITION_SHAPE($,$,#2825);
#72256=PRODUCT_DEFINITION_SHAPE($,$,#2826);
#72257=PRODUCT_DEFINITION_SHAPE($,$,#2827);
#72258=PRODUCT_DEFINITION_SHAPE($,$,#2828);
#72259=PRODUCT_DEFINITION_SHAPE($,$,#2829);
#72260=PRODUCT_DEFINITION_SHAPE($,$,#2830);
#72261=PRODUCT_DEFINITION_SHAPE($,$,#2831);
#72262=PRODUCT_DEFINITION_SHAPE($,$,#2832);
#72263=PRODUCT_DEFINITION_SHAPE($,$,#2833);
#72264=PRODUCT_DEFINITION_SHAPE($,$,#2834);
#72265=PRODUCT_DEFINITION_SHAPE($,$,#2835);
#72266=PRODUCT_DEFINITION_SHAPE($,$,#2836);
#72267=PRODUCT_DEFINITION_SHAPE($,$,#2837);
#72268=PRODUCT_DEFINITION_SHAPE($,$,#2838);
#72269=PRODUCT_DEFINITION_SHAPE($,$,#2839);
#72270=PRODUCT_DEFINITION_SHAPE($,$,#2840);
#72271=PRODUCT_DEFINITION_SHAPE($,$,#2841);
#72272=PRODUCT_DEFINITION_SHAPE($,$,#2842);
#72273=PRODUCT_DEFINITION_SHAPE($,$,#2843);
#72274=PRODUCT_DEFINITION_SHAPE($,$,#2844);
#72275=PRODUCT_DEFINITION_SHAPE($,$,#2845);
#72276=PRODUCT_DEFINITION_SHAPE($,$,#2846);
#72277=PRODUCT_DEFINITION_SHAPE($,$,#2847);
#72278=PRODUCT_DEFINITION_SHAPE($,$,#2848);
#72279=PRODUCT_DEFINITION_SHAPE($,$,#2849);
#72280=PRODUCT_DEFINITION_SHAPE($,$,#2850);
#72281=PRODUCT_DEFINITION_SHAPE($,$,#2851);
#72282=PRODUCT_DEFINITION_SHAPE($,$,#2852);
#72283=PRODUCT_DEFINITION_SHAPE($,$,#2853);
#72284=PRODUCT_DEFINITION_SHAPE($,$,#2854);
#72285=PRODUCT_DEFINITION_SHAPE($,$,#2855);
#72286=PRODUCT_DEFINITION_SHAPE($,$,#2856);
#72287=PRODUCT_DEFINITION_SHAPE($,$,#2857);
#72288=PRODUCT_DEFINITION_SHAPE($,$,#2858);
#72289=PRODUCT_DEFINITION_SHAPE($,$,#2859);
#72290=PRODUCT_DEFINITION_SHAPE($,$,#2860);
#72291=PRODUCT_DEFINITION_SHAPE($,$,#2861);
#72292=PRODUCT_DEFINITION_SHAPE($,$,#2862);
#72293=PRODUCT_DEFINITION_SHAPE($,$,#2863);
#72294=PRODUCT_DEFINITION_SHAPE($,$,#2864);
#72295=PRODUCT_DEFINITION_SHAPE($,$,#2865);
#72296=PRODUCT_DEFINITION_SHAPE($,$,#2866);
#72297=PRODUCT_DEFINITION_SHAPE($,$,#2867);
#72298=PRODUCT_DEFINITION_SHAPE($,$,#2868);
#72299=PRODUCT_DEFINITION_SHAPE($,$,#2869);
#72300=PRODUCT_DEFINITION_SHAPE($,$,#2870);
#72301=PRODUCT_DEFINITION_SHAPE($,$,#2871);
#72302=PRODUCT_DEFINITION_SHAPE($,$,#2872);
#72303=PRODUCT_DEFINITION_SHAPE($,$,#2873);
#72304=PRODUCT_DEFINITION_SHAPE($,$,#2874);
#72305=PRODUCT_DEFINITION_SHAPE($,$,#2875);
#72306=PRODUCT_DEFINITION_SHAPE('',$,#72372);
#72307=PRODUCT_DEFINITION_SHAPE('',$,#72373);
#72308=PRODUCT_DEFINITION_SHAPE('',$,#72374);
#72309=PRODUCT_DEFINITION_SHAPE($,$,#2876);
#72310=PRODUCT_DEFINITION_SHAPE($,$,#2877);
#72311=PRODUCT_DEFINITION_SHAPE($,$,#2878);
#72312=PRODUCT_DEFINITION_SHAPE($,$,#2879);
#72313=PRODUCT_DEFINITION_SHAPE($,$,#2880);
#72314=PRODUCT_DEFINITION_SHAPE($,$,#2881);
#72315=PRODUCT_DEFINITION_SHAPE($,$,#2882);
#72316=PRODUCT_DEFINITION_SHAPE($,$,#2883);
#72317=PRODUCT_DEFINITION_SHAPE($,$,#2884);
#72318=SHAPE_REPRESENTATION('',(#42627,#46319,#46320,#46321,#46322,#46323,
#46324,#46325,#46326,#46327),#72139);
#72319=SHAPE_REPRESENTATION('',(#42628,#45454,#45455,#45456,#45457,#45458,
#45459,#45460,#45461,#45462,#45463,#45464,#45465,#45466,#45467,#45468,#45469,
#45470,#45471,#45472,#45473,#45474,#45475,#45476,#45477,#45478,#45479,#45480,
#45481,#45482,#45483,#45484,#45485,#45486,#45487,#45488,#45489,#45490,#45491,
#45492,#45493,#45494,#45495,#45496,#45497,#45498,#45499,#45500,#45501,#45502,
#45503,#45504,#45505,#45506,#45507,#45508,#45509,#45510,#45511,#45512,#45513,
#45514,#45515,#45516,#45517,#45518,#45519,#45520,#45521,#45522,#45523),
#72140);
#72320=SHAPE_REPRESENTATION('',(#42629,#42684),#72141);
#72321=SHAPE_REPRESENTATION('',(#42630),#72142);
#72322=SHAPE_REPRESENTATION('',(#42685,#42761),#72143);
#72323=SHAPE_REPRESENTATION('',(#42686),#72144);
#72324=SHAPE_REPRESENTATION('',(#42762,#42867),#72145);
#72325=SHAPE_REPRESENTATION('',(#42763),#72146);
#72326=SHAPE_REPRESENTATION('',(#42868,#42916),#72147);
#72327=SHAPE_REPRESENTATION('',(#42869),#72148);
#72328=SHAPE_REPRESENTATION('',(#42917,#44079),#72149);
#72329=SHAPE_REPRESENTATION('',(#42918),#72150);
#72330=SHAPE_REPRESENTATION('',(#44080,#44192),#72151);
#72331=SHAPE_REPRESENTATION('',(#44081),#72152);
#72332=SHAPE_REPRESENTATION('',(#44193,#44391),#72153);
#72333=SHAPE_REPRESENTATION('',(#44194),#72154);
#72334=SHAPE_REPRESENTATION('',(#44392,#44436),#72155);
#72335=SHAPE_REPRESENTATION('',(#44393),#72156);
#72336=SHAPE_REPRESENTATION('',(#44437,#44563),#72157);
#72337=SHAPE_REPRESENTATION('',(#44438),#72158);
#72338=SHAPE_REPRESENTATION('',(#44564,#44632),#72159);
#72339=SHAPE_REPRESENTATION('',(#44565),#72160);
#72340=SHAPE_REPRESENTATION('',(#44633,#44687),#72161);
#72341=SHAPE_REPRESENTATION('',(#44634),#72162);
#72342=SHAPE_REPRESENTATION('',(#44688),#72163);
#72343=SHAPE_REPRESENTATION('',(#45524),#72164);
#72344=SHAPE_REPRESENTATION('',(#45564),#72165);
#72345=SHAPE_REPRESENTATION('',(#45777),#72166);
#72346=PRODUCT_DEFINITION_CONTEXT('part definition',#72432,'design');
#72347=PRODUCT_DEFINITION('case','case v10',#72375,#72346);
#72348=PRODUCT_DEFINITION('audioMux','audioMux v1',#72376,#72346);
#72349=PRODUCT_DEFINITION('XKB5858-X-TP','XKB5858-X-TP',#72377,#72346);
#72350=PRODUCT_DEFINITION('COMPOUND','COMPOUND',#72378,#72346);
#72351=PRODUCT_DEFINITION('JC-128','JC-128',#72379,#72346);
#72352=PRODUCT_DEFINITION('COMPOUND (1)','COMPOUND (1)',#72380,#72346);
#72353=PRODUCT_DEFINITION('CP_Radial_D5.0mm_P2','CP_Radial_D5.0mm_P2',#72381,
#72346);
#72354=PRODUCT_DEFINITION('SOLID (2)','SOLID (2)',#72382,#72346);
#72355=PRODUCT_DEFINITION('C_Rect_L7.2mm_W5.5mm_P5',
'C_Rect_L7.2mm_W5.5mm_P5',#72383,#72346);
#72356=PRODUCT_DEFINITION('SOLID (3)','SOLID (3)',#72384,#72346);
#72357=PRODUCT_DEFINITION('LQFP-48_7x7mm_P0','LQFP-48_7x7mm_P0',#72385,
#72346);
#72358=PRODUCT_DEFINITION('SOLID (4)','SOLID (4)',#72386,#72346);
#72359=PRODUCT_DEFINITION('SOT-223','SOT-223',#72387,#72346);
#72360=PRODUCT_DEFINITION('SOLID (5)','SOLID (5)',#72388,#72346);
#72361=PRODUCT_DEFINITION('SW_PUSH_6mm','SW_PUSH_6mm',#72389,#72346);
#72362=PRODUCT_DEFINITION('SOLID (6)','SOLID (6)',#72390,#72346);
#72363=PRODUCT_DEFINITION('R_0603_1608Metric','R_0603_1608Metric',#72391,
#72346);
#72364=PRODUCT_DEFINITION('SOLID','SOLID',#72392,#72346);
#72365=PRODUCT_DEFINITION('PinHeader_1x05_P2','PinHeader_1x05_P2',#72393,
#72346);
#72366=PRODUCT_DEFINITION('SOLID (7)','SOLID (7)',#72394,#72346);
#72367=PRODUCT_DEFINITION('LED_0805_2012Metric','LED_0805_2012Metric',#72395,
#72346);
#72368=PRODUCT_DEFINITION('SOLID (1)','SOLID (1)',#72396,#72346);
#72369=PRODUCT_DEFINITION('C_0603_1608Metric','C_0603_1608Metric',#72397,
#72346);
#72370=PRODUCT_DEFINITION('SOLID (8)','SOLID (8)',#72398,#72346);
#72371=PRODUCT_DEFINITION('COMPOUND (2)','COMPOUND (2)',#72399,#72346);
#72372=PRODUCT_DEFINITION('push_tops','push_tops v6',#72400,#72346);
#72373=PRODUCT_DEFINITION('upper_half','upper_half',#72401,#72346);
#72374=PRODUCT_DEFINITION('shim','shim',#72402,#72346);
#72375=PRODUCT_DEFINITION_FORMATION('',$,#72434);
#72376=PRODUCT_DEFINITION_FORMATION('',$,#72435);
#72377=PRODUCT_DEFINITION_FORMATION('',$,#72436);
#72378=PRODUCT_DEFINITION_FORMATION('',$,#72437);
#72379=PRODUCT_DEFINITION_FORMATION('',$,#72438);
#72380=PRODUCT_DEFINITION_FORMATION('',$,#72439);
#72381=PRODUCT_DEFINITION_FORMATION('',$,#72440);
#72382=PRODUCT_DEFINITION_FORMATION('',$,#72441);
#72383=PRODUCT_DEFINITION_FORMATION('',$,#72442);
#72384=PRODUCT_DEFINITION_FORMATION('',$,#72443);
#72385=PRODUCT_DEFINITION_FORMATION('',$,#72444);
#72386=PRODUCT_DEFINITION_FORMATION('',$,#72445);
#72387=PRODUCT_DEFINITION_FORMATION('',$,#72446);
#72388=PRODUCT_DEFINITION_FORMATION('',$,#72447);
#72389=PRODUCT_DEFINITION_FORMATION('',$,#72448);
#72390=PRODUCT_DEFINITION_FORMATION('',$,#72449);
#72391=PRODUCT_DEFINITION_FORMATION('',$,#72450);
#72392=PRODUCT_DEFINITION_FORMATION('',$,#72451);
#72393=PRODUCT_DEFINITION_FORMATION('',$,#72452);
#72394=PRODUCT_DEFINITION_FORMATION('',$,#72453);
#72395=PRODUCT_DEFINITION_FORMATION('',$,#72454);
#72396=PRODUCT_DEFINITION_FORMATION('',$,#72455);
#72397=PRODUCT_DEFINITION_FORMATION('',$,#72456);
#72398=PRODUCT_DEFINITION_FORMATION('',$,#72457);
#72399=PRODUCT_DEFINITION_FORMATION('',$,#72458);
#72400=PRODUCT_DEFINITION_FORMATION('',$,#72459);
#72401=PRODUCT_DEFINITION_FORMATION('',$,#72460);
#72402=PRODUCT_DEFINITION_FORMATION('',$,#72461);
#72403=PRODUCT_RELATED_PRODUCT_CATEGORY('case v10','case v10',(#72434));
#72404=PRODUCT_RELATED_PRODUCT_CATEGORY('audioMux v1','audioMux v1',(#72435));
#72405=PRODUCT_RELATED_PRODUCT_CATEGORY('XKB5858-X-TP','XKB5858-X-TP',(#72436));
#72406=PRODUCT_RELATED_PRODUCT_CATEGORY('COMPOUND','COMPOUND',(#72437));
#72407=PRODUCT_RELATED_PRODUCT_CATEGORY('JC-128','JC-128',(#72438));
#72408=PRODUCT_RELATED_PRODUCT_CATEGORY('COMPOUND (1)','COMPOUND (1)',(#72439));
#72409=PRODUCT_RELATED_PRODUCT_CATEGORY('CP_Radial_D5.0mm_P2',
'CP_Radial_D5.0mm_P2',(#72440));
#72410=PRODUCT_RELATED_PRODUCT_CATEGORY('SOLID (2)','SOLID (2)',(#72441));
#72411=PRODUCT_RELATED_PRODUCT_CATEGORY('C_Rect_L7.2mm_W5.5mm_P5',
'C_Rect_L7.2mm_W5.5mm_P5',(#72442));
#72412=PRODUCT_RELATED_PRODUCT_CATEGORY('SOLID (3)','SOLID (3)',(#72443));
#72413=PRODUCT_RELATED_PRODUCT_CATEGORY('LQFP-48_7x7mm_P0',
'LQFP-48_7x7mm_P0',(#72444));
#72414=PRODUCT_RELATED_PRODUCT_CATEGORY('SOLID (4)','SOLID (4)',(#72445));
#72415=PRODUCT_RELATED_PRODUCT_CATEGORY('SOT-223','SOT-223',(#72446));
#72416=PRODUCT_RELATED_PRODUCT_CATEGORY('SOLID (5)','SOLID (5)',(#72447));
#72417=PRODUCT_RELATED_PRODUCT_CATEGORY('SW_PUSH_6mm','SW_PUSH_6mm',(#72448));
#72418=PRODUCT_RELATED_PRODUCT_CATEGORY('SOLID (6)','SOLID (6)',(#72449));
#72419=PRODUCT_RELATED_PRODUCT_CATEGORY('R_0603_1608Metric',
'R_0603_1608Metric',(#72450));
#72420=PRODUCT_RELATED_PRODUCT_CATEGORY('SOLID','SOLID',(#72451));
#72421=PRODUCT_RELATED_PRODUCT_CATEGORY('PinHeader_1x05_P2',
'PinHeader_1x05_P2',(#72452));
#72422=PRODUCT_RELATED_PRODUCT_CATEGORY('SOLID (7)','SOLID (7)',(#72453));
#72423=PRODUCT_RELATED_PRODUCT_CATEGORY('LED_0805_2012Metric',
'LED_0805_2012Metric',(#72454));
#72424=PRODUCT_RELATED_PRODUCT_CATEGORY('SOLID (1)','SOLID (1)',(#72455));
#72425=PRODUCT_RELATED_PRODUCT_CATEGORY('C_0603_1608Metric',
'C_0603_1608Metric',(#72456));
#72426=PRODUCT_RELATED_PRODUCT_CATEGORY('SOLID (8)','SOLID (8)',(#72457));
#72427=PRODUCT_RELATED_PRODUCT_CATEGORY('COMPOUND (2)','COMPOUND (2)',(#72458));
#72428=PRODUCT_RELATED_PRODUCT_CATEGORY('push_tops v6','push_tops v6',(#72459));
#72429=PRODUCT_RELATED_PRODUCT_CATEGORY('upper_half','upper_half',(#72460));
#72430=PRODUCT_RELATED_PRODUCT_CATEGORY('shim','shim',(#72461));
#72431=APPLICATION_PROTOCOL_DEFINITION('international standard',
'automotive_design',2009,#72432);
#72432=APPLICATION_CONTEXT(
'Core Data for Automotive Mechanical Design Process');
#72433=PRODUCT_CONTEXT('part definition',#72432,'mechanical');
#72434=PRODUCT('case','case v10',$,(#72433));
#72435=PRODUCT('audioMux','audioMux v1',$,(#72433));
#72436=PRODUCT('XKB5858-X-TP','XKB5858-X-TP',$,(#72433));
#72437=PRODUCT('COMPOUND','COMPOUND',$,(#72433));
#72438=PRODUCT('JC-128','JC-128',$,(#72433));
#72439=PRODUCT('COMPOUND (1)','COMPOUND (1)',$,(#72433));
#72440=PRODUCT('CP_Radial_D5.0mm_P2','CP_Radial_D5.0mm_P2',$,(#72433));
#72441=PRODUCT('SOLID (2)','SOLID (2)',$,(#72433));
#72442=PRODUCT('C_Rect_L7.2mm_W5.5mm_P5','C_Rect_L7.2mm_W5.5mm_P5',$,(#72433));
#72443=PRODUCT('SOLID (3)','SOLID (3)',$,(#72433));
#72444=PRODUCT('LQFP-48_7x7mm_P0','LQFP-48_7x7mm_P0',$,(#72433));
#72445=PRODUCT('SOLID (4)','SOLID (4)',$,(#72433));
#72446=PRODUCT('SOT-223','SOT-223',$,(#72433));
#72447=PRODUCT('SOLID (5)','SOLID (5)',$,(#72433));
#72448=PRODUCT('SW_PUSH_6mm','SW_PUSH_6mm',$,(#72433));
#72449=PRODUCT('SOLID (6)','SOLID (6)',$,(#72433));
#72450=PRODUCT('R_0603_1608Metric','R_0603_1608Metric',$,(#72433));
#72451=PRODUCT('SOLID','SOLID',$,(#72433));
#72452=PRODUCT('PinHeader_1x05_P2','PinHeader_1x05_P2',$,(#72433));
#72453=PRODUCT('SOLID (7)','SOLID (7)',$,(#72433));
#72454=PRODUCT('LED_0805_2012Metric','LED_0805_2012Metric',$,(#72433));
#72455=PRODUCT('SOLID (1)','SOLID (1)',$,(#72433));
#72456=PRODUCT('C_0603_1608Metric','C_0603_1608Metric',$,(#72433));
#72457=PRODUCT('SOLID (8)','SOLID (8)',$,(#72433));
#72458=PRODUCT('COMPOUND (2)','COMPOUND (2)',$,(#72433));
#72459=PRODUCT('push_tops','push_tops v6',$,(#72433));
#72460=PRODUCT('upper_half','upper_half',$,(#72433));
#72461=PRODUCT('shim','shim',$,(#72433));
#72462=PRESENTATION_STYLE_ASSIGNMENT((#72482));
#72463=PRESENTATION_STYLE_ASSIGNMENT((#72483));
#72464=PRESENTATION_STYLE_ASSIGNMENT((#72484));
#72465=PRESENTATION_STYLE_ASSIGNMENT((#72485));
#72466=PRESENTATION_STYLE_ASSIGNMENT((#72486));
#72467=PRESENTATION_STYLE_ASSIGNMENT((#72487));
#72468=PRESENTATION_STYLE_ASSIGNMENT((#72488));
#72469=PRESENTATION_STYLE_ASSIGNMENT((#72489));
#72470=PRESENTATION_STYLE_ASSIGNMENT((#72490));
#72471=PRESENTATION_STYLE_ASSIGNMENT((#72491));
#72472=PRESENTATION_STYLE_ASSIGNMENT((#72492));
#72473=PRESENTATION_STYLE_ASSIGNMENT((#72493));
#72474=PRESENTATION_STYLE_ASSIGNMENT((#72494));
#72475=PRESENTATION_STYLE_ASSIGNMENT((#72495));
#72476=PRESENTATION_STYLE_ASSIGNMENT((#72496));
#72477=PRESENTATION_STYLE_ASSIGNMENT((#72497));
#72478=PRESENTATION_STYLE_ASSIGNMENT((#72498));
#72479=PRESENTATION_STYLE_ASSIGNMENT((#72499));
#72480=PRESENTATION_STYLE_ASSIGNMENT((#72500));
#72481=PRESENTATION_STYLE_ASSIGNMENT((NULL_STYLE(.NULL.)));
#72482=SURFACE_STYLE_USAGE(.BOTH.,#72501);
#72483=SURFACE_STYLE_USAGE(.BOTH.,#72502);
#72484=SURFACE_STYLE_USAGE(.BOTH.,#72503);
#72485=SURFACE_STYLE_USAGE(.BOTH.,#72504);
#72486=SURFACE_STYLE_USAGE(.BOTH.,#72505);
#72487=SURFACE_STYLE_USAGE(.BOTH.,#72506);
#72488=SURFACE_STYLE_USAGE(.BOTH.,#72507);
#72489=SURFACE_STYLE_USAGE(.BOTH.,#72508);
#72490=SURFACE_STYLE_USAGE(.BOTH.,#72509);
#72491=SURFACE_STYLE_USAGE(.BOTH.,#72510);
#72492=SURFACE_STYLE_USAGE(.BOTH.,#72511);
#72493=SURFACE_STYLE_USAGE(.BOTH.,#72512);
#72494=SURFACE_STYLE_USAGE(.BOTH.,#72513);
#72495=SURFACE_STYLE_USAGE(.BOTH.,#72514);
#72496=SURFACE_STYLE_USAGE(.BOTH.,#72515);
#72497=SURFACE_STYLE_USAGE(.BOTH.,#72516);
#72498=SURFACE_STYLE_USAGE(.BOTH.,#72517);
#72499=SURFACE_STYLE_USAGE(.BOTH.,#72518);
#72500=SURFACE_STYLE_USAGE(.BOTH.,#72519);
#72501=SURFACE_SIDE_STYLE('',(#72520));
#72502=SURFACE_SIDE_STYLE('',(#72521));
#72503=SURFACE_SIDE_STYLE('',(#72522));
#72504=SURFACE_SIDE_STYLE('',(#72523));
#72505=SURFACE_SIDE_STYLE('',(#72524));
#72506=SURFACE_SIDE_STYLE('',(#72525));
#72507=SURFACE_SIDE_STYLE('',(#72526));
#72508=SURFACE_SIDE_STYLE('',(#72527));
#72509=SURFACE_SIDE_STYLE('',(#72528));
#72510=SURFACE_SIDE_STYLE('',(#72529));
#72511=SURFACE_SIDE_STYLE('',(#72530));
#72512=SURFACE_SIDE_STYLE('',(#72531));
#72513=SURFACE_SIDE_STYLE('',(#72532));
#72514=SURFACE_SIDE_STYLE('',(#72533));
#72515=SURFACE_SIDE_STYLE('',(#72534));
#72516=SURFACE_SIDE_STYLE('',(#72535));
#72517=SURFACE_SIDE_STYLE('',(#72536));
#72518=SURFACE_SIDE_STYLE('',(#72537));
#72519=SURFACE_SIDE_STYLE('',(#72538));
#72520=SURFACE_STYLE_FILL_AREA(#72539);
#72521=SURFACE_STYLE_FILL_AREA(#72540);
#72522=SURFACE_STYLE_FILL_AREA(#72541);
#72523=SURFACE_STYLE_FILL_AREA(#72542);
#72524=SURFACE_STYLE_FILL_AREA(#72543);
#72525=SURFACE_STYLE_FILL_AREA(#72544);
#72526=SURFACE_STYLE_FILL_AREA(#72545);
#72527=SURFACE_STYLE_FILL_AREA(#72546);
#72528=SURFACE_STYLE_FILL_AREA(#72547);
#72529=SURFACE_STYLE_FILL_AREA(#72548);
#72530=SURFACE_STYLE_FILL_AREA(#72549);
#72531=SURFACE_STYLE_FILL_AREA(#72550);
#72532=SURFACE_STYLE_FILL_AREA(#72551);
#72533=SURFACE_STYLE_FILL_AREA(#72552);
#72534=SURFACE_STYLE_FILL_AREA(#72553);
#72535=SURFACE_STYLE_FILL_AREA(#72554);
#72536=SURFACE_STYLE_FILL_AREA(#72555);
#72537=SURFACE_STYLE_FILL_AREA(#72556);
#72538=SURFACE_STYLE_FILL_AREA(#72557);
#72539=FILL_AREA_STYLE('Stahl - satiniert',(#72558));
#72540=FILL_AREA_STYLE('Kunststoff - matt (Blau)',(#72559));
#72541=FILL_AREA_STYLE('Kunststoff - matt (Wei\X\DF)',(#72560));
#72542=FILL_AREA_STYLE('Opaque(179,179,179)',(#72561));
#72543=FILL_AREA_STYLE('Opaque(246,246,243)',(#72562));
#72544=FILL_AREA_STYLE('Opaque(160,160,160)',(#72563));
#72545=FILL_AREA_STYLE('Opaque(25,25,25)',(#72564));
#72546=FILL_AREA_STYLE('Opaque(210,209,199)',(#72565));
#72547=FILL_AREA_STYLE('Opaque(35,103,185)',(#72566));
#72548=FILL_AREA_STYLE('Opaque(176,169,152)',(#72567));
#72549=FILL_AREA_STYLE('Opaque(38,37,37)',(#72568));
#72550=FILL_AREA_STYLE('Opaque(178,26,13)',(#72569));
#72551=FILL_AREA_STYLE('Opaque(37,36,36)',(#72570));
#72552=FILL_AREA_STYLE('Opaque(228,227,207)',(#72571));
#72553=FILL_AREA_STYLE('Opaque(21,22,24)',(#72572));
#72554=FILL_AREA_STYLE('Opaque(219,188,126)',(#72573));
#72555=FILL_AREA_STYLE('Opaque(87,173,113)',(#72574));
#72556=FILL_AREA_STYLE('Opaque(97,69,55)',(#72575));
#72557=FILL_AREA_STYLE('Opaque(15,102,15)',(#72576));
#72558=FILL_AREA_STYLE_COLOUR('Stahl - satiniert',#72577);
#72559=FILL_AREA_STYLE_COLOUR('Kunststoff - matt (Blau)',#72578);
#72560=FILL_AREA_STYLE_COLOUR('Kunststoff - matt (Wei\X\DF)',#72579);
#72561=FILL_AREA_STYLE_COLOUR('Opaque(179,179,179)',#72580);
#72562=FILL_AREA_STYLE_COLOUR('Opaque(246,246,243)',#72581);
#72563=FILL_AREA_STYLE_COLOUR('Opaque(160,160,160)',#72582);
#72564=FILL_AREA_STYLE_COLOUR('Opaque(25,25,25)',#72583);
#72565=FILL_AREA_STYLE_COLOUR('Opaque(210,209,199)',#72584);
#72566=FILL_AREA_STYLE_COLOUR('Opaque(35,103,185)',#72585);
#72567=FILL_AREA_STYLE_COLOUR('Opaque(176,169,152)',#72586);
#72568=FILL_AREA_STYLE_COLOUR('Opaque(38,37,37)',#72587);
#72569=FILL_AREA_STYLE_COLOUR('Opaque(178,26,13)',#72588);
#72570=FILL_AREA_STYLE_COLOUR('Opaque(37,36,36)',#72589);
#72571=FILL_AREA_STYLE_COLOUR('Opaque(228,227,207)',#72590);
#72572=FILL_AREA_STYLE_COLOUR('Opaque(21,22,24)',#72591);
#72573=FILL_AREA_STYLE_COLOUR('Opaque(219,188,126)',#72592);
#72574=FILL_AREA_STYLE_COLOUR('Opaque(87,173,113)',#72593);
#72575=FILL_AREA_STYLE_COLOUR('Opaque(97,69,55)',#72594);
#72576=FILL_AREA_STYLE_COLOUR('Opaque(15,102,15)',#72595);
#72577=COLOUR_RGB('Stahl - satiniert',0.627450980392157,0.627450980392157,
0.627450980392157);
#72578=COLOUR_RGB('Kunststoff - matt (Blau)',0.188235294117647,0.231372549019608,
0.588235294117647);
#72579=COLOUR_RGB('Kunststoff - matt (Wei\X\DF)',0.964705882352941,0.964705882352941,
0.952941176470588);
#72580=COLOUR_RGB('Opaque(179,179,179)',0.701960784313725,0.701960784313725,
0.701960784313725);
#72581=COLOUR_RGB('Opaque(246,246,243)',0.964705882352941,0.964705882352941,
0.952941176470588);
#72582=COLOUR_RGB('Opaque(160,160,160)',0.627450980392157,0.627450980392157,
0.627450980392157);
#72583=COLOUR_RGB('Opaque(25,25,25)',0.0980392156862745,0.0980392156862745,
0.0980392156862745);
#72584=COLOUR_RGB('Opaque(210,209,199)',0.823529411764706,0.819607843137255,
0.780392156862745);
#72585=COLOUR_RGB('Opaque(35,103,185)',0.137254901960784,0.403921568627451,
0.725490196078431);
#72586=COLOUR_RGB('Opaque(176,169,152)',0.690196078431373,0.662745098039216,
0.596078431372549);
#72587=COLOUR_RGB('Opaque(38,37,37)',0.149019607843137,0.145098039215686,
0.145098039215686);
#72588=COLOUR_RGB('Opaque(178,26,13)',0.698039215686274,0.101960784313725,
0.0509803921568627);
#72589=COLOUR_RGB('Opaque(37,36,36)',0.145098039215686,0.141176470588235,
0.141176470588235);
#72590=COLOUR_RGB('Opaque(228,227,207)',0.894117647058824,0.890196078431372,
0.811764705882353);
#72591=COLOUR_RGB('Opaque(21,22,24)',0.0823529411764706,0.0862745098039216,
0.0941176470588235);
#72592=COLOUR_RGB('Opaque(219,188,126)',0.858823529411765,0.737254901960784,
0.494117647058824);
#72593=COLOUR_RGB('Opaque(87,173,113)',0.341176470588235,0.67843137254902,
0.443137254901961);
#72594=COLOUR_RGB('Opaque(97,69,55)',0.380392156862745,0.270588235294118,
0.215686274509804);
#72595=COLOUR_RGB('Opaque(15,102,15)',0.0588235294117647,0.4,0.0588235294117647);
ENDSEC;
END-ISO-10303-21;