From 1421ccc1c6987812e8a03fde85a63062174e3ef4 Mon Sep 17 00:00:00 2001 From: Julian Daube Date: Thu, 31 Jan 2019 10:32:37 +0100 Subject: [PATCH] add slider board --- slider/Readme.md | 7 + slider/doc/board.pdf | Bin 0 -> 196876 bytes slider/doc/crimp positions.pdf | Bin 0 -> 13459 bytes slider/jlcpcb_gerber.zip | Bin 0 -> 160381 bytes slider/pcb/sliders.brd | 2452 +++++++ slider/pcb/sliders.lbr | 442 ++ slider/pcb/sliders.sch | 11221 +++++++++++++++++++++++++++++++ 7 files changed, 14122 insertions(+) create mode 100644 slider/Readme.md create mode 100644 slider/doc/board.pdf create mode 100644 slider/doc/crimp positions.pdf create mode 100644 slider/jlcpcb_gerber.zip create mode 100644 slider/pcb/sliders.brd create mode 100644 slider/pcb/sliders.lbr create mode 100644 slider/pcb/sliders.sch diff --git a/slider/Readme.md b/slider/Readme.md new file mode 100644 index 0000000..f84120f --- /dev/null +++ b/slider/Readme.md @@ -0,0 +1,7 @@ +The Slider board is equiped with an attiny84a and uses its internal adcs to sample five inputs. Four of them are turnable potentiometers, the fith a slider. + +Communication is using the USART modul as an SPI slave. + + + + diff --git a/slider/doc/board.pdf b/slider/doc/board.pdf new file mode 100644 index 0000000000000000000000000000000000000000..56c8cb3e9fa8148bf06c2141cdc4ff1636a356c6 GIT binary patch literal 196876 zcmcG#cUY5K^Dew?L==N%3!;P?dJ_M6pvQ^X3flf&meN^hOUgftkMaQ>V?wn z6ITQ-3-~#Eo={U0kTXL0x})z3C;>y}CjZMr z?T>QuIq@)C@%H7vw2?XjPQI_*T^&8WJ;}K2PRrv_PaRc{v;R#uy>d%m&Z@8Mvc)~x z?1`h=fB7YHU{9cYUH|<8;C}~GAIx{~8<FN*(_>mNyOK1AzTpaCfa)YJ|J zfFC)h%fJ@_IUOwlc>y^WC*Tv14Y=^HPdOV?XHS$1`k#9qDhntdXmxN6`1kKQ6#hGh zfZXk%dnf_9TTbpM0TBWDf9Aa9Hjt1KcoLq$N~_<-^n-N z-oeB!LH`+s3!wcmsQ-M^0q(ki3iNP6nd@mE4E|ePb5wvI#@_`MAaJmB=Kn*@1I-VX z^nYye)&Gk1|Fy*ji~BF}{=J3=)5uw1oYDU*lCGb>&p#vD|8p&r1XNW1t^NNJMdd%D z{MTfprcT@zpOTzXI53^#FS0ue2zT>v_T)SVr=oB8-t)(!{(9SU{OAAMN)AN+x0PIx z|IZE{y#HUS{Ugx-u9c_?s2&LXf47o@q5sG7$^S2#_&>XT@&|VI&tCp_0Y5e(dfjOF z0t}&9NcQd3n3T^Ue6sbYwFTtQgARGF9fKLGcpfMEM*Z3LexMRy*Cf=0x~9l>yO{i^ zfw4l}d>eLUpR?JRkjS#>+5NJ*nYrXb&5e-$5-ivGCSp%cV>fmmv$eXkx1_gvd~af6 z#&myRrFnm^He#)e|MMzyVn^AOu*Wjp|NdpwV{f%>XNRz?x&LQ*v?ih{arX=VC^fvP zcJoWR>FC;KZrbrpP0d|S!rt~olU6RFR#%p=@nNlbqc`i@`pODSof0eY)C{KY)LJofFAU;)7nZIxmGB(@?ZcX7?ObgV6ErlQH1m8Q$bZD^*e@KXp}&G* z;^fMmOIA@;aoc?(jY`ozO`80AQnaAwkE#S_S61l$13GCpts+Mieyd3*tuUNdaf$DO zN~bsGlskux*SXrs9=lcfJG|I{RMz>W(dW#vQ&zTJtj!Y3qu&Z-@J_i~X;MQvX?vw9 z)m7usbT2*l5a(v!5Y4L->D8$dtY5LUH#D@irRI}Xy-k0|v<-e#j`6%n84Tu&AP^pW z*2s8M!KDrcYfiN9+UD|(4YrlnRlR?c zr_qkDNHIK;nKtR6J-Q;Ok-lOdxf{{MGhsnutWga$)O>zu7`6mwh~b;}L-sZ&i}pJG zHRHEE{0dT*8h7_t{XLzto7r9q4?E_{)%JVC_g(7vu3Of=MrahGuy?CFsx@881MGTD zBX|jkvn~(I3P;qh^}Lb#b9-M=9l5r$7M9tTTt3Njjl8ne-<|pKuuR0ZUxcQi&pj83 zh_ua>K!@#yblC;9GM{S7_EPx%#YD^2=j+ zkdhYpQL(3v;bD6#pvox2AhvFB&4Rhz<58QouDKV!v!E$)^RrPXU1MRpf>-2a??%w5 zOw<16#&EJ0=GE%Aws78AYfSm{*k*U}Mku7re61sW^D9B}N&DIYRVAJGp}{tjV1Pi3 zub_P=p3gokZf)!`jnUj6NnjNjoiCz!)NK&mg7Or z+zziB<0VemL_41OD|@k0F_{(mBg*UPz}zVKsfQ;6TN_(%9@~iM^Ea88U$Bc(pDHBj z@fT#cnm#X6jvdckO3fUtT-=nEtFcbTk)Uq(5}99&nMtHVe+Q(gyN%x6zWLjyx52~6;f2)R$3Nc9XuI_qv6w@ss>bSp z7T6;>LvNIgy&>Qh^$>3(o`yC@x_Uq!?z8{dj+x}wxsH5<9Lb%k;Vr1#KP6Oj=or(j z>hzV$y^k3Q5usZX%_bw7yKg)L|6b<5+J>-dRA=2^SM6~iKyJ3b4B?nSo6J~F>@0>} zi3q*q-utpyVEpFB$HAe7jQ4!euu_?@#j z*LO0@56{pZ*T13m;O>hakySmW*dgG~R_+}a76SbhdVihEZ`WXJ0*=6Qyft)_qBVt5 zOdUQYNx#(@3`|`5)$#QRI$oIPUZvXcHOo-Bg}8xJQqg=kB}w{j%*>FrL2&-#>T{{> zemJ>H%hm&*(hbhq!PyL@VH)^G*}bwKA;Zs`pD&@xeor}Ea|p<(Svfx)+|gn|)>$q* zIi(sjq#bONghSR2GmHL|7>DN~qNiS&IN&*a2JWOA*Nk3j?$=(v&@9wz7e0UheR6Xh z9Nz5wQ*;s6_UC-q?55gkWY%Qy2dFvH>X&3u{4 zy%cua#Iiu%W@(t$mU`2gn9TaxAKUF+%s&ewtLLa$Gs z!N+OngItwOgdjMY+GF5c54Qvx3koBmiF`5@;C9!Bk1*(3LjuM|a^>DL7MA@>_~y&~ zVPDz}eRDEVN8v?faw%Vtr#qhl9(`R@v6Ro9;D1x!oE;8w%^Kf{5udnt^3qW&adoJ~ z-@w{i&AUP?{eA zCu|ZUZ2(aoRFtN@K>&+BdyTpKX6izc>pPKr1S7JDXBeZgo-jdo@nZ-Uxt}2Gc%7rL zLha8r7tAV=bp!jWEbUyhIa1?5ue`}P^rFk>-jHzvP>cI8^@h$ev_QzHv8o| zZfD5vy}ICHuoJ6rerCs^?Wzo`Y0Pf3gcZDpto0oXQn!=(b2n6Dm~GELXmSnbA7!;_ zHo6{avEDaOGRo!LoQij7dx7)5^zns_UBZVHrL2V}R>d+*w^%TwF13KIG-Q-F5Lr*N zuZ>-(RajK|4G>uN}+O1GDbqKEtnrUvi3L5JDy zi!w?r>hTR-v5)|!;6g`l^taF8dl^5!tGx@CIM$pXFRa%oVBj;q66Br#N6+E)>9v*- z?GE|B)x$5K*R(^r2jg5JIJcVy;XXdvvc0w8l}?^nm<^n3&|^$D3+vY*@n84PUZ=9b z7vcToM?K?BPILL`)Y`(6{*9)pXPl4=@R6dCC|4xzMYZYu+IoqQX60$YtVPb6@uk-f zP3by6Ys~?z&f$HqSI>EM`gGJ})Ke7`26~H2M`n*RaSa1OVR*|W@eO|vr^QO=ir3^Ai+4Z$V_gkm zBz&P7wnx9(iD&#ae067+yopX-S!-7AD1e}L&Z}OFUrcy+h^}Zh9xH_(m2KvACk=l4 zQ@FF6_@lx3>-xlX%CI1EpM8H+XcH8rhRxfoBHZms&XrsC!>SZ4$L#41ISD!iZL^!e zRiA8P=6_z8;av2!+E!k_8~kkco%xJol9msOYq>nQSXF?tQzSOn^KC0g{Ha#1me(a49loA$t|5^c z<*yMR_7l{83Z_L@c8{oWhDfV()yi7g1|(=6ba0F6flM`!VC0;IbA@1p`|lb~^*rr@WIW*O8ONBD-Ees2Tc&E5uIos;aB0AYoma<=!D z1f}N)*sfC*`ggAEeV)nG^m_L5ekSRO!`WF6u~0&0#C~znqc%jTxOX$Oqae&@-aKNM zx?6p}X1efMz-CbEupi;~p#_^I$r|mwLf8DrPRy(l?V0MJ6D>8WS%lHJpLXhn10B}L{rqY~ zxZG6ZLRs9GW=XSL8NUd(AX%SerTK+kKPl5}AM-DxvvPN()J>M6!^0y+Cx7lP$idyE zO!vtIOZ&m5KL^j|DA->{9Qn`F{9a=~7QBYbHR3 ze{A5(YI;_Ka=il6251D83rt_KbPs)Eum7rbGmcsd;wlDZyur%wrxGC3R^x{^E<}7i zOY_vQe6G3aFG`-CU z(ck(Zm;ydk&r$v#e}+`VuieN{+y0?}o~+AAA7ik|h16M94CT~#&V=XA{|%-!{T=aV zp(=ky->PoLx1r(F%Z84mMZrO<8j%}FkmI<{;(}IM3Upj&RFK8c96#UTu-!Qf$&b1cP2WG}IdHy6zrPo@sJ;RI_@ z+$JE%2(_aVN^aRf%;$;r5?;3`AXanK=}zkU`gEspL$U~jv99FhfCbawYKN7JC9C}c zVaT-OOi6?o`?eY@SdW@nww4{iZl*phPP)T_5&jy$#!;e2e}*+6w)+52`$dHRtus=D zL`No&s?zi}Ki7x`@iv z^1puDve6z(J^0Zto`xBq*Fd(S+CdlL+;V1(0w4%}E7gSYBp~6e(2{RbsrqrtTF0d+ zc0>ArGJR+0>znZDboA%4H&CYJ@YgThWD(?A#pfFKWL{@v13WGD1Pj+N9j3!*9a9&9 zbGzgLyWQGAjC!W5OUX!e9TSgLg?maco!!jLW%P(d9sl%NHbgXok3N2kbveR>xfX@7 z5n_kK$j4%;iLt-E;dNVX6xp2*DW@$tbrE4UrK&cAytKzFsT8#bZuMAh)e?fJ8-(!~ zu{GleVW_P^*9#BNk5!EZ12*Q%*DfbYbLK)G#&apmd6w3s&-5b0H*BokGxX}uzjO$5 zMfwOnsF%Jk-X;t6QtWaI3y^&CI-`&zLu%}@uzzmlb(x6OP!|JMB)KLHU2VKRs)UC^ z@PK4q0bPL`k|H4FuKfrqgLoU*$zvt_U)CPEc1JL>GTVSnTsZuqbb(9~maVj}z04T+ z{k^fQmmXE~)<`Yw0E{a1~s%3{AmOla!Av_Kp0dR2Lt`DB06#G(F5!;~{` zR35X9b9&gdl??vS2QfsUtY`g-FSS4-{?N*^bS;^yCUx^&u)?6|z#*lMyx07C${QEa zeKiRmC3u*5>ggE!)Ji}BV(8F~dR|nY7o-T3q6OM@<@vj4F9F@Bl0IN-=?(`?V8x)n zUn$O&=MbmzwsW}{%`bW2Fz7iiILPIBHZS^e0?ptF`m(=)=V6v(Ra(CWl$glB3#-M=M<+}}hL7cFRUa}V@;)z0rgXowzb{OR* z!E_9)lO4`&%ZpY2>XRD6i9GVr-(7K73uGmmerM7RGS#*0J2-~9#TBa&f4L*?=Q-aL zEuT(l5lH_pyr(*d53q61I9QbC}S!}08%qOwR(tIzNBxWh6A(u zh;1&!?b4CKYojT=X#Lj=Fl=WLs(J&qLl-H~hV4*Al_Jr9Zkl@XGw*RE^*^K^LYPQ4 zT?o6v6PMBv>4H&CMPF9V@~eZXcQ{IC+{@>%bpzHmk6$7td}Uez5=WWE`)vx;({Q7e z8h(8*OYGK(v^>CDR?2PXwVXw)d9f2)U^pDHB{<#25JqO&F=P+yJX|{yeRqLu_ynDy z6I_x30F8sg$PSo1)-pFJ%{@gAaV?^6ammv+r!DUAf^M{LF zZ&+hS2US)B2p3jI={Fygn@0Bt0Grp8XEk>{x7i-^pfnat%-%A1s)3c2yl#M(h2a3t zauZ~(J#{4#EpMz3rwbNw9*Lu5aEP?6>931`#l=8J5!})Gc;OhR7xpnK=(7-MZR3#q6^oYlFbt8Vw-RM!&e6jnJ5SU%I4gDFzUb_j ztsg73bOkIZIdJ`2VzkU%-FGh&eUeM@)rqo#%fJ3&N~$Vx`o0M-jzo74{;00PO%=S+ z8nhqtF!g2KbYmO}GFg_%H)E^GtqgaLLtmJb<~$vzFjez2C`l{2#&=l96bqgloBqCQ z_H;}ldV{QESN0QlLG*ol<`M70Bg>gq&`v_*yt_p-6Ti9IF-y0tukhs|4RQU(}Mp2kl?}8B0NoxKH3H6JPkbWwFGra89 zk|@Nbd&VMZ-QhYiPbj7M?)&#+kcr)FkgBQe{^(x)XrevX9TEpxnwl42{@5}(2!}cj zI_{8!kaIvp>&QQ1XZP&z1Bj{qwc*OHtpQ$on8acO=YwT2INfqtSL$d`B4aUGwaio> z0G$)Bosrdyb^PkCFGEn3_QjG+a;-nQ9QEwUF}$Vk1NX#YI;Auzc`2mGHx_-}vrPs{ zvgA^K_l#1buJa%rmn34&mDHi#Q zW1p@ag;wIURPeNHk3KCB9!JKSu-MHiWf)p|FOrC)shO3pu1MY ztZ1hazZ)jAB;hBR7t)b4h2jZJ+z7`f~o$t4@Z?W)lCt_oF4?Mhkql^Jn{K;7%>n(k2ct~~t zqdFel4cA#XBpP-)2XoJ7mOW`ghW=h8Ukn#>6B08H;QlFvC#H-g$tJ#wxqAIoaxBGk>sHFd9lYzmX(wEp1$IEOFzR`E$+IMMAfHx86coxPH)SM0AZTbwUtG1yi4h-3SxWL+n1P(pk&Wc<>R3 zTE=jCtFM_GOWcdaKbSTPW=Rfv-ZU2i$dbXs0O>*bn#5t1M#(gxCZz;u)2o$=7)oRF zq?-yCsHG!_bF6t2i)|ZsC=;jVaLZj-x)DEj5o*q2Ln5Ynje(pQLVUaCa~U|*V9hwm@++#|@2LiiUgN-bSPW;~DC2=H zum$z}ib*2cRD+t9Q?x^Z2M@LAgGB&^d}35okGE2z$99Zz)Pip+Oiju2;|IV*S#7s5 zaUBzWHy4O)CXT2Bn1(P<+EX&~9^~eZJr+~@E;3W!pvLEympy$+H87Wj*@;`Tjd@AI0t^~t_R1>ijZ4(Q!YNiEq1;^t#9Z6em45f z&ll9Q%M}&SkgF?kIrsB9R2MuRV>p9`DA`JKRSlTS3@43m zu}1F3hj@i=&nMK(91t70=w{Hh3Jx&@>)OpYS$!inyLI&$Y5cZS5j{#r zwHe%50-U^rNRF{ZcByKFHNbWqPZwwk}1MYgW+HX&P*4A0PCleWk{x0K&bXT$$CShJ{3vLy z4;%9BPaE#tSLawCjP=>!_DT@+{fdSt@#s_e9CgNn5*qxe&&7A#@DY08I`!VFS%>oPeWke% zU!2V!unlYszWvBN!R+gZf?RNoWEe3bp=K^q$+F4Zk)=dtTmSeAWU*J~%J`0>8$*NnU` zq551>x__xSNPKxeL>fd9W=}C;9Svwsn82HB zBo-FzowIsOmZ7z0v?UX1#wN0Sw7n?oO_k>y2aNdT_-;FBAOp|c(#94lc9xhn_3-e@e46TI(l8-F+ zS;r$lHKL2xZMcJyA3;yb{tnVsD8F(D0jf)W8|VbVkK}Lw=V>bCFD9SAzq=fe3Ekx% zC6;|ImmQh13Joeo1G$Wkv5DR*a&AlJ?yqLG^y|Rc?WnE@)(-x%!pl&f^3=;w#G^Sq zddu7y6j_rC-dX5v14?kyJB6RP04s)I5GnZz^oZeuu)`@B+_h;>!d(Y4_AGqIr4sC^ zrHco9+B?gz@=BLzb$5UaxXp%4a<>}2zq$OaL8B5jXA9##ndCm8Z%qrV^s_EI8ebeu z9etS{b@BG~)Jn&*#;$L5u;5+a(cl zZQGi;5=mtLzzhe1sSDDl&23ktjh`ISzJA&1)R{^c-m-K&g~83KZ?!EM(jI`HHAYy| zW$6Qnie2wv*N>Kgih#x){Oo=YbY1;dw(9s32k=V2pUZOM-yfGKIs`yh zM3J$amCzmTDKpRL=gH`b$Eiu=BNVd7v~P_O7YQvQpvtK<=ZyOGa3+REa>dG830vUN z6?-pi4XHK(>Xd3NdMj*6zI!-fA@8Jr^U}{N19@1G_@b1m!y|=YZi-KH6( z#}FXXdRHj(o9c^?3^O*)!7*k~p;k08T;DaN6?~VyFZvV^JxjO4Tv?y7vg<*H&tP61 z)FA|bF1omx--_a{sp{##pw_!zF9Ywu0jT^R#Q1O(+9^nXuOo#h0z44P{G2|Bvjd9p zOL5|SWgUy)cDFOor%#-sUNxb9?o}5?sNm-X(}B!IxhMSa zRLdFQ5QlUxvVU$na?7f2J!5?Lw}gEEZTF(&!Rwo$Cjm>g%Upc`Sl4ck#ncE7 zeP#dUuA@v{LlIzjAZW(yNx@43+!c}ru-+Bm`H>R-X%|TUk{vyfW*oOAh-fVw5S=LU-; zb14_%3_9>=&DUIW;a(~6HIlx7;jMNNTj=^tY{Zs}0!?apkt1oLle#?pOFu~lRD<>V z&$bd0DM`t|!VC;JWx0qzS@U5(l@%IRCA=9*>1df2de#88lxkXesO#m@N-~&+oVX?i zv8`u;H1!8_Atd#Qg53}0r86b*r&Lsh9g#A<^P0i{@s`+4WZ+9-b5+6QfM*yRzjFYR znm=bl_DU$=?p56Ut%b-o>3(bW)Me$PIe;3bz)Z(!AwdMli#zeWoJo8Z2ZO&<36ZM9 z(^EN>aEW%$?Me{jJa% z?(3IZro_{5_kPcC{wTIo^_~4!91;8ATF@RnTLE#o1<7bm)zRTEPe z<8L50=ewq=X@5TMxaR`+PO%#$Zx7(NUIcs6E~rD-Zh(50<+(RR zvj}p_a0T8-{(1`F71ZI3mnBCgvcdw#(H(KP?( zWqf4im>?1&R{h}I>a}nv_6(9snCbZUi-P4X$<*2jF|)+T1j#(EF#cG~TK1L{9{8j- zLThQ`=NL*9#?H;H@$HMm4e^iOMG2{lZUT9Y85!;R<(Xd+y$wX0@9OaeCetyASh5++ zEtB1T$lCjzaMW|;XC<|Hcx@5H`thE1R%q}E+?p0V@iM9~RgTg6MN{d9Mk`i9{C(6V z)P&h`ccgO%kPe@{riWmS?|f~zXqQMCA>^uG?>z)Ub{(puyCYZD?x-t?C?#N0vlnXr z9#E2>=(0V_!lj7lQ}}N!BbIK!s%|F(b^c_r8XJ&E1+&_Vp~dvu3OmfuikFHtr`x1x zK_)&rp1abQSz|dX&Mf~MNZ*$v_W6G!4>F?F79i=I+rH_M$JV4s9fPwu)DT}+fSX>y z7u+D>b(mOZ2%h?PdXaj3jZruoMm(UmuIG1V%Mtz-1#G=IyA+J=@l_bZn-EXb|2aT3wKw-^f}ej{TLPCk!3X|Uo5&W zq-!42Xm+e|fXwgW4q3&SJ_}uoM&GhFY>%amxK5b0ZF7rE-eSAsDb_J>ys|#f<^L4D zP+GU25?YN12v)vPS7u`II_zu3bag!DyQLWEJ2_TGs?w^J`jB10qYX-`tz9rAg@75Y zjZ4HbA;fgTdci=WFaUybaAXp6`w(WwG_m8uQDX`?Krh@H{gIB_iM>xwFFvG* zjGTS71z{XR1Q=Pk~(0dVO!48n+Xy`lvpKX9HpoLM-@6pulFE~O{&Fki{M$=9Oa z+)s+v)I+S=FrNYSCLOo@pUd7RGOf!$TDNlX&3ksa5)b-B>%EEB# z*)kthwF*qi08fDWCjhR{K4`jFT|8i5{sKH9r6-AFS+r;}pTj`d%d%9;?&L}zpA59* zkXA6tb~WZULrcbCiiyB$)!WjOn*jJ_SJs~d_C|^^Com~aegzxCdOYiiTWA=o9DEQS z86F3K#y>{|iVaLnVFQ4-(j$x`sWbqJZJ>FoGV|2%Y1LnArs>V-@M0maZZc_wI?$Xc zlnRXvS%gR2q^!FIjh`_LJ|H_oP=J8T*RCtHU)miPA)8n6zYDiSf;6}aEp4Kh^Rd<5F^)gb(V{?lC-|H@Rf4G3TFKy0xU^AY*~(+KXV z%KAqmx%HV}^JT_2pEa?+r@n1%F0CJX;fidup0Mxe)TawdIPeJayfzQWCkGi6&psmj zH7x}ofwW^MrYoY*j;z-XBv&LWXoPvk7>HmCIjtXUf!?91)2w&4NKq&$=~k?_LrM^bT!I+C($vRaiFBGPcR&mi2-X8SeRF7sB$1Xk=2UuFa!w zx7fz&m?VI+P4~|d9*=`Ua4{u75pJz8tmh@!UfCObp72-o!wzcjc)6-dsP^rAS7g1w z_vTb&$#rCX;Sjzg@peUN*j?_Ep9z5}t?q%E7z_%z+owF(`zAaz60>j)30YDT92UEX zSvxn*%aOAsr~>$PaP#^Pv`#A=;4uf?T2mu0JOe5Mcx7!WKb@L;TJ@Ij_}vmHd{7o= zrBY=2OuE}IDQ&PmcMdr2?*-p@<#Tv_Es7o^wv2?&?+8L_$_)n*bge86xrMWj(0z&7 zwY(q8!CR$@b>T*^Ik__UDG7Zx_0@BE!Ruyh4pClo^=r($)G46cSGs;|;ub*Z9u%Of zPi_rn@dAf;c1FM~ohDA|Icl|G{(AnMIBD_ZeCu`)4R{_+!6!G&{2zVYdqPX;lTR(0+U*`XFG@iST&Mi@08uL z(&mwoK?2{g{PW9HE+#I;rGMMS9CMAIx-=#ODU751 zq5nhnjjDDyUr7oOkNs@OjuV!lw>~V2Dil^G$I9EXn+@V^xFi~zxhav>(|ujvWz zl-8jK^{cNqS4%mrE!&|TBrU?z$K z^-EB^EUNGV9MlaJ1C2jWy8Oo%sQq7eW8h_`*ekuuP^=^_?u89#z$B5b&vqV}cmmF5EX5N|0cTe7 zZQ?m#r`74AJZPKYptInK@Yl%1HJWXRaE;4 zL+XX?(rJx^Rl8@D3qI+ORI;v?#-7=O$qcJ%;##wvbLc*8THGrcXJ5_ci^ob>7rpaS zUcRG(5B?EFS@J{lMkBtybpD42j`cvXn@#_b>04GEy?=wp>XB<(653|kH^SYoQORREBi^#qX;Ma$;iW402%j%KB7mxz~iQri;?H* zw&h_t?_^bbGg_ZrV;S3UyX+A6g%Dkm6uxAPd?I}7F21!(g0w&eL|#_iyts4d)KAld zh!!^WBp#IThMS_wr*lcY!=hpx<%fw`*;p_so3|i|L#mFCn*zR+#v6m@$fEFVQ^3?j z*M@HD9EC2g!F-ivG<%*HTdJBx15V8aV!)f0F!!>Y&}k#PCCi}Q|-jFVz&*77^hE70dXaW^`>2n^en#^>) zTBXBi0NK_VlNMwo&M;cnwQbXgUQ%;kP9ChCY^T}N8c`8#SQkH#TXx7J<>jZ{Iz8aKZwwD;M1 z0j}Xmu3sc$)jtzoCn^k`L4GmJI2!KvMbd>M^#4#n*3}Hh{-83!Gb|d^noWjsTx91_I zW35WjgM1EUFMMVXY)HVsmYd~hDCzN;jP)reayvo18$W_TiB*85viY)r&pO^yc#cd< zg*{ium1);50EB3)if6g@AyJdTbS>@|T~J*fG;Mh73HldL`)t(u^7ev-o2IItAmZdE zTb-!fg=ATc3JEw&K+y}%trG*ZtHr)IWKWX1ON>2a!G0zr94qExA{1BT%fp)TQxc@D^M>>%#3mIrmC#`ulVN0*|u0{>qFC(5Qeq z*eR|cmLd-^*3TR0Z=ihyy5g?>0Fb@&Pjj9AJ5hiZl7jOPfDp^CJp1cMZaU3b?QL&} zKllPleoy+4|D~sB`I+%qok1Jmx%w!5&@*Ce#jXZnOXw`qS-GNjP%1*DG?vGxD8|1! z8ZAE|DX5S`yaXRM(BIG*8N_?vPXd#>^?^=2N)&W2wn@~^SB`0h(*h|uA?(M^0|CxR z?8b}?Gw+iesYj5mC1qD*Eu~V5O>3M}iZ^3Zr@h)`#3{_x<^F|HxR;`3TE`n~k%sQO z=Dwts1a3NR+I|VdGJfg^PsIA6-DH@KSCW#kVBO26&$3f-U|nO=XAOpM*o8OaTfbAxxF$ciWUjt_ zftEijBk0Hb`mL;PbK4abP#?H(KmBx2O$u7RL-X}ixD?Rwc;9G5Ye+n{&ZsDs#y+CO ztyl(hmgPYJ2RF*j48L*m+H33RyT(sznqUjDcXE)7N8E41&Q!&jnD&8TwHo9()N+DF@Qv8*aOdPvWsAKr))oNsLWc&TtPCe}w+5%Ksvf zkKPJ;8KrOfjffRJNJp++wBC@c1xhFXa00ORD1=fLR)`FJS><=_&al~1sawJ`{fE}+m>ILIxT<*20*P8d1FZoTwZUdvV! zGxis;MY)C+YejBZ2Es*{sqwRLI6w@V+jUa6gtmej^~G2tUcL4v|1|mpJ`pNn2bu=L z$w3P)Ko4mf-tZ$W&wPW(!K;;B! zTbItwpn%~_g5Ryk0$M3e9Qac-fZ;C?EK##%E-jv$^tM_WKGL3dLQ-{CrEvb$ceAlf zXg~0^;@+(Rzy&=w;`N`TwQTt`uZsKMI#%#Sskli+1+V^1>j{^n=j~&uWQQc_<#7AT zwYw=dM}Q*y?tog%hy>7AblYuGoYeT9q^5e+v_?6+lW|%PaSuKZ6u4(-grBE^$7rV@ zzzgl>3ZIV+HomW^=III@UGA?@YP(n2@I}7(afh2g{?G4$0b)QSqx9R49a?L*^j73i zF}tTPp;E79>6nd6#8F_4#n`x;6J$Zebl0Jmw%xpz7z0Mb6fHz2zu0_ThRdN(>$aG) zVZglPcGqdR|)$pPRb5CJrFh_?lqQ`soD{KJ$|n;UqVITyDra^;c^Kt>K1sbQ>`$sKl%PU zajflYJqY%MXBF>6-f`PRKKLogyG6nM%7u9j`k$WVb8NkIHG*}#nlSwIF0mN%d5_f)tN#~WUmezD z_daeRFCi#McQ-2CDml7T8U#i&5E$S{k&+&rqeG-?bO;h7W#mRF4CW}66cFFqId`2q&V3HkafY-G^}-!WNsatJD~hWsT5dm|t*hwaJ*ILnEJzg*O;Ots z_?L3?;#HcOn@DR;T41;#ZTCRq4x{2|v9!7B28reoOuym15KTDg_D0b~q2Wp9PD3cY z80oVoWm3hvUw5lAaU+H22QK?QtCaWP&w_KCwhwqP16JK{E6-IMM<-0ILp4ucRdh#| zE|CE>Q(HA1!NBZMVh=4>K)B)aN~xu}U`muAen;m+9hcdrc`K(I?)~|Gz;8YceMP?s zAb+SDO07xj01pb+q~)pY{Z%5kZ6emgZZ?YtE2uO&KMpvO_)y%!YcH9i_8lI7N0YYO zK#Z2h>{xx>|g=sHoxVvv*d-Pw6uGwcZ{5c+$~E`tvO_P{iYJW%Db` z%PMbCPxG()+aV);0X*<}AuU3K9z6Tf%nKLQ<8}gOlf#HB_}F2Yo}a@O-V@ONHJZfv zA4Zu%&(nSt-dr=Csq~~urTFco$Pq(X|CCs%uY3bR`EVv|twG>;OzKwGc{nsbeb)wd76lAvPJ7gu~alxqNx4@w5>SJ_^e_-^ofAFgW zc8jr7fgvgK8+o}U2cg+6$b`%Q0$4qq0>AWcVQE0(CIsw@Y0)X(COp< z9ch6ed%v!k^OsJ~S=xpZA@oZWQ$h;0u0$TGtAgUuvR2(S;24Hql&0+PZ(L z21@uGE^tkMbUzs=25k_=4El*`#HLR*x4S!H7|bC z&S&7UQheE<;|T(Cm7-;{%P*MUuV)yZ$+x$Pf7^bViPFfrswmXgZ&47q{Xu5P*f_6% zM%-)@tKge5M#WvV+}<5a>2NGFx9(RZP7auweU5dNr;V;ID~=$UltIHXz>CZ)K&{uB z5bmWcy$Y{|FtnOW5PVXB41F`ERg2}iqZNEdu@Wowz8`(uajbb8J(vtsLY;oqlpe~4 zhu3<1UGSZtD$e-l%6zWjwe~}<>K*4NeNllJpt%>s9~OAJ+pg;D-uqa&zElyz>0y-B z&1wiWFSvnuJ5kvrVCqbQLo*sDI^~}rCY)e(l2lTi{xA43w|-P|?LMt}0thJ%%!&B1 z882xyj+*VI!vG*qm-^b;qJ;;6>VPRT!vpI@f%=dU6yPTcldZ&>EsTa@5Awr(AqAKV zo%VHgU;>sYRFe|bu?U$2e8stx-R>GrGZTNKlNW90I|IPuVUx`Tk7pc`ZVRHNH?_aZ z$`eU_6Lit$j#H9S0g5Vxu1bSCt6+TAAQ0U}1rSBOf2{v*R|Q1ea;ay1kDKMF-hX{9 z63SH>sFhY!)5%QTSi7Ykc=PA{X`Cq??#1LPDqhV@(f-+~K&z4I=nHg9WIBNe1vKoU zjNw>l9RSMAd8I$ywnCgC8-56T8m5vvj-NByF7(Ad!GzDVVu^vd5`Af4B?-d5HM=_J z&YN|5jpI?QR0do+MV zKt`|Rq9^T zWbFTym?k6oM6zFQ_ok8eXIr*HVV38Mlp{eL49#1m+@{hg-Yely-;B8)ZU)T`^?Bb` zKJM&-P~G{T-{NG5S3p{!2BqQ02w~4&-oTL$-}zESMU~R-5=!ErzVb+sn5$Zb#S%!t zcwFAc#KwU2Mf27zb+f!@qSw8_`W*pVZ4l+DrjsxYpg@Q$v(*|DWqA<7PUxE_%=heQ z5}zGLj3kQ@3RTOsJzu9%3>5%fF#o=d>ifHVOe!&jnRDf}oPPSK!x#qA2|4BRcTKJ3 z+H!d>{^9#W=hmqbmCoPQjL@Ky?&U2b6Qwv8R=T`L#Pm50hOv)4a={%g(GXf`|B(aV zG!LxTopO@$WyH?o_;QjV9r=yOX1RF<@u~f}HgUiG5574EV}5$=!8zNvv3h&+Ip*t0 zolJ|}Ub$hYigwXp8JgRIqvT!78;+;d9E!dG>F`gPp1f6&kgL26ds)?d{b=ODAQt0yyg z+q7DOVT^ z@?+#H6wCcJ{=QuuXxtN|vuNXH{YB42*_5E?v}$>?wnQ>tY@@GI zMc?o=larmES_r>j&>hy{ET*>yT1V*;d+V%NafYNsuA+vp+$@p&%63apc-8#L+Zt-!FCy#%zZV^b zk6;shLnQbQ1x7yZ4JML#=Ccg~(JykgOrIno2E+=9z>DewV6u^imbWcu)cSncqRpy; zm_Boae&{kjPdMxwqU;7<1gt z{mO;ehij*Yp66fqyEC1X`}r15CkHFI&HR}x@~UuN&^Tt6|J9YCG`zhiyI*Fj_ zg8nmE7( z%S!p(0`{HRSd^>9G9OrdeG|ny4?sznClzKN@Y}u(bRsI-13*8qh+1aW0-Ts9LDu|+ znW^(S*+_kyqb4ofR+{Bq=UU#oPM1XRbrL_lpa}N>-zfKD*%W|0IxJzpuW}PN*+|3R z9$C0#fUh$k4K-c@O^;{j5v)HI1@GS0BaE^adeuT*D;N@4X7A-;d!y~~G8V3(_|Kp3 zH1~>sD{j96r)%}GQlEraYBF7z{bOxR$6M{rfIIGaLRQ$OYI||t+dyx~CelTN$(8CG z!~y&*hB0WK6-xPLLz7nolaQNCPTIgL^1ehUmZ0JKr#3EbJ&FIZRg;W2GXy79-$31V z6#Q_w*6U;GCF1GnBGDCPEgx!%`ZD?H&yoOSn`nONz2o*KEa9)PD3kGCNfO^n04ela zU>&AHuQCHuP&!hArZ~%Y0BeKFDDXu(Rn4Hb>^(-TI-A-_MyB}`+kkvSx43B5{O10Kq8lZu&v%_- zK-v1uhQv7V@z#Im&#unDiVgsMIjvK~5rM3tB1_r#>@1$d?k(Gzj*z{|%Yp&O0Ougm z{F~68Ywt@;H&azvI-TEc0iE0yd~x|ngV-~tq~#5{P<~WYy!-VB4|9slK%|q)&w+15 z6;zr4GWpWbro0uJ`Ye3a#9+BqE%em}1Gb#)?ar4;tH{W%Ys25icv(XIb_)~jQu8*d0OrWW0wf@t8vhGD zGP3l`Xq;^4$@j&N37w>5u}!;r^6Kc4~4mj^sOg>XX)3BqHQ?@UMG;r9e=;yQQ0Je zYbtuvkV$l@QyGr`v@%%9c5U~I&X{ZkwDLBFV?+x4T5|uwk`PoyILdmr@0dIUeVL4 zy_1X5#A2e-EKlS`i!tTdKjcnN5WA~ys19B|(6oX4;NzxWlH=95NJtv0LJ ze@fh6tbG5bfBxK!(TdbP&f#>sy~AHu6TuWOHl z*X&I`p`s$&WPI?G4}3~2_H#NvemByNVy2TVOH2L`+H1=jL{r(W=N zMwdjy{{|+?Ty^~(Yf!WCj)C~oq`3D(>V;SE;7&y z%`A5^IEZz6aG$HlfSZutCKnTVVAs)*w$ZS^*LWt=1n)A)i&xeJonq%vBksED_hc|E zJv?AAgfDQO7pJ&I>{!DaIKMB&v7>iGgnP=IkIRkv;EPO2kDHilP3p?vOJ((WzeXpB za!2MzJ@t84sz&7A-3W2C)#IBaW`XYF4mI2>;tqU|+-PFV0^5iyH+A!}T86)=2y(5| zUEQ|XEfSvjT9LoP_J*I^6DpDYsx!1buQQkUcf70^xKoCKw2)JU)xqyv~JfO;NR|P8Ys`HK;N+_u7+u zBy$Q}F&nXq(gA_CY&{_h3k7+6Two4S;r8hitz zDRDALlCsHAx`^&ywcb63QzjrTeQ)rw*)OHIE1&09JpKFaQsL_3Jdr0^u81BlH=iM4S)>smOg20lC|CCnc9kI&;vk_+dq(_ zOiO;bt0wscohttDq*$&{QzE0>lmwH|y(3UMJd0#7{g*b%18|R@wZEBFvX2$C)@~Jf z|IpCT<0Y*7w=*qL*yVb0Zez4WypaC;mWl`5CJK$#Os`h7Cc?5$p3U3JlhbmNBNY49 zPhJyL53P%22U*EJ~YcDw|8D_}&1ZWdnI9^yp64S6AVZ5tSa8AkxC>GAtp(v`7N z;kq(h;o`tx9!>d*x9&?cbGbsvbMwD;*E{;>}%c6WwG-Sc*adgnRs<`x-FymzefCCv;X z*+e>s;$xlmPx_N^Qftz_qPuC#S+J%1{%^0@ZjC59o_sq>+1PJ?@|{MrHkUA^6OBOK zoRdzqt>hh;&1Jiz36h?76rNj@VyeGu>&|r3zcKC4&$mJG5b+#6KYMDb_?qZa{8H3i zktY%YfjuK_!{{ATV-IN+#_Y#ov$=H(pxp?h2+jzg<`&&G*MUD4`Ut~_X)P-q?} zE-3u$ne?lle6voug68i`7d@Oj?!>IGVGll$Ai9~Bkh2D_)+CP@Ho}x_mwkp#}F_!4?-j-0UzMcwpGi;%7ANY zck=wwcM&$t&fOBiasb9j&u2QqGvud^w}+!5A{=2$29j672ROZNUuBS6n3!eGa;Zx` zBOX$YD1wMrrVb_zh~dHi_Fb|0usKUN^6LzQgHX|#dO3rDU(T#tF=J)1%!>0L3%aw{ z3%Zu3g6$8XMVW09#E$10fd^}bY!Eg}xdr0PoSkrO{O55xwmnFT5LIxW2M6IzcWQ87 zK0LT@6;=Nn05hRf9xMpvAUu1Y8Z4Lw4;K6%uRBT6l=>F$vcO2mc)i-z8Xs=3S4;y0 zRNUa1|4ncs{b$vcn-U;7cI5%th67@zxu6fYwV;990CC*9-q>9i7^1jw<>*?E|A3P( zqtxCIl`a~P2U_3i?7YoSQSM5#(&BcF=^iKHxje^(KsjRN9vCd`*D!E7m@rSoVV4U> zjr9|{<|t6|@1W(LUX;FwxWH2Ow6b7m*>xb% z!E*lC27?Jml`ai}OrB?9VtM^xJEjt$5K)BK&2SadaTumzAQ?JQnO`x5J!AZ9+==r) zn#%pigRhwSJ5Kw5@!uOS{@zCnJ3Fk)S*Bf5UcrQ^tNYngKAx0c8W~lN0O&xAbEtSo z)z~|X`Kue%d(@(~5zDsAoQCSFwyKQ-i_~iJ@xU0Mc=oFTa7kV8kkCy*Fzg5lodW#h zp6 zXAp1+0mkkiube^uE0>V&|9L0(g_K1fnY;U2AfFH$m;X1uknwQ>q@&MEtr}ptm>&(` zp_=ywd<+ggjkp*^TshT4LQ()6d0*NqBzGi%b7BB8(9vVYE8V&ZIzGm=!mip~xq5$M zNr5&c?DP|G0r>ZjOxhoh<|ivx#jgNxjq4992x3#};YlB*lDp`xy36&-&;Q;jc46ny z7nMnt2nDh4qS#SSvb&bKqpP`fju(32kwG9)zpQVo-7{^FY?Gyf*H8$eb)2tO zMEMqm_RIc2$DLe*BRC~$f_9wE%7PFK8Y@((JyHT&WmW^eM*$@9ki}4%6|5_{myM2_2L$Y?vf`AHp1k_6f>`N;LgK<6SuF* z1v4EUYLs@nszgQ>hb|>L^Dx6J0vG7#kOWexN6S#`Ph$@(MOMoY=^xW4Y!IG zs!8fcC#myTjMy0KCnl*QU@4vEYnb*8=K^>HnY@Yrb12e!SjAf#L2gWa;L$qu7BJN@ z^GM1MY?{Y#>3MAXjrtcUC-*3+YMz5lJF~SBO*LxfPds*{I)+effxlo9z$X8A52u?Q z*E<=-Bg}@>f>H*4jgx3kWnHEVrL#}p)?BqGFKLJ+ccWzbs)~@u{li!7D^{&LVvWxu zcavn8&)@%1(uy~(JM?!$kOO;SwmJce6m*MQ{Im-FQ!AKJMZnp$>U8jT0fYh;F2|EJ zpk0;<*OT4fC3G#*sQ;^Hg)LIkuSiXvjM!Zh=A&FHp9D1{6MQ!=LQxH(=1ZXF7b%w) zp@YB9+pOWXdwUd2=Zo9(9&{ZodQmMTnBf5$TG7l7a$W;GE8fi_I{Xr)84s_o+so2SKQFck#4jBd`8)+Z(%gOI4%;!A1 zJ54vszz1KY zd$QP}i>K;ADe*G?Z2DNghUj-PH4eK9RJx$}!vofr|DZH`Noi^fZ6ZkzniX5v_1i0= zaG1b1FYre^MeGljo(t(#C6)@~?qGPK+7|SU*9>`wC0=!dxaoebAldL$Jgl79^y5Cn zuAyt=aQm;a3_a0vBeIl*EFCfw%I~Zs$(q*pY-15CXHp?0q^6rK9;WBZL_3c>$y zjzh4*m%dp_8+p^)EUA6lvzyLTd#KLEp{1stSGD(o)khw@1MC5d*!6N1TW=N;{Aqj; zOX}@g;Uk`@PW$5?K0j67WXf=Bx#zCGgmgbcG)lIX!=9Uta9AY~GK5t*xPPK8qZpI3 zOqY0ApS#DvP`L}%FXw%PfDkjxRQkamA&{r!glF94P05$?neeR1zzwLN7@9zr-X9Wd zC}6aSn_j)pMAaixSFjNZ?jbDT?>v$W1O-T_5*jvoc?POT)!30U5<_E9`I8Yx(@t`^r-b4}+>8rbpY3zUjVL=j>i-E=3&qK`>_cp@j_@Lq?{=C+lH>cn1LFb861 zKw?7e{CA_J%~!Kyhjx%{Z{b2}7QCl_1_dhRlT}9KC6qEs7|`2 zsjDTuplov!LMQg%bEd(gr^7!cL0Z6YV$VqvQ`zkEY?wZ!;;@;)zq!tMvW*L&r!EH! zA9dpM+*ptzN5P3h3DFf?fZN74(=*#bJz10Lc5*zJKy$tVsGjG#aI268)l7DtVH4C^ zY9sN%E|1f4vL5gW38uFR00xk`>vb?Y2N$BXz)Redixp^XOWcFOWncU4|499qFCCD{ zG5=xze?=rshN0Hf6~-whr61}v$vHgH7!%s99ko0~#Gt>HyouAkr~76v)B#~X(V0H5?WqmMxrZps@BKLQ*T)yF+&=zK81g5% z9QQNybk*f3+w{G=*`0i9ji7!RchLfh6i?-tVhB1xG{;azlBnWje_#IuPW0CiN7owGUVvM> zgS6ihmBv78D;|CIv#2BFRT%gvhD{zHxzzG`o@l;G@|;io!unOm-&vfhxH)>4L(3OQ zUBY}><^Y7!KQ$d5465n~T1Zn=*8omO+(L;ENG7qDr0wv;GJxUVNdYN|Ci9 zvee735!iG39)4G4nH676{6TRtoA?7sjF&Z;TIFwjmG}kad)sAIeg=irzL=Zv6P38G zt?wq5d4T&*=O-%hV>LByVo zmc7P3vrPI#j3x$E8s@5OC+1R^1904;v-{cp)+|p1EnK55A>@*(R-?7G4ZAhTkvMbo z?t~*_))vjx(5ZJfp=;-sVevA&fq0{p4hp$|%unVDLr(Amtg>nvq<9+j&M5UR&mB2oZvD-{@WhB+!&N_GzT&Rq?gG|L z-9JVE_FcTJuT4RW4t#-WBqWB|jf}fx9U9?=iAv_;jT*9teFMq|{7$T|xw%yd1;a?M zxf`>@?W~nv6dQp*AzierP*YHTeVAsG0-8r{oZ%R2{-fQ*Jb?`M>qJ4+Wg_|XX#{x=<_xFmai)+qUf z_Q%)aEX9xW?IAMCK9@t0KDHIOzHuZm8|(v1ta`p21MGvQPIB_gAaA=qtqmOYO%hBh z3?ussw8b;^Q9}$74|WwxfqksJ%+S$Q7khAUn3oJ6uL|8Tx1Xssr|aeajPl<9Vd_Jb zilN*)1&>nSO!b$fE1(CB+Hj*G;>y+muL z$GAW@jk>rx7*wo!4s5!EvxmqBr2)?O`qWgQHjuD`$Yao``WLCTHNYS5G&Vr#}I@Nq^l+1SKtpgh8Yka+rJ0Fr@zAFBOJQ>(V;nYS?oxkN)ofK7}gAxy(gqwa5?x}S#b2r-?Zw=b`;6_EAdAq z!-!AJDHRxw=yMmpoh~ZRuDI6tCB6#9Xb(l%Jy{&iJMeKS0FaL>n(ClAR{;^vjK=lA z9^-I-&{&uY5|SFYam;)G7mj{=WHKU-_TdLj6{R(sOoSgXkAmt{p0YxMWqF)(Yv>TV zF&xpjGdl@jsL;pC_2H80%M za+2rVNA-TS{qc<*Q3j_44!Kqgm0tf|H2&o;A8VUeAqsnEN1r~eh&fJEpXQ6}DVf=8 z&Vq3h%Km%C2X<&rS4Uh=;F&y3cvVo6;PzE*fx_07qEf{)OXad26V-dn8$BfLZgg8{v?VHPZ_naJBvlu#Kb-<5>2daod2QYUvt_pROkJw3EAth`)N;#l_xE`|&1~ zeypWv%`V-WUgAU&B%t<%3^K6);@%7IDdy%c0njcSAM`>6`mi|N~AD85O z>S9@YsD)Zhl55vpeeCMp+11TIYd}mhY5}`XH(EC-v=SiwBc?zQ5uz99mN8T@L#ro~p4(bA`F&PRfCG2_@ zt~*3tqh(0zyF-IOF^S>bA+@K@wUpwBPHW7W4raH>E-x@nPbRdOz0DrWVqa*bvv5`-kP6ApU(&Nx>wY*me3Ul44mevCL{rS~{Wlvxz zKvVE;;Rq9Tss0x1qaz@^ZW6?SyWGdp=m;)NXdXsN{riKvbVZP)QP^7K!TKj?;uO>Y ztdCbX!#L{${s3VYmpbVXs1hJOhniazMvdf8vMVAO+A-r&BLnJ@Br&oQqUr%7xy+5k zJ?zokFid?>YKXKr*9wCryn99mXmA%l94O}mBUJz*rMjOaFdZrSJuqy@+1v)cMNTnd z-^{6x?6<&EDBZzJN4?g)vld+V0&I-|COJaW60=E^M|54 ztFtrfM#y34#HhTNAhs2$!5B`CM~F+?$8POFsAi3H_iAs*v_zUhU{mc7q!syu8e?5g z{7cO=%hJzn>zAQsKKn0g{Z~@rg4!=@M;#7H$j%-*N$D4*ZVE)Zpiq#5>Q`c7k{tet zO%E2h!MLi_P4kOf==sd`Cno^80ZrgvRw`&aj_vmt^FavfhY4%zDapFjpf$&rKJn>H zS#WW9Zmw+Bun7I*{djdSrc6xfHzHZlbIfoOc_(^s6^UIy{h8AmnV`tJg*lYs zQuGWzdOjGjY?9mQ*NBHGpLFekZQ$dez$o$&$aoV}L>%;BDkAGKRDwwPu=_=95Gp1DrVuBlU>Gz4)G4VW?NzQys<}pAs@dk`s z03TOOPQ1ka&+3Cq-bv7=-jtvdxb*O!Oq+~Jl?&uIKwHp2rUZXa$QiI1T!C`(SAlMi z7z_Acv@4a*w>JLpG$D$Sf{o%`L&~Qr`^F=dX_(=r$RjMZ*y1G>jJ|2joP1$FAay$m zjMggdFxR5?gDr)XJRZ(H@lgQ|S3y&g<36|eP;{Fs?t*P*bVu@49NaE{>`>B0l*-Pc z@>LjMSgbERUxftY1I!plQ#IlZfOXJ3VBBZ-D)8lbcqC312gVsF=QBIufZ65fJizpV zaq!gOLLi%^Qekt~#peMTEL8>n`YAWpUhUsDMegF@?L=>xjkvcALeUrfaD`}E3&m|G zC1LKVzcw7LUqOWN0i`CZkkyR?Z2{nT__&fDJ^w+Y0~)t@e7PFBJ@M5a|InVs{}D0f z129Jd>+s2{^mP0UaMzxpb?J{Do?T^a{6stCSNeVJFM9q-cUo=TwD}HUr zFr32dM7>;4@$UE$k=l1Kz)QWGLy{F{DRg09NAyk?h z(~&9smxiJsm|YQVNCuIS{!@7>;WYIPKLm;UBSsVUX=Rb$Bi^MJ&UmK<-S3Klek<-A z{4)<#2s4;ibVUeRTE6=8Gz_;&yV}Xuh7;z^c^Pg;r^oNP;v(DUZsBi_dMy|zGKvkh z^CaEn8u#*``Pgn|#Ec!xcgFMQ8|0fh1{HU!S_2!aa?|svcvPK8#5Pw+5K-+3#;o?$ zGf;`hE0Ni7tRO2?_k-47l_$%$)^M&Mi5i2Ib09uA$Pz>q>$^tkvepN$Q0*Wq4NVsx zG6oJbYUd`X*+lkEee%=7kI*5L*t< zNGVtHJTHyQ;j#j@)vuTJcEQH6J;Dm5nPa)s1IbFY13x)?hIN&tui-ulJTY7p_T?YJ zBH3Nvs%S3J-kLDV%gMvjE0%eSY|0KjHBK9Qo#^%X{w9@_<{3c@sbKbAvl5gkuF+8% z3pMlM)?(s}REBXv-ks8b(xg6JkOJUcM)gxqv}Qaznq#3ZKBd}KpiC)^0Lp813pve% zofh^znk^Aos8FJLDNCD&^FqQTT<*A_X+dMW^#gJ3oRFV(wVHoF z?`Zbv`f1G$;r-0^Nui{f5J<)jkuPaxpelnnrAg`Dt2}J7vYy~&WXfj3NxFou$p2f z1PG!hqwbGbOgW^@ACD*3;vXJj*v13@Y4D`l)4Y4Z^QbsD>nHa=a4jRoj+X=#3ej8z zTp^vS_i_ko>&^O;5e=}}Da=g?5}v{?Tcpv79O>u>*I{OL)~u{09IIqZ#0DWb zGG<;EoF9KPx9)iKu3LV*k3W}06*`@_NQCEGryJ$%WUil!PJLcgdfdOK;XHM$?e)H3 z<*_u;%mtp&O_KYA=`v?Qa#EUQesen;Re}`vhwN1%X~hQ^U zC$BFhI46{450H0j6LmqijjYofs&91EH~ufm$U zJQ5WOS}+CsEM;nBWuW@cUcdo!V?y~PrNfLrlrnhQrz*7QhB%3 zc}4{MeKt4pU||blG7i6N)VFXe)$aV{9!7+Au#m(Tw6t$g`k3ar#lN`^YK8asP%48B zp%c-?GX914qf@;5Z0Mw9_y2rms{csSccTKRCL)eP?GNWzNyW5eSs^9ni~0I#TDKd; zhTE-fwYtIo9`SxCuZzAYKTR)>Oe-Ji9NQBG>7q$qa+gygveG5>GhRjSrxUX8aQKQms3K^1ei ztR)mJ@&mn|cM3I9h7X%_S?Q}`Ayqf76a~-^+RlzMZnqK-cIaqHb~#5~LoN3QYIv|- zle;992)8uSe?g(UK`|+)B$9PyQArf$v7Qo_uk+r4W?AHeFq2W9c7WNc`aOxk>^wZK zwE*TPl4fM2l0!~JwUl;BDk{77AnkE2!xO2%x;KFbH)7CQmY_Qp?1n-yWR)&tr8}}a z!FGs9va)%flZ#KqPGJfTdHy(oPFf9SkHbUGh809kE+tCLpaO z)Ws_&G88(R5`&zVZouM$z!uZu8d!vo3O45AhD*h7a+fN=EZYpiFZk6ipVLkq@!9Zb zteYe=^{0;4MIPX)7He=j_l%mNombJLd$huqTQsC`JUj1hw2L&3OXB=SMr=6h426CR%A$K? zIVe%@4A?KL_|d&uiJ$81{NGO~6Ifh-2}U*7rEp3<&f=I>PU3V*oULFWu zKa&f)r&WVz6*qW3B90^kYyo$Zq~l1rw*_FON0)|03e)1at+t*V&36Py7Xdw=DaP*WK2W{ z+x?tOLvb>*Q-|U%;YkPL3{=mn55z@oQl;xO35R8T-G6qarFgCN87<|?WA{fUMv+}Z zv<6p}4B18@0I$XKVBYBb7MkD$%aKf~>3WG0Xc`Z3GHIzzn{V>WGFad~mRFa5e^U>0 zBmxu86AZL^AC;o40d*!aXnc~B5hWo>24Hsf@(71C$p6N0@bTHmSPSV+CqTxiV=JHs zPACOCmJS0~MSNCnnKJSnRMDq&rxFy%_u&aEqrG3L8NWtHB(0e-)>JeNtE@dKG@?E+tMqO0Br`%h5M-Haq)@blDoU&zthxXhn)<(Y24pV37*L zv<6sa0@@>mWuQ@;q%7k_vM3~McGoBha8S6lgkX|K>3&5D$x`5dYvIvjw05_M&}Az; zby2savkk^R$WTiTL#TA0UpZb~->LExVek!uUaz115qx`u7o;`}V_qjc9bc<&YI=#{ zi_9c-K66bZd`%HVuht8|PpA^ObQgif^S$=2d@%9aU@~qb2KgDBvU$S-oTsa1wET#$ z_&TbZQFe0EeIp=cv?c8=x~WiL`}0iO+m2x$e!ddf0x82Zfs7){I&E>+EJu?Zx&hx# z1v=vI@%KkmJW=#$YneKDz>AN`g{Q5`D!mL3`#ZO#wFC<*l@3Ho<_?frcE^bmdsH*h&@w!VtAA8|N4~OUJ}g={c1K zYJzi2C=(g&XI?`Kr*Tay58oqCbEv;|@sMIX^^3vq#y^5eBS8)N__mOH$|4o(j1MA6 z^Tq^ZGQ|gr@)oFwbelkLO5aMP(t->$;h86IWT{%ZGTuApwD%vm+pd(Rnd__MzvkU(hVU^0vdYYDye2oc-U-JDt;Z?}C@ub%s zLVW%cOK}ufk6gkF;2aFJDvLO3LPg=cRb0;(ZxGOvwu9w>U|<%k6i#SBnScFY1$E5J zU4Hm`d=}w}fc_D)ILkATr%#NKRM9L%l!MqV@{?4ZJq4uv^jDE?d%}ad$rqqtUs^aj zBp_+6)M_I@pTDI!c9uGm#%L{(s8ll-=(ny9d(N;Y0TPrDal=Tth{tF$MgG4yC3ZHG zP6$v|3^h+wX&PSuQhYm`bCbwa0~ml_e2Qv*t_7&8e~(bzR2ym0ywR&#aAGPmQgLPMy_{g2!ga%!4^*doWH8S; zH$|QUkoEVEe>G;@CiR&YuXCc0>>i8HapxvR zek&1*`3q7@DR6i>xpu2*VMKnd(rMD!QwQLng2k-3nsx0Q2Ee?LScd92DCOo$n=iUv z$tRfH^+c%RnDpRH^l{Khc^jA2l+*XX?_+}gL&ut+ve|&z5H_15VfcYyDewpwrE58s z>;SS-BEA}h5M6b+tJ8p!=c1I$a0;lL1T;;ly|e!GS#^N7d*N(=M~IUp@O4Es4f%gY zhb)`&3SECX(aGP{0J{_Y>9k65-(FoIy8re+ASd|>kW3n>q<+9c0CI1}zS*s$@z)eC z4_IxJ5(2h!rx`z6vUVHp$ry_bLmI{3%a}Y0Ex}5Vd+O2wYOrkjvOo0%ON9+=l&;VF z8uWi$y>(bs%@;7LbfeNCDcvaDDc#+5=2lJ4&AZUK=vbV_%3H{6Zy@B8j^?;rcY z=WJ)znpqutrc2=fbMvqV&_0&ynKcRrteVXxT7c!v3nC{>Z(+k{y;~c8_I6?0lt_Yi z@=#T)*#T4&f}z-XD`mZF5YyH?UQXK=KR?zWg+?&5^lto3%<}%FT10c3K zb|?x&6(d#%yK*UVjm7L1jQ#o^n@<7ovI}^-gdC%bX`47oIn#8b>o3}GE5a9kTaiQb z+ltPSN&!@pTnXxdgeCkpJ-6oCM_0@?wM(9Qb0u_LTafktE-?|#h z11tC7hE^l08DWI|I1~p=nh#9yoqeJ|u(i+7leqa8fCA$nV8Dw~&U%COfWW#*+_>EYjBJkVd;p>iy z0IqZFupcFscW`%!-XgE46F>8uBBg*37Bqa^ZDP>Iez>j z1<@NRHt0}+JtjC`5eB9k`)@j&f79XHkT9-`hxclxLXR$5{6nDwbBB-vWf74L5&+b9 z?llMi0?6|Qh~^DY!#u+U%E?e*tw^7G0Pr6H`bfD>uCN27U0J~&%S9}(mXBF6`gz5g z#E$xg_@`ase~7mQ0f^hSw*8}0$UiDw{zG+Y`5%??wEs~_m6WJV?RZR7|l(FtbKl@&9%12J84n zYzqJdq9Y0DHi=-GH+!*D8Z691IHEkJz7jVXcPCZcfSyj1vU&BTbK_qoW^{eY0?5Q9 zW^LxUlTSauk6wfA=+?BlfXoD)K0)TD93S6lDKanTskxX^4d-bql9}v;4@ zP`@v^N@S(Bi$3sDDUTOTd7S4o+HyFrc$xD&Kd&g%`UHJ}t4YLj&+YEFgxb<$A~yFG zSWdfO?bkCwx7=MPVIIZ&Oh2|Q-a{Lr?Y;%6L<2OHkyv) zR^;RcW8hh-0^P0Lybj+iMGfCA!f6JR^SZ;Dhlc@hUQF6|HHojU+KD~(Jk>4oc`1(v z3@32pe@;sk`hG(M!g)EoA~zFfZ;a!qM7>O;IW1BYfS3CZUd8l#AIc?Hv_%_=u$$>A+!zKmNkwfq1>fo%_g6;mJ&B zS=UuC{QOfcw(;-F&#RlvjQ7@(TG9yH@XYD`7!r|Mpk-2VhM?#OoQsm#YMmiKKnf|$ z$zTf%bYH+;O!Y8*V7SFDp=tRQ`{?_`oPT&mx zF1rDM5sLJ)X)G3PWC_srFqxzX%po}T9QDr-CI*wa(uT6F02(9>C>!LDX`7r1068m*j7_y+1PjT$ zB>U8@L-2PwsYjKD?NZTtYzx=wq-y7ADO{8M&V;*!>?^8iw%MmoO$E9rD0~{ zo^lvU0<~+4N>mgPOOHXAxoiBU>&u0KS<%1j-S45#G#t8FcCga?&$*vm43w${ z(z(M>9$rIGn#4}hc5i=)eCpSK-pn9J3L>$ev*8_bIwWEC;=+0<}aCtz4|Wj6Ai$PkVTlvYnpq*AWVm zK%TjdKa;z{KL4f+<5K~T6GO%hkAxe^@twC`XuPG(PbG$mX!;7{i|Go3g6T=>$pX6Q zTFoP|jC@tG3@b>5ho69-Qpv~iF-57NuR=Fe46a+l`xk7_fqvjqGPv*Vt~}UqnQau z8+o`j@pSFzW=azRMN28tu74pA=Qksg1mZRYPAN;2#8@;Z<-}7AoKMFnm~Ua4m-ZEbqkC`mvHk(x&%C)0f%--qCniajb{3{5!{`?2#kKNocIBd;Iy`Z zFlyM-osSRU#b)H7MGf7Wp?wb_791&_NZs9YG)-5Up{HV;mY&8`d_e?Gi}y8~&yjo@ zUtVMQV_}0Xbqpp#gQS^r+1rXd2I{0_< zg-`Qa1G^sG7|a*XwR5G7kFe2&>W`L1A!5&=R&mx^hvCzTEq2J3V|Y(bUln_-E)3!f zrvhYZYYbp-Me(xoVdLUAnK{PCap7*; zh1ZnkZnn2sdZW3hgLKy8ze&sJ<)I`QU@peqB>5rRE+U~QjnOTXgfu#$V@YrBzehO4*7WY|As-2jaKc!1nm2%TVUzyaXvI4O;j>zpwnvFRhwDqx_yur%`*_m^T+Sb zvoVx*2O?`8)D($iNW_8z9Tnc3Iy!f*%Z&*!xIrnXd21Rls1^e2ul3TGj_HolF$kuZ zv6#aIiZ71x6dk!&Qr{2xM~E>~J{`H?wgL2X8pFc!I~A>b3VN(go$u$}@*J8$c96`8 zKd}(28;kgE{n9R)5I4TOP04LPmRx*@DL5aJdKsMhN!x7Y@|3lCNPpnE`KlW;V|3*( z{0aH}O9}_0k}|9+63&4;=gU~zL##p}H*tav_W_MoN;{a?xAQk$W=C z!wE`pElS(PO$_S_6Xz-f3!ZAWf4PvNR#;F&$aCi|qYRt8ORqH+*#8BiI4C&n^%*J; z1c6pcsk9a#qPO1BSS+ueQN1hKEL;1NMOnM*Pv!}IT2pgI?XT@v_l)D^aQU#HkuR4H zhdSO-PnS#E!!+!o=n9|xy%lGp5-1U0Ng*Heh%^0Kb z)0t~q25ahO7JSvcB&_tbmy_MtkR@}&_Q*FbD$ed5GqBXP7S93N{4^>qy z1FbFmaWe0u4j!kLNp)U7<*Q)zV?rpr>`~*JyyD=1H+rsdF|o29k@E59Xj!U}8D1A8`J@lR>_2kLyi}g#+tN5duGxZll(n!NnmeI+NAx7fvwPwD zL;&cY0(w&nR-M_|V&q-1MtE;};G47|>GOK2!dv51^g&}GIs(W9m$;Kl?6WD1i#E5d9 z@tK`&i4JZ@$DUlB0%f)NVI%ZV>f+VwpkIE*8tmLg3XI)B^~Jxl|ExLX#k@=*_7$QK z!Sbftw)M>C*-v%WDe*X#AbzIv8IL^k^5zh4Qp$&6P(UQ)Z|3SzJaai`C7OHL=m}w4 zc{Q?UGLKJFk(E!V;+&_3U0Ws>ZQfnD-EGIJ1Jmo?Izu+WWAFh7N$^BaA;MlEpMqFI zKaOUp&i$mm6KMPJh;k@Ne8nmSQ`j7#&{qtFLwRtm0-NT{fvO)EgemtBSrj@qSXvT4 z(H&@n@|(pqM&li98|;dO6Kt9p;U$R97H25}o}Q@pJ2Z@}Vn*k&nK3@;)fcTIpKY^3 zOLObb^m$c4vzmD6S#4@R+-}Dal*u7l98N|g{GO$t9aBM=d{p;53|@!XhvQw^qY1&B zBfg>$*a?r~+~R}`j>KA2fYXKYXY@eUn~;<|&ZYsy_%7Z8c%Co!kokIHl2j~EJhmU4 zmOHtA_$NfvuI8SwdOG1Mr!KcNW*+Kr*Ya{3qL>_)auhs<%qQ|k zq`J$7LCNhFJGF1?RKRVo%nH%)EU8{b9Or#1E7ZCw@PE<%EU~Uq_|Uz1f-%9nsF0Jj zuSFoUuZrn?hBPI8p%T*p6Z@iGp3D8hmK#CPxI?CIm2^>=+v{U*yV0b~5j5pB zWhEw8!~Sk-TlfeMB+ipc$z2b9Bu;tLr#s43@tiKDzf7Pp?(%C~pKLiuB9M2yMN8GaIvXl zw8H3FfbRr8&vfj{aX28M(hy%Hp^uZkL=bFEp0^~_2c5UKz)+Z%vX{&0`Wa2jvKy8K zrV20esxU#2ue1%n8M6S@GfI(D{?z`OZ%WP%J|I29el!yA0|t zm$W54;3c>_9o_HOqSLqT!Li6v5}+$?^5$rNRCA=CCZ%4B=SyOuEvg%Fu%e9~1uK{z zd^Vz++deA}7eSqZ>Z0X;W?AT_m(5AXAK*zl4!z_ecB5k{l){-K>9FDMOGDCW`F|Y} zjv>L@pqKGHRyArtf~>ILYx|-RkYI8j$+6A)(0Rb$cFVPcErbPuR4-vi1?)B~N`2*` z3#>+Uto+?TIkMfe`HSfn?MW~r4H9!O?#hrcX&&uWz?6JrQq-X!>HJFyT+V+$*ZA=w zu2`Fb|q6L_fmzWr@T6%jz9A3Y#g8)`;40Tt2|jb`iGnv?JP)&J^P_max6Q zqmhx&6iczMv3ZcKt}259wfEQr`4H!_Jv6;hIu2wPA%nx~uKM><&NXrf_i_{ z1R~zZw)ONwbdp19@xnoGcj~>OTcIm^SQO1}Mu8aKaxP;=O2DP>!1b zWqr!YjJs`Zc~j%a+Yk9c5Zj%tusL2qXxwmb4bauMw$@~MS4w8Qi6@SZu8azMYk>l7 z41JpOmPYXbz@-V@*GrnswOA0&wt{xV1!38L#(N`0-KTo=b;)^5%i>@B=H?5Jv@5-t z#VE=(f`bBLk8;>bdWOO)9Ww0kn%GDXL%ed7<7C5w-bun1G;b-0j6=<#R4%*Bq3BT* z43~$?EV>Me?l^Pich$`RJZzT@7>Q$}_{We1EU8-0J&C4nsj_l8B?R*3zW^VuEf8bx zYtzgc-|9u$yI_S^sWts639~Ht0*Xk48=TEk2ugB_v4{_EBDd^wpXh;~HB73kltRf# zPS+3_H&Uxlbzaq}Z_S9XpR_~OWMCaC-!xv}@ZAYlDf}C&bf&{fO10gZLqn^ow9f2F>?a?M%WLQ3?}PqU+1xdHA&u8lJB#v790O5 zXk2)XxtuCOBtxRCx^{km@;1utw%6_g0###B1~nPq-u2hko1zI=jC6KST2wFOFS;7$ zT65kN?_cGnS*N1d8a|Hi%|uDWE%z+R)3BLi(_1=T7!D|ZjW&6Pn_Xn;^I$F^-eYpj z+`q9JbD+T~kT;s^yt4{G!_rj{9aF@-rm5%|N_x$VoUGz=4`I8`lQS(6|0(Gf(vKdW zUJ93rl*zWRFP%A;l~AKJIjMN{-9sr8E2xJA=!AtFy$hv1x ztiGxy+?t!jKjjSTo_TnqJqAgm&eu(~(_Yn0+|Bk}a^e&xc z_xH`03rEhrg=8xqRUkG%1e7X^E!}#lmkZr{QU4}`htD_}e-6V%tP9jzIW(my#jy}I zf|5pLL^<{^Qt2sLCy|}uTad!xiT~-;H4PGgmnYD`g@^Ge-rATA?DSy=fBm(Guz5bl z_KD^r{DZs8vwTMPy3#$>0Bf;bKcbx=L`h$y^zj=`E=A#TQyzsiMINo=(&Ppv#V)NQ zGI?l;+m)~wCSDA6yQMSpkew{GC%B8o%j)YwC>%E5$NvmL@Vgvn623--{5m2X^H3!Be{E>Ve?B_!>sU$qVWC? z4#Ehl!Yu09<~FUlF2Dzf8n2c6?Y|yeB)y_{V02{O9nyqL4lDb@1ng&h*7P>G6y~x#F9ZMZZr8iIDi!^0 z=n94ti&?DO9hhKUUoa6ZJ(KEtT%BtS#Opoz$i*f8`H$WXfl5PLGz%)qrFvl%=kRYtEnDoov$>OyCKneN z+02rc$%N){-IJeDA>M$BdZ5baAxtZK=X0(ytt*9OhZU!=M7DR{EsD_EQRid!@T0)- zeNjH*v`o*?WaKw-SNiR_t|N68k>T)sqFL(5Y%AQYDp>>1I-wTs!>X8ysOb#6TTJMb+z z3rXP5ac?n7dh)psSJ@?p6w95h<1^@edSrRS-?CP$OJw9*#!R*R#96UyK97}Y4ys{} z(wYJGq}(W;H+;SzOlO7T({{ztr%-#2N-=6s=DIQ^^~p zLouS~DM?JllJHvLY4}r&5UAgG>?0pYD_=k$TXuc9eJEZDzUDOQD=VAD9!VlEdk@){ zV?0H7<*&meH1k$=e^r$D zgiY${i_~LqVTU0PsU8ef(V0GKzpX0S%`3W}vV7B7YTi`01oGbeZB;Mf;`zE)m@um> zIO)O#xRq%c5k233wjijaqEva%NI#G#1yKFdB*a`^lP49tY+%wJg-kaa89sKtv63+R zLHwU!1_#RSRG*RdJpJvN*vQznYo$c%-VE>OHS;GEjH$#ZtoM{UoJMU7R3NFqtInCszg7%FQ4M**@q$}hbH z4Pc1;Rq~|o7ZE*UdPg0L&jP0zOA<$)3ZpmrqcT={-8g#xOo#?(x=jf8^K;w?ncoSp z4ek=C-Lk3-UW1q!-v<=}arSa@7RF;~+%n6#gS#n7&M-Q-1=>1%Y2%a+S5+@jzq3ok zJ)_D`M2E1BI^WV5LP8h99z&~ezO1QM%w^x65t^(BFnR_>*~^+sCmSkwe7i+`-qw3Z z1_}%71+Vg1-_%@PY})Q3S*b4|85sKZJs4^~7WZWmTI(Wl*r*-T!j3kNBt5G`eL5nd zSGS6P1y?#9NJNhiiMU%-`>Y(k(0^mA4*6_!QN3!MB};b;w1X5wvjm`<@Z#kSxD`}~ z&FgPF(h)9HzC7bk?8@Eh$_F&1BXi83KE}hQRXC)S$M5$*A?2>>qx_0*% z@|JK3pOCmVJK7O{!L=LhB0P{zd>v7%fIbFGLy$N7Mxf$~ojk~wFl526-@~c(!-W?D zXK;h|(cfo$Q&=yMYB}6(a8Z$17^^{GDD#W39jY=ZRAllZDv)xY{ZkMkB!vL@iAqA_ zf zlzT-zsVylE!xD7!4KU`E6t_#meMeDsEl-D zDT}@O{W-)Ew6eFU_@A!=gL)>cYTK?bZ1?uTthtMF*ShjgO|B!kkDbh3UwZ^oYCU#T z7eaUGn@v`8)WEc=_@`OIb$ngkT1zQ#YLaDASRG4sMl_C(Egl_s+J@fhbywe$YBv1` zjGBx4l0KUzV&M+sOEee#enuR1luScUXTb7^QObY*Zuh!b5HH!7gk3?_6q4wH=Rb2z zF!x-05yD1~L{HQGePWPSKCQ`JDUQA3<3sa%g1$B;;~vG6g%ZtlPaIjV;BJk{zu}3e zC!3t;tupn)Q>iU?29OF6724U_f zc^&yG>lY$4*q3GDhI%`yN#&2_cKUJ?P`qKlKo3Joovyytb23kwDs)htP1~=k{;(Yt zE!L(_)rqW~3zdBtJ2_!nsvQ*@8DEinRpA4M`u5~49jTSK+IT@Q6BiOu#(S#A)UDGr z*Hs6fI_?x)KBgxQV`>~NX2azF&escXyRw&!d5zAL5 z<^NfjKnp(doLu(6rX%w&&+)TZn2YQ)NI7{^Y(jf~LuhA%4q|qa7WT5ilg&=!)-fq{ z@u%cC&tR4^budiHM4VL2X=|*eFg)7lnCYkmC#?>TSQ1BB!H-cN>l2s0Xq>!Oz0_|y zzJmV$YjmaH1|aJVhZ({;ZgI9S^~P!gv~WwV=a7yqT9v6bJJc!h5-dqzD=~_~cEfg=1BygXwe8PTp z>)Rgt<}S&N;?SD^Cr`j?m)`t&f_xwzrTfh~=JdlV6Z~N@K9>U{X`}?Iyud2LY6S%R z$W`7^@~%euB@g_gX2jtUeUZD#hq7^hN3MtSH*1?eFoeWWQSf6HK(|JwMzWj(zrZUloQNiE~;wfL+w@B2)da?nTenk@;)^yrRjJ+ik0>?3Ke zeqo>`=^e%WZwD2D9dx2@`tj*bTJX8jD&TxDY)whVF?cn}OYE3dFz^C1Ld*_6T>=m{1K}a_ zm&3aM!2kw0N%!74N;8oFM8XrWFe4yUaKRx}nBx}BujCNUkM9Qc{XkD8GrydzIYnq= zG{JM$KOs5k5u51mWIgHu^yXYM;uQH(klAH5Vy{TL994j`$W%3=VZ!URdXi0)uj=2R z7WigFhemxb=f-A4QuNQ8^h-hS%WO?pklProa9#8l(4F<;1=@1W{sQL>2wSZzsDHLs zS%G^Tv$*_twxNW5Gc|3Av#@#egkA0Y9cea}ykh!7e7+20!B&2h?5O;1j2c5IU|Pt; z$@7vV@VR}2L}ri8xV-CcNF)`Nfk_|w@lWhyG8XtG*;ZrAj2ZZtw4{WbI>2+0Ud!79 zMzx>Q2YQFMnB}O9anv2kd8+N1v2PR>t)eI^+@keV{iC*h=Cc3NN zLqh4nL?^Q@`orw$SI)R-BOMvW$Bh~uxR5*PhYNrYj2S3GDa?>n93gRXvPM)@4?!A+ z9%#~->-12Ha+ake#eOfCKuRk_Q!v>pbBB18S9a=y=^QgBzT$9>aYTa!;+idu=%Pmr zG780@qqZ*wl|)*b41Rq%&)&5bIH^f zB5VSDPcqP3)r-+Qv%i!)Qw-p}u zhgxR#bjD=Q#Si?~53diADnOm13002q$HGh>WohEXdSE^Z84JJNk9=ojrg#b5(>>cu zO#c!IJK`qdbWZI3IP+7dW*T9o-4w_WDjqekGP<+A zAAW5I8jwgJ%ZEXn@k7KcK96#}5Iey}Mmqd?*>d zX9@dT8w0P8P-{f#p|PyRE}|B>TXhm6w@O3Q1TEG*Zd$L;E8>?kM6jOtrZ?1R+Fzj$ zDpZuL!TPYIUJAz*D~$xipSY?XKZF5ir~c?O$7z?QJdjrK!-!%c;Hsj4G4hxosb!!E z=z-e3|n;du-~b_|^#T8(MaS(yBe$f}>LtYdj3YoOH27XX>GCn{hVHFI(l7 zhQA{cXM^d4Orfvi6>d#2xN2JVg=6y=^*2u6 zf}G_NGj_z4ctaECQs;L07Y|NVf%WqNg ziA=P$42Eh(y1YRm|2R&~4p~1YjS(0}_x1#f1o|7G>VNz;Ninms*qfoxrN8o1pD!oL zPDlX!x0k8Uy&Ccsy&igR9ruKKcyW6Xfq@3Nm3aM+e@`9^@Q)WE4@5n}?6ng?Rjekg zdhRP*SZhX8WI6ms?AGAsetulG^gmW~N6eIcL6*I|y?Xhwr5#NnZ5uMZD}OSAGgI~U z)<>JCh|mwa7pkX-=sbzuyWsvbTQXaFTaEAUUYUTI*EyT|2X9oDzKmea4*ep1n@yR3 z_z;3hWG-Zd0F0{YDOv|agF$c<;79;D#_@kWsxkquJm^=g|y^Mb01i+@ki?)8hcy3;_YoS z%^qi6aH5JVa6Ze39mpvJV0McF3G<-j-i)tV&eh~HUe*hN9}vQ%fm9bv2vEMD58-NT zp0X|9lo9gDf^oskkDzZt0r2$0Xaev&>XqrWi{L`m`a^Jn?TiaW@c#nyWj^|be+cZv zcK7(WXpqO-M$tVH6iKkYK*GD|#OZu*2<*?RTW7%t!1yo+hR<8X)Y> zQKM)?_0u(5hlB@y93wuH6ggUxL3%=94dZjR>F2|^bJ_&N2(n9C73oO=r$bt7*6Ubk z4XfNRkoe4l;Ozk>LPz(2d&-UBExA##u`oe;x^YouI$OMX198ThQ_Lm~t->v|*3>|J zwzzgOVOAft~fcf{cAZK++aBuNPU=J*ihaT@w}mM1yj%&g;zL#qCanoyxzLN#L`@ zxXRW6U-Wpk$KhBdaK$K@Z!Z<1*iv;!9r?@u)OVCTrn}5U=XFB_7n6c$2wE&>{Is4( zQ_M%_qxH&!Q*{rtvfl#QaD~}_xo&Jt-&lQaT?45{dlx&fZIlU)0_51@0M`TTq9rk$ zi#B#(UjAkB{SWYo-H_gew&91-|F&`UZOnC%027=`hT#VpU}+gbA7lWAzz#f&iZu9e z(kci%1|MW7>9<&5*2mvWl0|T_8R8;Rd=XwFj=QzPlJR&gSGvNtk8i5TYRPzy0p%B~ z5W@y*06-S!C1W|)X}tjqkcJnRdi-KPuLbZXmOXy<-p@_fToCqSqwMOz+l{GO{|Fm3kC|PA?l;MQs{X;&1W0_OEFxVIA1EtoM~U`Xt!Jyn?c5Qd)aE~ z^rDwJ)FR8P=)Riq(f0`^?!VLHOdZbiDB714}3H*{EjaFk!y15n}xZ{u`yN15A;=Td_rYR@${ylUO;?ziTeMuaK4#=K;QsIJRu zhGWOIfU*D%JS9&Dm1;NWLEN3D?dgj)N@4bvlE`@A(Uo|c%Z`us2`HQPcLa0HSG7-S zZeLa|el2iaeBE~R;t*HpG)Gp^g4PSX+;z0}KLxoz-)u`WuJ?x^68~41({ol+J#K?H z=oS-Qhpql5eMEvf+ZIEwYa@H5FjR6s8jMg`6NF0f*Nag?Y!z1ovG^e=fluq5zUliF zgJ+*-(J~kE^;?yUo)d$VyS_A9oGuQ0lNs2Msq#l4)vl~vRNbp4;d9Ml(dRk3^&t#A zs4OhaDDryiCF8IZ0A#@ENjWZOa+ahH?9-hHJ!R@HERc0rzv2Wvi=y4uY33xPf=Vce zn~z8ko+6N+uJQU-E+V$b2^Dpu?yq~P&{}$i?(Oc6`$q^C5`pZL)Bfd;q_4D-7E~)k zb)9k6@gBa(s3m^jM??)Z)BbMgJrI`cFM;>&%BOJ(y51+A1>GM;AmhEuaw(pI2%uF#haKfe!Tg2gw-$~N1S zNB`a(hA67IQxsBcEaN3TB8nEZtNsyjUv%L7ne-L^f;)DjqMU;`W->~_9=W64fyBo` zaB{Q_PMALhp3pIy`#S6D!Jhh6lmq=cBK)?F?aF81?(=s07=`{WtmdTe80@I*eR6SOnUa78aZuP($ zf48)d1)PrSKx0$EzA{uwqtH5Liws;G9C()=&JPvevYCfM_>^frehB7SCSx#GmzL3w z+E;gLNi&WTM5~#){?@tYV6mBm2Xytk0p|%ef0uO0I=!uhP?)_0B%_3M)R9{|K=5`i zOLsxc$J!Y^LWVw}t~WVOyjyPipEXVg4_khXbAk^^f}3h@_GQ*5Vl1k2W;EAwX8sR3 zQt^Eh4#43dLMs{0m+yk;X$iPPV)fBRNZTOlRZ&O}D=OPR5V7#jBLP`sT6+w}T6Y@G z+Ei>QexM#LfLc3AvAi-pIPqY|NJM5`_JT^ zhXsaO;jpZW$?<6LM%t7rUD27&i4l3DeriEMpWQ3^TiEv{ z?H7n=Bro(Xg8PxXhb-0O{vS)LnHLA(`a7il7QoO~bqjNT`td>776&;>Vohi=&+lal z#l?p&_AzybQ_6-m2!b}iq}wO_DVzx)GbzX&)A_{)X)ZUaZ}`cinEJOm ze3#xP;L4g8$QL{G(W#*h_&twg1LCO;izgV{#&vfiws1#7)SMC_f*6tlE=#U><=emB z{xCQU=B$pECr8*h`O1Ll(enCU`ZwROSE5K+%~^bJSv52EAmx?)7VRU0OG=Yzd4hVA zsnnZ>`oD7G-kMH+=@GS7|MI(9@lK$pZOJE9DKY!gU>p=LmF9w=g=dM$pY zeC~u$odBBKtZL@#6bw6aaY}&gADDX2) z1cJ0V6 zc(u$e=DP@R!3Sk=wt?&K>nrQkLyR}t#2$xW2V#O&FdJ}z79WTq_c4za1p29U4jWKh zuLC&@nw)hh@gA`XP~tI@%$@k*c->Uy`dtt%vT)NSg+#c6`&^Wd&ZAx`Z5R=?VaVRa z6cMO|g>TY|vEP!jTw#%u^S+cu!uhd1h$9tbFHzWBTjy3-~*tJ(nN*Aei^g?qYIB6LEE*sPWXDn+Mn z&?TfBh6)TRP7uLuA$7BqBA1Pb>}oT=S7a#*%^ZPP!*2V>`3&fgSi?jqpd8pXlltOISykcDbX= zIL-4Xs@lI`u2c~MsXYP#zPKP=spgq{jE40JTG5GE`bX$xcXFhv4lG@*j&D^+)MXpe z&gZn_+tRRNf>7_N9Agf9{the|SQ=RqvrYmR(*K1^+`if8_#?W5X+Sy~)+Z{AK-CtZ z(0$FpdZAv&5aL^f&Cf4^YB@ZIjc0ey!YasMVQ9HUj#TrJHauYg8*iPOo7G=*gZ+u+ zx2Tp_w{DgpX_m4Fc=muim4&zP0k9>QoLnm|2D5**U&N+KE>-D#p48lZyxM((xC{Zt zbmyL>!t0nERJKhacsx_N`k4y|Nz%Up(`AHISJ-f{u|fA04crNjV}MR{xKb%hT1P!OjSs@&(g8&FS~)NM3I6UFm3pdtL6hSeFBxCT zjss{+32o;mtbeTCw9AG)hKl#jIj6Vj?~_;+;z|v5&ck#EZ*C*uRV5*zg1*MeKO_&b z*rvch+iXS)bO!-UDGr1;Z(Y6~;hW;Xy$P%=#qugQsVOJpaw+ZR8$f`~#|bj-N1OCK zcT_DqyC6T{3@S3#f=-%0FHg|^Jht_}4y%E}tTz-!+M#fcjL_}Vy?5>n3fgr2QvRM7 zc5>Vb!i0rFt_*Fs@)K793S;=EiIwGnD>;zf5d+dY#G(kL9iSP;x}!yo!62(Ve5?@_ z56{#r*#ad}F9*L_xzw=0QENHNK&nHsI_GXpb&Wf0%6@tZ!95-gHLH`TY zOlzOS8yFafjny%8W0LJ@+;mKmlC#tjj7Y7?IzpWkRZxaF5HpDUshcgSi3<89ngNwj zHFcbS8kCGL_)jcC|5BOW7HWHY=ij_k99b$B47bC#OV_#{P;?Ad?dg@EgSb-tzx0f6 z1$L}e(9(In6Oo}+0IXbcTthe1Jxsg5;eWl;MoE0dH=zDB(;RNxLCR;$EBF1{wAVFU zsoH~1Dw=-r^dK8cSD?M=8rB@0^HBn5zpc**cHS6oe^bPDHK!=4dnHx!ZEStwcj%A> zHEVLAADmm6rhC~Cu^yoVItz8PhjXGtcIkiD4jk?Ptz@X7B-rau9Aib(zd#689;8Kq zbJ9TbP_#mCaZsS7XkX_?ru8~TV`3s&E@)}f$I7IA!?dfAW}&G1>^?+ZnM9DYEgguKDZTkG3oPAn?ZctTEi+%aFn?@2Loei~;285l2{T-SR@K8r~a5 z!s+M5z`b?3{F4nZM!I$`Vm}%1alvGA`to?X%h2!M+vb#j-qrwSOZ&kjXw!Se$9MBapkWm1XX*qc~uD&V`((bIsY z#PO*6ICI^T^Hj^#+?YW7vrkuB)gla2pJu+XJ7Rc9TtTVbiRGvggX+-aOpCcWJSV~J zVGSzZn*YDWV$Ke7SjIw0Yc7%kUGd{N(4Os}@Gi-N74G#XPWB1HmbE;x9@_!z99K&4 zx^uxW4?(pgvepT_WH~(bWqY)Up1*i8;P*U7y!kyRm4iPbF_|TNd^hMXYkr2JS~Q2! zbJR6vx;Ot;zdL)|6Q)=NV-$Kjz<;}BGbL;L-D?lmw>AC0%5|D`n}nm;y*S5d^!V-J z!#(u^1@94N!SAi3OfoC~4j{znFspzV3j5F_5k+JR1P15V7&gL$MlNq2sRTwI0jD=o zsh45$HYAfCDe0A=r|d1DD2tv&tL30teth>77f#XY1Set49tj&$cJFNtn3-3= z4#E-9l4mP1nG?<8B)EfD0=0^dx*#Qz`~xem><8p?LrK%X#P9pW1G1h=D0RTpVEQmq z47oyDI+^5s7@E0XAkI?5$YFPc#oxg97F5a+?sCICr8nDN@GZ%QtPp}@jt)up_!Ckl z*V^tJ!>hNKMmS)kwkH&HR1iz_NB7}S8a*qs2S1pN{Sfdk^!S)k?1X5#Uiqpfoyh8( zB`R*bIuCem^*XeB&a}(2k3Ed{v_WTz=>H7DlN-`G;C^zPf? zbNR9+zD=UQFHwj5VNZ&XsOobgt1Kg_>3RHRe&6{e=lxq_?!;rL*RZ;p5fW+cv^sJ} zRudA&?l0(`(*orHlB9+;U|$EFvma0D9IyJG~98x_eebb!uVTV>C<48-;=hC03UEPe#t;FU9MIEdBH znRz#j!|d1UVaV;k4o5IwvVKtQ-hOPly-+dkkOuu9F8UOKu;AtP+-jPo=L|74i)u)} zFm*Pf)HFr54}ZU1j#WLpkR|@lmIpPGVeOxrW)qBjlhm@EbNammLk!JVEFk)jzo41; zF%BQR2Y>Up_A`)|rVZ>lyQ$?i>pUm`3pl)C=^XNj_7bfu zHo{XCE!a|aOf6yAu+s5B@jd!1kU}|FlI@wIn;~G|Eo4sREk%vObke&mW%hF59o1 zShS$CCU}i$7|14Oj1pW01e3zSI$o9j8L^RJQ2GC1>%HUIdc(i*+O4ftl}hcZ)lyqRtr#tBwf3H+ zYAYgEt*RQaO0BA(N^7syRuwbE-qZ-Ck`g55b9}zP@9+8N`Nwh2xzBx{YuxYadS7|v zidAPSHoBNxmT;t}PZZU=hLeqqI%UXc3r5sj%B`JO+x03g*48)U$6LLQR@qEq5cR+^ zgq0DOj2j;>^qw9=nac>-8Ve&;xZpQcM>ll)ChJp0PUfwmj2{2Jmn+!W;mS|KX4ZDM z`e8a~pKl?p(%Zr)U9Z~wc8AaL+I$xRO}T#h7l6k1%;5g8{XpVWrbN%4+YikNt8Sh$ z&;K=Y{UU7~aoO*7bIGFKpBs&#;B;-sGWg41e0;I}K3JgG@KE|62bRB16jd$hcQ2_N zYPXi|;^%Jj{eBh3HN0)@2p@l|=KGa>!6E+qMKJ^#H~6u(A`CjW)@1kUZ`j!P<3j6Y znVmGo&+VJ6@Y`yt&wYei!PP;*A=-KHP9wvxVf&cxCJXmlr3+D2_?M|$m$j#gYWmVy z<#2m)eU;m}yRV*uy#H*PnqxI8<^rw(?anhIR+8zvjbj4~WTh`>6Z<`pPMyNJP7yL& z8x5it2BR%Y{DrOPn5AZWjL)C{HP6f<=yz7bVD;}+)WVDcQu*-qs>wzIJx16$oeVzO zKmI{tNe_B=`H%N6yI)nl@Y&-yh~j)@{*-9$odE?H9Ld*3iT_#>9~=67rRd+HO@`4J zd#6L$=M{^+qtQpC)sn8x_;KkW4QI;TP5|iuGg=Vw$(r99Lsoc#`Y<{ zwA;JytV%ePRK?8~-}(LbxPT&tQChieejPMvHWpNVb)Vsdb8-W()1#%exQMaJ*n^+e zkDWm*Wg;FnACr_apf!eeg^M_Hv%Z@ zsn${0RU`WkTY0GoA}U%tQSRw{jVpwaR5!?nchWO{sa)%~EJ*$zLZ5n<6*lERZgXSM zZ41s>VDX)V8wDDCK&Nzb43BBiT%M2A%5=*R|4R~|)=Dw+c^{NzmjTBM&AELL2X$e4 z51Jf5%=s(WW{5+-eQ~X>JcWrTJ%>q-iGH`pd$BVlkbO>nJEz(#SZ`{tn*+*p?(efJ zlDwD3<;Canv##{#ocK)}ePyb<4q+l5ydTU!g8|!5%H&Lz(*|dZI)%&1;BV5i58Hs8 zoHdccIq~n+hLM6I*+V#EQ(dtgrBg)u4HN$Uz?Un?hu1+a**qFjMRmmRcT=XUr;^!J z^q=E>bW!4wm3{U{&)JKs=xo7B0N9hcZu^6&KXF zSG(0~8|03EzQ)ECcymV-EA6koE!!*D`5{HIu76kA6nz3i>N;(92rZ>APDmWh&a4Su9zXy?&?1PFxs|lkbk`{WEM2qiL zR5u<|NaIJi9sTZB8C6eNul_*%_?dItap?ylmM6U&$pDXH6P3$aI%iSD^ZDqRkRzCi za`!5(5{K3GcdkS*wdqCB!#A!(*G4e4c=mC8j!5t#uw~?B>4sB)_$|(4DL^QtT%L*B zj!trwLw-oa8j8kdwOG72RDYF1>T_}glS)O396q9f^MGrbx#aE$Eec-CyPT$GQi!-~ zhN6czr!3POxiqC{LexAY77Hc|d1r zF|*!|&1?8(!O_a#zO%*d;kajGBI>%dx9B^m^f#9x|B&xR>r2ilX8C6hf7@xQB~7k- zyo1~3pLIT&FrtPK`SnwCV}GY!1`*Yw>ETNO>40GHkS5GW?NyD{`z2m(t9(q$JTy|j zm4!}kMMtIvcV%A?*$#02|64xgMRnpUjs6QFNMubv;gmO8;&>}E%_ckh_YUj8luqr7>+<4_LJ96PeOU21ees&)2MdrtPUZ{uO54qcx~EoqNUiv zF+ZX?EwAs&d^?R!6U#IHXr{58{=t<9;DGh}K;U+~EH^e!M5gL+>p1#0U-x{&5ZgEh zK`_ORn;Dcp>-r<^?F#yYb*B@qTwb+91p3Pc*+SHYBu+CBOv1V~Jdba!>kPzh^uvO4lz+pwMD|ws3cao9iyd z0{JvVB_ReIDhMx8^r7)TF`j9!&O0TnUl0u5-BSGc(`pgi_EJd#LN} z4nKZ*u43a8IcP|J4}G_K`Q%mK zW#~yVTt}1v3S0EH!JwYm3goD~%jvl)0OH>xI;~QeP2RJ=KGm-D;)wf-J03ita+`;= z;jMF4PbIzk_#5K_Ri6`sdsMa@pCdz}J3;Lp=y1q8Vo>TTyAQS8cl$1>G|r4Tcv^tz zw=gzT`(gaG$f#d=!gPKPc?6xhoOyA}ER2=*)r32%z5qGW$I2|Wyg%$HXR*XA@oSR^tR;5UCqK0gU&^fMl zD~1wd!jK8~xAi$0PsY$F#6D-Bj7 zx$XlS?T)}L#~ahn2?ZPW%f0}Hz(LjcT~sXU#=(5l*IH5g*uGS7lqw?32fQ)1^xv1&A*CkGOydA zZC6_dEcpOn!V-4fR|^+8Z8E^`;o8i^TV0pY&%e3TxUXJ+JpGxM6uOUu&6U+kfO087 zd_lIZFF3$V?%_X9MdO<_A=jaepjot^hyY-!Bqq&Pn~XZ|>BF&Leh~lra?UI6x3+S2 zqBJ2efhg?d3!gXxefNEex<1AH9?&JC9NyMUO(9oSbyDUBeUz0>n{k0$;xOSUgq7A*ZAZmJ; z<*TA_l^36)y(8YiPpUy-^NKa@wuPkJ(*@}{ly+mWNKfjG#rBv+Ay5PP;$PsK`f6p# zh@>ND>n@WJ!zBU3_upw9mkN=8eSU#)B2c-X>|oy|4YQ%_~wr&|wuUvU8H5Hmw0 zcs29(mXoWToLR?R;?=YaN|VKRxPMothU9dd2U%PTVn(13`4=12d+U1z78`H(B_o(j z?3-!<1%=hq?}n#!o4f&9H9)>zY}ON?#Viw_BX2tYyIAIlW1+SzKrBBbz56XYC21PD zw-Un?YyjdHa#6Ze&0xy*BNFn&a}{FVW9#DJQLBr;4zRL(yN@!STAK-9UM)!LQQ7*t zDOgk15y6z~ms|Rig;N*RQAFxX5~6~H(N(EyL(DC_^S=Gi7dK9(SgbgsCXf9KM8?8t z>htv=b=t&2qi=v zv$kxYn>0)XQOa%f=KmpTSja)V9K`#Z$yKrp@NJce>r-kIFZB&&6WxKXvZtR*>IYJ6 zDIwJ_R*1V<-_H3#hdh=hh`^Nqo$0*i(~O3;0u>I25)%msoQdHT36^vFuh)r}L`ClE zBk?Rx)@>wko8%x>DAKoen^$|aP*$<$a?%O2$ts1T*bF`VBeo9NR)M0aZ`rjdxaiL@ zhS6w7FfodApind=Lonwf8mM3v1Ea&iHetHsdpiw{s+i+aWMOf1xd1nhM@wC(Ux_x6 zxoPzk13a|rTTfk$jp^#1a>#rkhW8d;aaS+49}#~9k~WFB-Wd9Ch1qgw_Y;F<;)gvC zk;IfuQS+8D|o7k=t?A<4T@8F!?GxPcXQFg+=RbCc z1|Jml6|i5@W;%u%(k2=F^xwC3>~vJ3>o>_6MtI^}MOh#G9^fy><8KF`sP%*C3c@{q z+dV1F71#RucC7Uyev15HYoRUFdfr01{S8=zPt`LauNrz-gS^_KR= z=yOw?ekQ{6=sy`@P98*{aaRNMW)3MKn%_2c_fXh?Vi3>-K{Erx2oIHMu6?w$H@mTB zas^KOA+ExQC*>aZ9cs&M-#_p}CcyK)6&Sre^8gaO8v`>H(mk8)*f`#U-w#4o1q4;; zp9DQxI(C73hP=LB1m|D2MZn)n?k<~{V(7yFbU9S{(S?f1lf!1Ukb{n1AsS@y%ec}^ z5h}&hn4ixcioq|aF1_NfM9BTFPAj(`yKiaOeZJJyEaZs z8jfN{E_@)J;B^;MZMjNxkV>C;IUN6R=)}){l|uD`Jiz}W4{aCZ;gWpGv1|kb0L7`V z5lrPW4Q~62z&0%fMm2xDpcsq(-Jn%>V+el# zXG*?o5`2ROhV5HIf=H^aHHM3 z*QafVz-U({>FN%iu)I;LPe4zj(zOaUN{H!?F%+QHx>^cQ`7rJAJNHUq@zx$xOj~a! z1v#i3_^t*Wd@Az6Zneoc@K+&UV$M@F+O16Z)T)Sp^XEw@|pIk4aIzpIW0 zQ$`Fzrwnd|rc;2-(!bSuxKy?A5T8@4r}i7@_N=!=M(`)tR@?QknMzJE)4w1BzVKZxaR!ot-sJl3HGG2&au%=SlGc#X3 z7BY;L5sHu0gs8t&ElRSXthYJ1su<+;n@qr>-N{A(e=5-Sb>)ja7X?Vi;--L&n)9MG z;OC-4=1>U!wZI}e0lpL@FLVq}a$nj6Q2RE3+VzQWkiu>Uu1U&IrXNV~H4^=36f5sn zJez~Qfe#xV=suoUq=IZ@2Y(dUn{KCB>3BSJiw5{%wlsh`D7W3=)&Q)m;RtNdNJXs# z9-=L;QAm0_jZ8@=D?eypf)*DKzoRj53a;$PsU=2hK{Ba0+y0}DEbh@1h3391LfkGWCX?Ocp0n||LFEr@!bXY(3P zi4ubD(-F%;_99;XbaIIRoVSUo`TYwmUZ8H2e4=bM%6cC0_t(o4rNInwenhN!imZDm z^yV&~kKy7Q?r9xuv-sjIQoFW7--r6Iu5y3dwLNRLW0|Eb06xt4M!en^pd|{VTTM9v z+-po6(Mj6`LtBcu$Ib2BMAIjO>j+iEYxgsCJ@%}4c(^$KK7z>+j8zG6U)l@sQ4%^m zrG|uwlo(aFDgd<>ml|20rNZse>d7>HZqjAl3eRU8Z{a83Z|Wn$(g7g8@KBxXV{2Q1 zx#FSBpx{-C)f8-aJUq(Mk9a$o0yG^f-ESg>Kw+ii56q0}zr2GJ7Bb#t8T|=HcxrNZ z!WjVWXxS3?*4;MW@$8KXUSj=(-Wv$hN$87pZDiK&qmi1tLsVhzMPPF7WrZbccmztG zzow-FMYGbAlAo3YfAe5(hEk5VmwWd1Y{+HzF0lTvIqqW1L2cvl65`h~9ZS`t#_kga}v6~>je z8waE{NP<0(`xV+=0j;8d>ImU^j32anB@3AKnG_;|iTZH%c(i1N0wj(<@=tPq%Ryw$ zI&b9%`M>8G&%|hE0|kNDvnReh)sIbF+v*8blRz!xIJ$&2vmH8mZ}SdRV0bVQN{m*qHONR%HG zWq9NuW0rkU0^|xbl2!#+s$>2iD}6`+FY)qpxo2-Am|~GAoB9&lzHV6cZ0|MTjrP{e zB(({+HS^Sz64S4lcIx}T?BDSMq4xv!UZ8R{pQNfDFX<6RcJcOQI6?C+xYn9aAYYYd z;XU_s&P5tL)t&%!f=m}OV9|?%6PRNuFQM<<-3RIg7Ma_VJfxGX-v+v)hcpoN9T@f9 ztvddE)zUfn@0itth$LQxWv@e}lFeSIT;MgQ!HPJoR_-8Ode>YGv&Mx#&&Ue}zqNDx z(c+S_znD@I0BoXr2mC#t)TN*8T87?ebHk%@XCQ(GXvquxMFUk4v(4*@c(UDtE0Wp4p$MI=Yy2ZA7(5#?y-$}+TV zZZbN9x;1RI!C%?&x)&q-)=QuO-Hd2J^@iIQpK*!S4>`~ym`-oDP_s`B@AXX|w8ysQ zRm=jFr`Fx50t8dosL88UzRLTc>NVV&7G$HL{vNxgZKQRz>cM-1TL7b3<0V7GzP8gi zbY!4$P&s0Bnu6o;gjD~pq5lL#DOGno`1F&Hd%)^T1q;#k+f(>Cg2CA(x-G%|4!5|9 z%I-4#z0-$OayfhJZ9rwLX1f{t2Ch(p4lYB*mc`YE(YrT>_6Dz_VqX-WsGD7EJZDn@ z&V3%9!kGiOFf;L*ZrO~4A(~(z2>o}YZQL4jgLr8g-6+yEgwj5}eU9-^SF)ypsCzsR zT{i{tkj=G*_L%D8n=8u;=gG%E%+#Y$(3UF8)&Z;|KntB)U|B242{7#+L0Nj&iJx7N zC96hdmzel|Uhz3j0!UbE;F1OxofIA1opb-kKm}B;{Ux++hljX9rm$eYxT|BoTIe#j zs^-Njp9Bxz4)|P+ogxP%eTIFym0fZXHCW$hLC&0b{CT7+M=DHSX%%lzzEBCz+?@vM zA->(WIe?f{ufVxC(@s;<-K6k@i)g!GA^?OfgCMrMyB*CHKp{xY7)b6iWhMX=b50ls zIMbaM&<(VaT)ev8Oe#y54a`|zc%q{?Eu zT?B*baf-6*l7>nD;_8j*;UV~`4hi%~AxXs3bTj{fI(E};T&c^eXY#~qU6EhtEIioPKwobtWnyo z7$qd1Bi#mq4;ubP0JvvFXJ;ElHjQwZ&C}IL+vjK8jP8N$zwdAOUuW_~o<6bdS`i2` zyhg&K0G3HDr;_;Z{NI7(kMApu_0FpK{4-SB;hrf)JDY5e2KQ*E6=_tyuq znhe}!<8&9i`lh!V$EiD8EvPT3VwE|@AM2o4mT=}ZS4VuRF>wrA^5hS#XDsP3lS-B! zXv-dh>?p4s(Joa#!O%IjEjgEzAGVCNwtT9f3`H8itZ~O7owo24>(6Yhh(D{kQcpb> zb-HoL!{iTJ2?$+W{IDr#TIVYsd5EZ&y?m5KfMDbrI)xUIoVtw)xnr-t9uH;Q6KP@2 zKW&fi)|IkMZGg}}_c1=+Ay-U|Xfpe|^2`2K&ArW#cz&%0`K}GYmZUUaE+TBe4bf#Z zR(?2Muj;=j1(!_COT}8mbIn)i;`p3Oj;6)(?g)d!KA}T9yU5L?QfTsYgj%M2tpa}` z2oTld4JtaG2FI496O6oMa_U0Bvz@!tug5>9%=El`W}mR2UNh76(!sH#(d@5kTZ_or zjMm==ZXgjHk{mZ#ewh67LCwMzg-0$@&i6AE4I44GEP!lvZ}51{ec1oK-`@15+PazI zau(q?IQHuxY%#9IOaVOkKXI65jX6SbxyZOA5bf=a zNR0`)ks!h6mKpnQ4f++wB*jgk< z)9aoUT`7Va!(;&(22ylZ^$fC@0~x?%;^agI+(wL5e&vq0ZlG?Esm2M_4YLAG6~Lt- z4yDK370e#SbRh=<;d@m`P82tm6%DhS3rIzHV~$ZHtaEojhEK8;11p4Ep1UtHnmGRTyE^SY3dyPcPoBh+LLfKu4qYfe98_+TIRL!M*bvrA z>(5cmBC<)f`;*J4Ca|GD?mECje?mWR_OueG|hNxHpB z%KND?`!L1YG%F;auRt!<+LSI-e!n28N>{2})h3V?RnB4DTyewGM+at?e)_s;CB@uy zvdxQex16(8$jb*qw;Cs(PEM&;oPsU*^n|&9 z8gt?o=nesRPB5iJY>U*Of1v3CJ~!xtAPvgQpjfGVtG#i5KN{PHQym-|v;8kL8@63e9Y3 z`@bKCw&MrfmaAYjk)OaKK*gpHn;1YL+%g=J!<}O=;8yTMNgmF4b2D0U7x58YTVuYF z0wR0BpHAp}v9wRFDY6w!6P22}U=vn*(jC`iCgC*zctZ?JP7a~7J=zL^N;$UTEM> z)Riy}6!2>fcp2$3DhqkyvOsC{M)TU3$tQq`yloDW4BRn#Oc&4|quS!q;x*Xv%?^mKmBh4fhF0G1rW8xd;?n^?S#>b5Srw{S5KmP|O?n}x^`PA9!rMExd$ zQ5vCJ3%1z+ta~#0)S3uwQoRUh9=*F2qO8_BcDE<{Evtu8yxW;jrkC#D;}2r>AO&wT z%yDZ{s4iPl?)DW!0488_@*)azBi!Sy@(T^%aPOL#uv9o`+b~S93WtnS@`5=M^rhOOvI@18;|W|8^WYY|cf% zi~Xm1kCfT~#Q(;!NRgzHYAxvf1zXOUC!#IfQk8wqeGh!qN67)RCv&SuJ}hFiLl>uN zycmu!^K8ccvP!x@+S!%aUqAVuzD4>5#)21FH&f{5gLMC{XfOPe*QcqJ-3sX?3OSJ* zc&F`?lP3O>Z_c{ZNeLY(7#yvuKwXVet#2-ZM{|yATah>ccDJ4YH9%#;BleB}upwyc z7AtWiifVoH%M;EQ7sMM^PHoL_s%_&BhYb8If8PY)O+j_^pCYoHvzPG&9PQ@uB1pUa zbK+|G`bZGfXU`#6s8$~}x>-=RE%=&z8F&u7t~Q1NkbRL?;c7PWz-u?-?Dad|HRNHs z*0oae%US#Cc2S9`(vB^$%|f}aVy{#K;VI!0^aAn;!_;-nqh8P1SB~hOEH_LxlNKt#5&U0(%)$TH49%q!eMhGJl~FGi{|`1~3~( zZAoS6FZtvA0iCkn%Q;_ymqkj;4-qMptR^XXK2e##K-bO#og+=OMF6>dmI|!Nd#Jy~1#pyqej} zJJ%5gt2XTEEhPdb`6?D#(_r%2a7PpP{gYLT(`m-$AM${5iGBZ^Svo|Fgj*_S_H>r0 z(MV3?;(FHB>6!%vo9cAF2<*F*g@y$gV{N&os@@YvpK*h`3x*rYE9G*HMaQz6r!oPs z=&g_%^ICS_8uNDy-3Hg7z9iKA zShPsh65b5KMrWU~orGo(AiJ|d5fx|U`ypi9hoGj}>ILY|%VkD1Y%%U{)x?9mFXh;h zpS`|0W4zz~WOE$ynBfF^Ca=wz2-PKVFFNSpGWQ`*l=y(iUKh5+dp=qyEl-weCZ$c& zYLaT+e0@U#@}j#e3Cdt5wcr_uOxgbpPJ}|uq#!1Nnm}Gs^FTYoOp0(La4u_1-BU~Z zJ8+Wh=y%Ey5(Z@oZtyR7QU0>+>KM)uEYkL-gS`0hUyE7M$=?4D9gimpTGaM<9I`bKI50l54;y)M`GbrPN@qGUx;6$!8q3DnGC|O@5g|*y#}(! z7mt1D&YLH$`k!pI?{QjB>%$z1CY;*?Tl_J{I@w&G9;;0p8@K+-fWQIq+QO3Bz#wsf zY{I9a%mLV4B@v|uDPzC)8@=*Vfus&kU_kW)mTGv78$)6biDG;=UOXBVzj zbE0RPlul?q4D7j!w>E?=IJ8{#qrANpBt_C|S2{ql}X%xveujK1aX{tK>FA zkb^(&`w4%o@TyOME|2Q~iQc9ZB4sso!Nlj-ZL-tqJ)wD3u4OcJ0m@-j&FADP(vmdS zkS?OM8A9djWc8ZB4>*7S6LdNO^2Aug+eWdB!DBP=A?$phb(5GKp`<2uV-jOl`#gFi2+#X`f_^v%B(IL4P3zT z4#GnJ6tr$}pWLhaOv;Kqv=;2C6alOL!0Vy-_H{jlmwi(RXK^CsDJy@0jpV;+3SVtS z9E-GjpHii%tvJd9{zraqZ5qkx`GY%FcC*`}<*VZ}<~pJmqKi^j3Nn0yairRML-Um- zy`Ax%!4`Wv!;CIkx$kIQq=%hD1dPiOQ{KxZoJ8aGhF?FQpi8Z39V ze7b{7vNhH~qIlnJ!pIq{`h5_FEywnB_qODXod|-15hvtle`B`{lfvdHpYYw>92C^m zlH2U2Q#V2Y784E^C`NL4DyM)To88Ohe%G)^Xh<$%rEL)Q%zogg?pN6 zSrAkg%MM87qV!%DcBXEcDZE;^Rv)RXR3oo6V~XYgfS2%JCE9Kb*DESUgb-`D$?e_=2qz+edM)=jhYRO`wN zgf1GJSwU%yR^^A*E(&xjsHa*tvZ8dC$~kvhDe`^#V9SZ;23vdL(_c9Aq(zCE`O+hF zh6fS-?P#|G5fY=#UY_IU=)5hk@Q{pSy!nPG6P#CgD|#U-hj$3JoPg)J8(Sn-Xb#uD z#yNgAQB&mk2*@9F>@8gC?&N(0&{5#pE7=t5YqC|!fa1KbH({M+L0l=pDy1aTG!-M= zL1^2y=nxF@+Zha<*n14w`dXcb7Bgka+J{Sa!Pd&Ldm^v$UBLO))d8rARaLzE>E^!* zv->C@Kzi8;Y(|%d*P4PW=n z>q-zT9dlI6qFYl2#GLDfm20vdVCZlZ5PcpjPj+bL2xfvIY&dJ2e^M6_{drx=?AIW` zdS4B>LEbD`KHanH7Ykp6rsOfDTVHtq;5*#wK+iTdod8z|tb4JR9r>Ar-(&yC4L9B+ z!;RBgFB-rgsMhP^nptCT({tM4oA$ zt|3U(D?G(Iuy)}Oaw7)F(d|M7y|loa(L04J;y28s{LF5kZCvVxbq`(}<%+Zn-wjaN z%N_5G|HwI4Aku=*1W4Gi1(Ru@r@HheRf<_Q0Zw0~2E4e3-K3-Op7+%jx|F=6fvFJr zGLla6{H7Tin!ml-2UyF=&=0Vd+?G~#Uefjj{esJN!1~LvGS?9fEaUbjf#(Cg>V&@D>Txc@?fawtGUC#K9E{ z1Ym^~gy$2w8<%-qMe2s_s;WXTbh(1rhJr1_5;cl|(JIK5#CU3kX553k2R_Dze``GH zoaeN*d;qf>0UTZ``R9b0gt)$uLhUR_ae*YB(tEo$V09)2rOE7Xc=M$|eo_#LT5(ujN{`9fLLJ(5& z874m9xFzk6_5N?x2|PHP&?ys=Dw}}hJVIor@dAts_q{ik1f2HQckq(~l(K+(p-H(c zT3&tD3!O*kH0tct#d(5lmT%DZA~|)OUjlNBUNFiOg(l$|bJN!%hwbGY87I>~-0Fl< zUoaTFU8IVYnH2m1A7Cmvke7~B3Lt|3#@DF@UqE}9t}6k*F3{pTL6^URY5~Nf(chIM zrJvB`Rj7h#6OG$zJ%OS}xz5w4@sm*;aUTf3t-_%O0N4iw)@JPgo==3n0g?^7z^5O8 zotDtk0c7D8bonfCZ zD}0B@eTKSL_ra(SwK@D82Il8gx9Ot(_}=>#LRq!UI+2=TG*w}?h0~7Q?#QhD6n)2t zgj{1&BugDrIXLb$sMV4^OaKUxO+wsaZZa^1z1)!7B0gADShi<1WpsR%4O70(^Uniw z^y4lmwI!mCp;WhD^dxG?oHt~So7m+4P}i>X+wiCLa(0Y_rNU@WIS1w=P-}_bto)ds zYWyHNde3jb?e16l9X98>OcZq}jjr2Wf5w5DyROks!Ij^Cm9syg=s5ZNSX7XIW+dEm zf;KKGv8eCbCypl)xq>Uf!?ba^201j&#&o4f{Ee)!v$I?{n*zI@TZh4bP#yF83pAIo zjDCw-Gn25~?~^`-)_En(d)>|%>pX>b1^Z%X(FT$EWhv%?PwW^+%)|(EjR!7I=uVk= zCq(L!=VDe^=rrTi4`?^O z0`}G};jhU9Z|Bf8<~mN%`FXlxNLGIIpK^|?*AT6C#&n{oIyU#pq6Hr!aoOYQPj30R z47r`R-#B%F8o6CscCKUQ-2Ij_-s#`&xvnc_=`YO)lrXGfpf^&D8w;0-!ndPKXp)e^ zUo&Bh2O5C-{9`W!6Q1mHzyOCYox#b1B|F)kBnySqcZ2A~=Ly24-S372tzgFS)6-m> z<2fs@v}Mt%swbx`*|jQ*rv`liLvDFiVHHIF0k=GKSdSK4>IW0%z{cZ{hSCgM}x z(zVES_N%XQ>Lp5p9>%nEk#hmp!voKR*Y18YFISA1)N!dZT_Io0s+n1AD`c|#<%FR% zws*tO-c|J4jWLsWx~zadRVjac%_%)ViO5;PHohY1updkuOCCJiD&*)YKbvuk3W~c&g8i`Sx?Fw=>tq)rEt2 z5V~TH-)P2ZEQK6VB1MeOb;+rqMMGVQr)2Gi0J8bh;P+C-)Qc!i;KFr}EXWS1Ym7gy zgdgVt%W5yRN|r|Ju{Sn%Aom+^E2@gH-P>H{I$BTT>+X5Qy)_%GAPb@qk!|};Oi+D6 zI@S8csXK^skB`Dww+P;8R^P!0!&P1ulvIG{gNkSaAxP^P=s&X)SF1P5J-x!K@oY=8B_WJTZHm*1{>n0OOJvM#Q|<{WyHeF;c9_@NTHgx02! z7PefZyt8*tZv`Mg`PY@}xe6%$KQ?q_0O!a3hOIP zk1~?k)0VC8csO{^VDKb0n|1KPKvPx<$;hB#J1~%Up!v{I_&2W%_TY8a8zUJeMe1ii zXDRaXo(M20tH`=Xjg3+z(FvuQT^5zE?5DHeR##p^D9cwiOsl~kRZRYVdWZRu+mfh} zYN3IG%hLzG6I?N=^NI(9N90t(@wDll1dj(~8lhTWc9Pu=su)8;0v=2tkFK4@g@0}0 z;)IB%JUec&j-Ydvr2n*_^_9QKs>jNI1#RM1?Bgs9^koa|R*+%!b#vxkDTM}av%bVX zYP~L+HvLAFYf0=`eR}GTa21>K~ zva+JYs&9YJ$%<2yH=<=crj;7t#yRWF1j@7etUP|T^S$=9`0DRhYc>Z=D@usjRh!Fn zzdwY?@Cy70VEOdj*6v1_*RsQ%RW~=BQtfS-**W2H+-{6&r&)pPjV6!O@MtZ%sc7^K zFJaswWf6W}@>S~Fph+ahoPcHa*z4d--@{+mCcYgp(p2%$M$(lTkL(EqjSGEmziTA$n*<*i+h%2+dIOXRwcQ4 z_x@8v_rjx++T3@vWLXW$73|3zw>2pqU4N&~QRq2c9N5u?zD{2tel7EMt0;l^azX!l zrT?>1uV%aDN3S1o(CY-<`NdKmkYi$1$;_x*x&1GEPH2%iovyW$HJbNz2NjOFWQYBf zw*<;YYY<($BkHolvXiD|zt;K9rBPy1UW^WVOk_FKwEJNn%)v3>YU+15BFC|hV!W|9 zm3kR@bdFzEh$IrM$S*~^!Ot=?|J%OB=K2bnw>WbR^}`xK)b z9`tEd+7^3x2(Q*^Jd@0fQ;?P6@#jJ_DDtm}_zVR(-cOQGfI<}kXY*JmKH#`R2zglP ztIrz9a>xC>#$e1}{GD2dy{NsP(Nk>7zaTV)jJ06(0-1-tn(bBp?n7)h^jqyst_#P9 z+X?aevEaq`?rcS+Q?X;D$+VY`$P)*pU@UjGd0j5Q`#y3e7Cm$FUBS-WAa=*~F_e;i ztJDbWZOnfv*WAm&)D2A7G}`1P^tk(;f4Q9kw%oy2HSIe68F8xtzLl8tWokbdE*cUhjYL^Lu*Fa8tC-TkL8Cfny60+w3wWE_{%fT!xYZdycMR*(yzq$>IHq`ai=&uga8E#Z6# ze@gbrOn-N40d}&kgE5vj;dW4S2UfD4^+YvFdS&dhY+6Ax6>JQ~S+sXvtZOK!er#z(Bl_F_JmaoQNiS9^_MAv8vHut0L2wQ2e?IV2opX4n z)|MaeoZRrs*Cy7fQvbr#! zTANdcW@)fphvN)W-*NmmtO1Xi*{w~hAD6n1^f-rQ*MizPIP?x)rb61~tUc*++gtyB ze_}t^TK#nQ%e+J+omI!))yeUz6iMl8DIv;r@^|o-n~c_Vu)kLnVK@_B`=t=T5W!Pg;|bj`}}!Q-6RaQgtID&$q#`Z49tj%4K$-f%vr#iKdZ zH@0M3n~5E|1#EK-V=$(;%X^7_1LHbw9;~$%@pLU{UE(Q0;UOFf; z{99o@lOc7L_MrK3sLc^iOIz0TdX3O*-`RdwE0o5=2}-Iep$NWhc4_uRPdNjkhx4Rv zBc%&prJZ^2O7R}@u+44nu5|jN;Z_6gMnVuQj7ebNy^SE9?bo;!nTpfTB+aWTlf0Fo zjvjV9b)bM9Nlo?pr}i)B`b4%&UhIg+gxens&NLP!O&m9^F=_zM<=VJnF|k{x5>a;- z@A8{nItG?~53$B!5kKe=z%n+#GGxck^sQoaZUqjeO0zsFUu9hR=@dbsU^oD1=7TaY z44?Ngm`vwJ_mxo=t_cj~Lm@ibKesj2JMz|_YqVFs(7(~mEN<%>^Gd=A9Jup#?%A8D z*U-FzI7hMvR?)z;>s%jnINHZ9E}P^PSSeh|i4Y242VMqy*w6f^yg1SR@~v0c{~7cc z7$h+`B57KKs#MIN^WF~f5WY&CArZg^j31qQAjmdn1ieeiro21w01)rL*N~A~znFUG zHtn}>9PPE40#|7>fR_N4k5}X`upRvGB}%$;_)Oc^MFKA0n%K2B=%8X^*lsFg?j=`{ zT4yu0wXXVJl=!K$I-N}a;-RIy|Kvu+E3&&J0?XV1r2XGzq&2HA6?gLh?zbulsCen* z8Y5Y^+s?%hWhv~_oJ#5N(k{TAW}83mojxqcQ;MfGilG*FF>4pqV{dMlvjSc=*nFtT zjwK>|Ft?k5wfp+3)$Sbbk{SlvKaw6fs*~;iyOiYrU1~>NkgYuEF7!fZ!0H{!5?J~U zayix4^5wJkrv3j(iq4G&6dk09jbVSt{$h6S89dDBIa*)wLUkwQ5+P3(XA$pxF6Jbe zSsazOHpob={b!W?x+24^3Ul*5mMc`FhyH*QtQY0P?18E83=Yp=pa(7UL84GWwwwa? zn-XjHGGC2Wo_w_eyuiHAwQ;8CH8{)zr=LH2oR{GfV@syLSJQe>)dKqOk&`utV4LHq zv(H7}00I7=XA3WOZUgT$VMUvxP@xmlndLIC9ZZT2+gZK_@jF&;n{DCsXE^%<9I)|bnriX881#p$95m$+QX_>t@8cQ6!Dz04nr%?`DnBr6coEPc=Om6WVTVO;9OwG)KIz37x#B#JHA-MoXtTi^V@~=&Zs~LL^l53(q%+|7b|F4YBaWelS9Mt2q~mK<7RCJqX`!bh;p%{# zeXA?`YO%REcNISGb$UbJpbY6!B7}5g4#2zb9ga8Sl6F?w;W#esfb`$o)@Se2t_$7aUv3xWdkL8JW4jN|%# zz5y%qi*-Dp?Kir4&E(w=m!~0bdKLPGG+hQ> zqSnVxUlCslfV7|X`kx#|_`i+-$fr)L)ghoYXa8Pam5-M#{AbmEmh2BfS%zB!-JjT! z)XpIq{#j7YSB=r|Lj7jX=F@&6q-~+6nBO=qyiwPUqt??;r579$k!w#r{P_@nVXE=4 z{L<@{;-bgbpI?Q&&Z6By#YZ5`5>y}9-o;Tv^%)oilad8(=O(-R8F_OOUYskUwXF{L ze8?f)$HcMdy&=*Kt79@6n$*|I&5ORyC?}*Ms^TdbBBA2>$>B-aXu$sa*T>@qr4K~D zx0JXfn6;!B3qISNVZ7IeY;bNtHB8&Cr|_}S!_C_9Z7styT9JN)@sLZSRnnTZ-`K|$ zlLd)diltEx5`?PbxG#9dm5Ueu^ZWr#MS4LcBoMR~iK}ryt5&6d7F=r@*ua9@!*t{C-Wj-7V>yZOAQSVv?iB%3u+L z{917&neQBNxXb)%aLyBeylE83Lla#mK5@Vs-V3mX zPD0@p-uL*OWGkYbq=d_Rd4hT9}y* z+?6vsxW~Mbe#56KG)MZH2L3;9rv<`)WCc`pUHbZ3+a8 zYn#PkGs9=cA6*SKQ*yZTVWD*Ej@WKqT}<}I}-!l4!-9rU4w@b69Xr--|JA9Uo=9<93O>$ z|8{z_Q?}PL``(zC%V8-h!jXOTwCUb1NAW^kw4>_t^tRxBCb7`%r+U=|6)}7a4jK<( z8L1C+)wPpPt=-9@@T=0Y*@s_lZ3uIKwV&bxQBcbZ{6qZR*7fh5d&@4dYUS3I6Rs<` znA+cp8p7R7(pNY#(j&5a^g4Rvz-U@4X7)C?pJH!Dl&;ecUy><(8}<(i>y>2)hlCDH zHdy_7KUAi9?bB7Xzl)*cb6(s{y8~t_P;8xM^t5B)>Qniu0G4W}>bO5Mjy z44q8zgGYvPt$6QVkbHT4uqK@A8OqmtWQzuHwth-g8@)@_#i6J2D(oA*&iLf6$&-7! zmt7`3pF-cyw3!J(I6Gv^Lm})Pkn$%l&XH8J*#=U3H(dq6i`GW%brSipk8vFHg_|H&@PbS7*uW*;H?#>e_1f4RA?m03UlE&l28}U7VyPh@< zB~O;cFMh;zw2^XzKqDhA*rgCDhMYfyv?>;scEJ-y}_iBs8<>&R3FKxX{&9?x+yXY*gQl z$+d&+`iZ9~zmz^NPqHyrm^ezu)KQV+LZeyDF9+^yJ+Z&;@o1H$J{{=5Y`Wf~llc^= zC6a3`V8fyZ)^?bio*wWV?dm-OcLD}EBTDy0;MSu9>5!-p2tN4}jw8}A^Qywp3meG= zYXP5OC#qC?^AMe}M zz2NdXXv}AnM@v!0oUGEGulTOy=G+++xe%A#7;URNN4lr=woh;CZhMyDtgutl>7Z}1 zIPb*Bowt`Rzy0B%HOHy}F3G#?rtc3QwdsKdH$ab!$o22HbBMTr2>eZWbCQ7)nGsHW zsBfcA>$YpW=xOuKY)H2F;>XC(MVu>t-h(?zb1imh_kxm$x31N`U%lJSrO_jM#>$RG z&g7u|ntz7`n6a9ID?V^)%}G0hwH0k7v&_nA;{K83YK>qp8g}Bo+oSEZruHu(^}J}? zNW;L*6x7%QSELSLe3T?@ISmt}~&!7PzNYvS14$j_@U;S7SA(tZ3=RSY%P0jhmLcgi~@{=2}&bcb;}f+M6=> zKb{N{qfR?Tat8%ZlH!|x*7elmiFPHAkv-{Aj+(31AkZ6a6K~FqA^}*TPg0S?;C^&^ zWsa|S;|U_2P7twP63UXwY<%$L#~47qNg?lKG8k;!a&_@Ps^!%21M zn+sbU>`)|_Z&k-e>A8*X0D6^e{e@EC?_&0Fuf3!J1*?w>WjpSIYb>v%#pDn0(V+m^ zTU26W1n>x+Y4|yOzwaC^$A!D~G>h=OF&Ced4@mXXYPR|#X$X}t*AhSO(rXV%-mCXw zl>${MnDO?;d9wqq?Up2rUtmp6)XdMVD;0W|lPNyt!qlYn_=PReu(0%oZ?*t3x^fTpMXB$JuDw9z)v8V}By!>o zjLuZ~wE^I%bJ*}YJr`lPOEeg6UV$;~r8D4~7upnnrl#rUNH2uD^lebjUaVGm?R-11 zaVpjSu5(2oRFmF@0$~TxuJkG~%HT-EC&2_X>t9FryJw*MMlb6d7GFKj3Cvbi)XfX&Dbvir^d{SB>2yF@zMQrc%Z zY#z7W9(jasSnw}&vu56jkIxQb%Lw9;-Y{wI%+`m(8%P(sZp@6r6*7Vpq&Iv;H+-G( zi^Caz*f|!A4Ac0|`=$tRQ%sFwIZ z4~$Rqe*7xq8Ei{M!P(;A3?LGH!3K>qaCesh!?8Ms^WXH2tEeQ~<1;&-N0QUnhyWY~ zj>BDs3|wqB9jvs`Je(W<9~@m@9YoOi&S_2k3U{*#w=7N= zc(~4(*p|K)5Sb85-_Q#z{y$V|sJ>wYbmnF;iZzmv)*rrIDficJ+mMSHL5m}~Y;hD^ zDuXwEi%nS5QwY{*1nz!USAm*i@h&V#Ccjn?0KAb)4RwoV{O?DkFUtJ=bvUV%ORGIE z)Nu~L!?UW9v!C7g0O6+KS9Jek;s3_0IjT4h)orXl|8AhZ3K|nc&U{m(tE-TeX+w#q zBpTQ`7VT|Z+fEfd&cc)|m*dYVih#pc7WDetl6wB4&`4#bl(sJggx8!-PA|Ub9p~mL znQ}|BEduXQWhVhBRByN<((lr#U`KvmmIBcDhgqObG!XY2{*cbF+Ynag6H! zbF(v%s#U2uhvTmrEs&k5fyS#zg)jnGG=D<9aqd0iZ}-Yf?fa6y5?a4FccjICql@tO zS2s*ig75vzWUSsFKUVNJ;Zz~&&>L;^-Yr^?x!dN_*V%tw1im$O3ub#ulV5|gV%-oK zM53{A?fS+mxcEt?@SG43UgAG^6`e!>cHc%1kWtUhfrG)#=!uciPxOHLiY0OF987** zB^N`V=DB?HT?-%7e2UJMScZlI!2>bF^eGE|LEMF@dsE|DcWPNd{pX^E@Il3={w>?$ z=A&u|tco?>nVO=y2d76z<0m@`*X&gIHoEvhejJx>azq1T2+5Zh!nN5v=IB0}Nup{M z=@s?Jz_3^7iz5F{EJEkEJN;kEu=0L$T~=YPzXLWqYoTu)216uK#xH;!5)^=V(MV_k zfbL86$bF<^Z?iP|oWdt-ff{a3aL4t`|Emx>41gLMo7Hs1HcpHvWP9!ecp?{*qxhYv z1Z%eQ(7S@5p#`lV7EHIXP5bAyk`$<>o^$V&@GZ^r7{A$;n!Awpw#ILdU_tQ56D7g= zdq+smW?^8G*I-P_mVZrrc8LE3gjtB{xsGEjG6m&nyBxIPoVAz4cb;qDHeo>U;w3G0 zljQGpXrdsv>Tnl`rhr*vd9p7baSA%O*dMcv-h!CCUnq6UOHT^v2?|;rGFtZe{wT_w z^TINpJ21(4)|0>`;SILs?j!TE{9GUL-)?cG}|wGL7e z#0bwfjr#i~`5m3zJWbMaQU@HaH18XvT1Gw0$?ZKG6N1405qnASQ^_}9V)TiPq-oKv zvABk&4JIb=4x>1D#8SX;W|tb%tX9mHuV{6`&Bwe{2CUnVaS6W}r5=%%yq$Rh0MEHw zYmu8J5-7{aCaZii&thuyzI;Y{?Dh1<`sOZ!0?DDbptKBm>XSwh1s=f~Xa9G>_)?Mk zcYEd^)Nc~qwQTj*m-xLhx%Qc8{mcg<2KDr`Y}dc(nU;Zzj1?pr7viy`=o4m z?SWILW&D}I%7ZSCJNA=RelUH&7O+A_?Ge~WVf(JNc^+bj{);f*JX0a{A&VZ>ATtLX zEdR%XzHVifiKWt=kNw}u*G4v7nC=OP#n3~3(GEl|s63;Ksf8^B)@Rlc`=H10EYL5N zS)O-ItF&<^6}VG~Y zl$D{D*7$@kee6A3S$CMk!@%a3Lumpbk%6O&&&{tLd;43AS}~2DsR+nStv=)k9ePQ& z&WSf@PeN?FY~O&wnK8Sil*qlL(BCX@9zm^-rhfSe_R3fP8nvriL-jc$EAPuPj zhTzmv2$R2599&3xro1p!QfpN0`Y0Cyduj!9g}q>t|G=?BOWL_3*&MpX*%)T;f4Ssg zHtcpiin)GF;^Ql4NQ6@VH^G7L{4tg}H9yJsSG+%+J;0yw5cH&faZV05)hao=Q=U2!D7Vz%<)4rKiwsyrnV?+~)G3^@NFuV%)bz`92cu~YvP#$EM zF=e4c6f@PY$~+a5D_qKII7=h@mYR2JF65Jl0&ck3PtE+~@jeGQ!?_uOPDZ;>;RS zf*vCO66!~ul8GV^X~!nR^9&;LvJd4;4ayW=R(TE9`m83>SKeluuaan@9>sn->a}Sa z=l-G!=?QXS9BQ7_9$)NirSR{(I$T+CyyiH$ezKJV(A5~}OPKIora z)*1NX_O8B=Un#xuRh;CasVyITmVZ4ahjL}8c*HnEe$5hs3t+v2f=wJgV#8l!gI{GQ{Yk#FCK$U8vi|P(=Pr=jWMF6e{bAZ|Rj*$2hj;>p$EzdCtlfg!-&y!k>%ENyK5LO-n)4gw2 z)M4n)AL7!r!Ti|tT4%AxwV**Y71Hc2oVR0H`q2sMz}-E^@bpE%S4b8&lh~k#Gzb^- z!5{xsD=E--<}Shf4Yy#`)Lk0fr}#{XCYr@x7W35t3Ouz(F(H zB>eV|#;>`*f)73lKo-Zo_O*R9)jDd6`z9pv%_By1BL>e`{MD_AU)DJ$PR7;izM>yv zV4a%ELjlLt3po?FXSdt}fY{P5AwXbVH8!i>@OG=dK|*3-OW{9h@_tb!gTMc?5<;N` z>nwPR$@mC6G*7X%NqspKsH^eV)McL~jo`B6p}-F=DXhN<`KceGomgl*W<8r%zk)F% zmZ$9sfp(&24}P5kEskZ9HW5J@9lMF%5DY8raRbQ#jr6YnFsA>Xv27+JQ4?d$#!k^X z^kCodzCN}*ys*Pb0`*bzc-hHG0UbvN-@5lJgV%++xrNB|?d@j|G-y3yI6XQv zXg6YZAww-vBYZ2AmNT2ZlclI1Aa=bY#9jy|_$c~EucYp5fzT;xV7E)pNBmk$MV*$; zd>tkSQ+!^ORriat?5Me0TdIB{g(v9FabPv|`-*m~eG8EnO7$bjsv$I%bCcoQ*?um* z8b73k!~61}VMzv?A~$t+&3e~6Jqy}iB3f)dcoa8l?wSoAPaCRq-vzP&yvye1%N=Q` zJ)6ew!i(XKfFCCAcnGc?b+1L5nA$;AaKKfrGrwVYH|P4)iyoxu1KB(LodcN%w{M%d z3GO}X4Uet&~-WN;_%FIU-)|BKzC1ryF5}8pLi$|+8)zxbw zq;6Wb5r=S67Bno@s`7NCe;io$Apur8aDtEr*9kDl| z#S#$m9ymFDs8`_e&Xmi(DD%=<0W~Lr&e*e{Upk2EdB)}PDSAW$k)8tvHBe>RC#J~u zzsJgjZaRAE50*18eaZ1;rQAIhX{=if^b6z~gvP_zu|CocqMP_sA#5c0h{kOsqwcAU zZe{Sw>O%>0G1-l;C43zp<%%i{SaS`Umf1awE!Ai|A3EHGvD>f?jKjnxJ)5AGx!x7= z-8i}M`S1PG{p=T(zT{u#$ZjC!Za<&lpAcQS*8Ctm323#_Ki05U0GJY-)Qm+DU|G?} zOR)IU-L8RxN^NPgyiNtR-g4u_|618Uj=TPf!MHejziy!I3NtVO4ymtgFsE~xAE18B zn4*EYtLpMEL%RC}Nt>F;MnMKOaaUt*uZ!2ffRfl^NS}*#B?{c*+ZDn_GlFQ?a=r9C zeb)u5?Y(_hZbUiN%Ph`!d>IY$+c%hg3^avO9%SjM=jq<0%dru?CM=18M2fKRUNr3GZkqWoL&uKOdNENKxXa z*$}RWIdv+MCmy|=9+W)zB6%E%>i#VKRBIDYu$HF=xll01Mla#P&{MSQ_E}{6 zRe+{6VPr8X9tRW?{w>%&*MCXo@sRgFEYS`rF9?T6eK1+M=m|j}K^(MP#WC-%q$5Ls z%CKU5y$yeyXXij>j8t`7AL^)Z&g18KFcY5-Z{(v~y^rxPT%J7uBF>J-W=U%I@e8te zN+x2~JoAZeWu60zgSxK?v!^!$ty-VZIiS>`n_GvV>os`R1Ju1)h3R?+|CkR54Fj@c z0}9cu)n(YJxopvsiO=RK3+yM*-YiWZe#+#9WA;oCK?=v6hR;w$V$tKvekXs#nfI~C zU}`XrLLa>da8j z<2()9!p%s{rAGPrl{B7f89i@IxF7Qimc#t(yrg}@e@S!X8>v{*c=$TjkNpUMW1T2D z4)GLDpb_1m3Nf#mm6aoDqv$Dm2j#l=x56eq@wu$kNIK(PN^sQi zs2#R?;Qf;C_v^VE*7|5N0+x=ZJASC3n_f2G>!qO$PgP(CyC8_a#L~CtT-KtxkVvMq zUt)dU8VTbH1)a_2Y%j&NgzOyCSdr;iM&uz){ss~vaJry{1k$it1_b!XT$g8Igz?0A z8P25P8)b_L{#&(=W?K}WCLG_b`wY6O;><Ibgnett3~EH3YZ(ZX4!r<8Epi=9K5^ z5uzQC>Dtm5CcxU}oE?;9Q29}7K)r==Ps&LByT=X^mQlPzkw>6_5!CvTg%2iYk4x== zA;;}M#HA7RGJ+Tr(K-;M;)$!7I_umajm0d^`+TK)LtS6_9MLs^IgmSFc_!wO{gAy= zy?NCG&Tdt?>+Q*YHTH%TvW;8b zH!v|4xl&MWIp}*%Cyr*0+1^}M@L6T!gOY?a!oLb*pLz4C1*HZgR@T5a^+#=@kg0q1 z_P?kY>(@UMqW?(5ShRD$Rt#dDTdAW_yTj72PMBcduqrK2G)Uk6hK!?dEYA0ZeI1b-G?r%Iqwhs#U%k+nURDfN?=!!C(!Hln8wnZ%TC}(pthfI zX|=fMYWU!rv&P1AF83f*?@hw5#6_CZOZb$MjY7Y)Zz8)qQ)7lvLHrU>i_87WolAD)}j2TP}*=MPZbAp%!nvr4=hsQ6{tY$)m z1q*4CnQG9vDf#GKH#G7p(e+L|=c3^{KP~-=w|dpWH0;7trIrR0-RDMWu8+8g91Pwp zY>r=zm&$%Wx3Il9E)m-&5F2c;^h@?3-c?E0v1Q2#2toZE!17*xo$cNP&RIggLf5y} zP6NlZ`=~S>y|22KTvCsKP&Ozl$tH>3Lsz5bZL!U>{!qePnfx1V6=$uvusMJRuG36z2JvBh zy#As#IPdKv>2RN4zeU6I8*V(j5#sr1%7T5R8`czBiDuZKXrEUlG#2@}xD{MTq# z+32myfjqj|RNw;b;rC#Lc2AqwWJ%~(^=6Q-cpLb0aMH=&QB20>-aUr6jy92Ipx6p#~ekx1J$qSB}p4c^g~tdjQo zQxu$AFxN2V03-~qN&aksywgZ@v*avcN1tQp%l-rnWy0*1q}eLvqIVzb-$(==W@$`% zaHwDFe`(EK+4VjU3FA&}qPujL9I}Hnl4Uh$E5u%#%;m9Sq_x1OQ>7O_Ce}l{oambS zKkc8B)Gcp@ebX{t-&!;ZF%DUBUtc?!arwGn(%+Wf)0U4)3Nd(F^-y@w_J%~0^02EY zUrCL_@vMOcV!$>y$_M5TFU>vp!CJhKGB9>|=F!66Y@MTn-c19`{2Au@!3vyaZXqB= zhuaaehRX2RdW>lJ=8~hq z=a)W&=)TUl1%g`IBn3e3($qeXiw1TTRTxmYfMG2P{b^pVeurOH%OvC^(FmG^LbHN& zmmmc}fV1v5H2I_A!NiBtb3ab^VlLt;w{M`?DG2nbc45JTaX7sMRA@5+j2NgIZH{}! z4RS$s)4%dSW z1ngPVaL$6&*!%Y-9T`714aW^VBV0)w#%DC_FlUP?Gu$^B%3<1Fj9eb=kGon||8~FE zg*z(|;Y17m(RcxLW-GkG7K{3|I56;*K!mHUaa+}Id&RKo-}#D*XT@;O8^T#^y``|z zxk4W%8^LuM(x-|XR}FHOC|OR-QSl}-v4WxZ#(gv)NolU${`{u-V<*3P)r|1ZJBucvZY_KkFO+v*9OIH`? z^)IM7wlV(?qH!`@x3}uTfWHOa>Y~=1ML5G3q)bTeojd$rI#xeNK3waVFOx)tSYW5B zdiq88&I|phWW?7dqQ@*m#0a+D$&H_x@h%-(S&5=xBlOeH28fV<%|KR{0KHIqQt=R1 zR^$&@NBz{Av@CvQ*nheq=;AFv_76RMM114`m%*2T5siKz-Ci0HMAsd)H&7YkPx(Vy zE8&oatN!TS)-f~sH#?|eV-0t$g(iHrUT*@L`WHkx`XnFHh-@(R;Pk#3<)m30xF9yG zN#Wfb;hS@9Xl@Vy+S5CIXWLAm^I1MPJsQ9qx$Hcd*%RP94>oF>NpFl6MNHA5OnPa$ zrEY+8*Sf>-RZZK{1wmAnEGjNk5*bhQ~cl3$Y{m4|XwUgi54fo+N z+ns;4Aa{2bsK_r!0||8F2Jt+%Yea*PWu)xh?TtSo09(6$sB;*4%#4{B>u}Xr`Q^1Q zQ}d1)@=Ijv&5StUGA*tFc}(0<+Rs^YSGNp*ieaY+2V@iLE36FK3$qVnn!E~Ld=VO+ zWck`%1a)tt^_Ffm-9y($_C;9SwyE$p0!?P_z?wc#Bve^y&w?zCd5LG41vvQcJ zO|jpt864R+in?)q#KX={R_XiSgf~_|xKDZ%Ziov)mp-;@0Bg+u@C=4sxDC-wSo8dc zW|3^22k7FlD!~<&Fs_!`M7zP%-uNoB_VguHb$9ajuK)B*a?CDDhZYFuxItRB6#M)L z50*`y9_oR18Lb9swmMA$3tAD!WYwwf?tcj6suB}+3)t6H45bO^F7Lk7!b>X9_gz<} zZGAE=b3Y+A<8d(~LlsGh(kZWTD*8||kdLR7okR)+e*AiH0IrZZFLe6bPD6dGBSp3{ zYpnir58VK$`K-TA2Zr3?b@2vqIM1ivng`P5U8BUq+*z(VZ}}yYub(hCAjqwnqpNsvx9>NoR3&@D?QgpUc!-;7K`F8l2me2)8ZnLyBaSEsA**% z()>VWC>Z=#{HlNK1$@;=Gi`jJWR19cq4)KwVLsqRfj+}d0kttc7)~bv%?ScaXd#eH zoNd-Fh=&F9d@eRXsQIvYjwJwk48yi7yT=rSIZXUk zCAaedDSeqYVi5d)AI?k^_5LJ%Q~afF1G6z{GspaK*`1oZ-5d9%Ix5ye^2Ox<5Cr_B z%6GbFfqc&4j>N5WA*`#TOs&b~{Vo52_Hu{#tF@7vZM^H9hVcvYC8?p^83Z+H(#(p% zRso`6i`RaFK{}hf#fx>K9tPH`aHQ@(2^LPH{wQ^~`^4n%HyX`tW)xwRarlZEUt9@8 zSB@hOTUp2I_?W=343|t3!fay3=fNvJ2@*C%854d3Th$0;o$*#RlCF*mw;m)2L)KJ} zzxb?WW=gAK<0cm7z183RwW#KHM-rjs{^-T4|MO}#58}jrg#-FyzM_glky{tc@A+Ow z*FD+rEQ z*Y0Poz*Q!u`p+~MF(8Oi`8AN5mNDV6npU#KH;fqJKokOeA@PP!2G57X<+ljp^!|>i z&)LbuO%A(l__ml$ZY;+vY5BqyuyS$3Hlhh2!}rTO(bstxi@6pr$8a7r*Pu3u|n4 zjI0k4vFnQ_%Bi9Ky)vRSp2l>kffj8A7AaTHccyCcixCVYuc$HT_Pf`t71IzT*Na=< zbP@z8_trVS%Y?7#>fA+H8}?VK{m7V^0VK;r$96A@-zLR|i`Y+;m}J9w;^1u3R)P)1 zu|PyHV*ZO#A~gCY$8nQb{Dd4-;GfGg-Kxy-{;Vp^{5@B-V(PQ-*RVzYi`PMmdf*X# zE$&~tl}Z;c0BuuwGm?Nf4JQRNYxT-|85$Q)9v6K&xvoa+?8+n_0JS48kq=@-=Sa1# z9ZvNsE?Xl~8SRG8k*drMTE7O;a5(}xm zq*>KXxa@8b4xW{PcBWsYZ}UMP>y7@rJk>aB~nT^C*3V z4n8qDmzFQQYW)wqfc#K$PH8Oswp+-NG5N_xG?+O8sLV9W0Q?9lAzBYd%KJ}1bT4td z&%`elQ)X|EoEpaH>S|;WL;pKQ&vaVP6(u1~+eq7%Nbj7#T`cMm{EOzKRxerwgsmp~ zeW&5dT{Ku;=UZV?4onf%-MqQ@KiFnj)2`bu#JyUbiwXWylR=|jCc-sIiR9BfMDNn1 zjdXdEZIyulDVLCT6YK*tww#!RUx)`fzo1R)&?bJthO4QpayM-ZwuG3Pe z85mS<{5SG+jLFp zPylzly?XnE`zOaDI?ZAxjKij>a8KzC6QIt(?ooi+QG1*^)7r@Kl%p;E3Q(Rtv(4!u zP=R4vAs(-HliA3qLgaBR{Gdkvx4IZI$m=+KRN{hfmA}rQ5mPO+On3D#&Y;zugngqY zAeL!a)l+H=uo6`9x2y#^jRl%?Wx+0aaPFHK)r}(I-fJn3foWFOR%8&CXYf`pBLxH0 zE53yyxu6@@*f$7{B2GyLtxX1-wCuW@w8<1I1ClTb7tE-(R&gT%KeaKFXU+gB#ZztS z;HF$3-p4JM1s-Sc{$&)5wXr*Q-|uw#(DUsv1G$6297^tBltcAFy|c$mC86&MhtH0lJS*|fZ7;jr z?$-#rDxBRjeZPjW6Vbcx?XH`MwXlrtn2kQa*yc`>y~xy^nC}8G=425`A@q`;+iqVJ zIn6v!c#W|2#1Zbh;bu2+oQBYr5L5D%41cfCLN4S|KI%W_$Kz%$=#CXF#~D_J?=|ex zm=gE`xN8JFMh|ejRL!{W>-Nf%%uhvaJj_jYc)V}~P6pUNtOdskUSq0wHrf_T{YCfy zw1%A<+5i-xcGi{D)>Gc?&qms8T*Zn1xPNeKJ|X`vp2Xn@^ML-EL*RpSHUNXGS>=>g zzhY^JqSBHu+{g*V&IhpC8TuzZjTFJEyi}@q=kq_64rK(=YZ*Dn-EcG7<9qs{XcS<> z@;~AtD{x(}0ns~y{btx7Bm&Y$&duxSSHuE$Whq#uj~TS_jFbcn=#q<5LyYi^^T%e2 zq0I1uj48!Xroi15AGcxwYgB^`CV(KVJ-W&~q|cTJiom?(i8Q`+(>$jiKymxp1 ziNoe3Dm6S%lDQ7|B}qme?7U^6?c&yL-$87{uTJP2wP!^t-+2!hftrrdw^E9tHs8s< zvjEl1S6ry1a6c+=A3jPo06iw7;OCB-8EKEn&~jGcS;*fcn<~EIk}hDAus^2}J$fOEM2A}YaP_YD(BlU8(K{94mCU=8 zSPE21tibG2OktXdxeWd+J@Keq(w+OC;;u*=(j*-cvmMaemV|;cY(?ch^PhMZ-WCJ! zFMvX}-{YD;t&{XmGWJ>FHNmtmi$c(X2CI(sc8LDn1JhaBZat>?CDTANyJopK)G)BZy z3ju~0Hl9kTR+-ZlOHGwcJ~H4oPeim>A7rPYmRxHqE0`(Af&R~~oL({d58PC$EnOc;Hdz={^3=Pipz^U0~ia$#*CO6V6yhz%m}}Y6E1K)XsPm z(BPatUcMEdf^a%5K$baLVSwm4X`-nWk!Qsnn}BEuUzerKxCCv?Ylj8on*zo9b;|@bj%W2H5E`ra{K;jbtN>0Z)d0h^J^mq z9Z~t|?{sz>a^V4xORmHEX{#gK5yOo-F|3vavFg1#xrhf;SC^m; zVE|FK#j&cO*Dw2OLFxCK#Nl_5lV?@!EKdAW+Tal#-K92Tcp8dW3UI9fx9@UTo>K`B zWZg6XT3EV~g$V%7dMhc=the%5{RlH>@*DKU@e0Yr*(CI<7>PoVU6_1pHQe^3Z;xE| z3-sj=E$I&hZ=S_sPeXA5iU8hxyWHd;Y4iQ|PUvIYZk_@}xvg<|y8jyyA2V~Be!p|a z3E-&x1z6F(pkDwFmOKon2O5}V1!6mu>hzlKY(J>A&}wh8T52)?q7n-#1+Pas`wx9` zNsJKNJfadJ$SY}Atts+#^^Texen*Hxw4%-_h|H%EJJHypiBvv`7)0tX*= z{xAPGg~TC&f6p3e9s#NSkq@0WEobS!d+1$Z_?jCjD|O9TvbSvG{!oP3=$Tg_#F`nM z`1EuJKk%?;adJ%WUtZE5ISmeb)4{eU4k1L(%Vrt=3>iUev?3hP{2(Fe4M$NA>Q{jK zOec5c9c@JK!2ZE>n?gNPu23Z3;-U-*L1j)*D5@`_;>zo+-UIJBtky=EPF9_)BC?C@ViBb~5Gd#P7s-8_2c z;@zGawS$OrAeTH3sb+wEZWLJu#8U+xwW?IFKB0f#Q8Fg!D~^yhupC#ETF3`y^9Qny z)n@4n7Y#feO@L({Mm2Nm09ZCyTXnGLD|j+7C;7%6EMWx#3_!8UyDIE7^MEOAc5Nyf zoe9POhM+FNZaOME@~1~Y^|-K`g8~rORRY)PmiL^^FBPW&%LH_dpftkPMm=Po{Ijr? zsbM`i%mir`QF-SVE$-Jz?r_8J@fbzqrFmGrJ1?7E*fOZ!DNiDON^hBdOx;qJck9A< zBy=ru?j)IF4>YD(;e;dO&_`QH1AdLUN+(6^RHp-Ssx2GiDFMX5BcQ6byUN3$t!7cT zGG`Mwirwu+?Rxc2$M$amHDJECt0iXJ>RmeHs~f;1<31a=E**gS^(m_9Y&?27m+3w* zb&tea+8SLt?RKqCYd$U1o#7Yfb6?%#U$6y_*c$c9*BpJyMg?R0SnB{43ye;d8SOl&bp;o6Zi?FnP=qg0)uD~g?YgXaeN z&57>1w!7j0G36cCgG_ww2k(LjLWqDllbaO;#Okyick?S z(2u7TJed|fH5T|90bG<_h*`Wfa;naZw{-6z?lk;Qt;f(>xrw#hc<1%e{EzmA_W4g} zkajF?^jea?tWtkQ1MriZAmVpjVEwcnph#sbh6Hz*jobl{@tX&5R2pC-Y?B7ueI{?2 z=%rJ@zfdV|4GM?YcGQ1(^ochfX(ec6TVDY>mUv z(#d9%L`l?+ zZ`ESXeV;^je&KBV1XD@4_gThg7D4VtASPEm0c~NzFiXWi3Om7$De_qGd%_ zU<0cXX#e`MXg1*NB;cj6og|ivd|&K`_JYyXDDrM}hJQWjI3Fq;?v0N4!}+m8_+b9u z0!6u-J_j9cJ5|W8qLde=iSwfURVe||Rv zzy0!==AWMlz%t`2$#2hMc%FRTa4-(-e4zGSiea%M_^}W}xO(UK zxPmTzxN$aSlg74fn{8~{wrw_P?8dfjHn!E68{^$R&+~ir$NlW?XYbs(b2#%oXUWSO%3qTq#`-aq7ZY`v^vHj4tjMK#W*!6;=RJCCh2I?szd+8YzJ01MPR{Akn>Hs3Z5A=*r>sGw zr1JCn`2)?p!!&a>m*P>ry^lt<_Xm~*kE*lFJNs$U%iu^Z6VvObxQP<4NNx!e<7_*u0)L` z?@{?nFOlv@V7JKb42lfJr|xSAA|d_v+h%5w7y3WQvA0W^47fj8C!twuJ|EaF3G!ux+SRs>P9rw+lF5iKY4bjUY;*NA*1aYCv1v0 zg!ocSgk|R8xS88&t246?FwQV0UTQH=Mds{rDNo~^u2bS2*_=l6ztGC^e>>cG`KfpA zwOuwEH!UHndZ1FvDb|CUn)a2x)$c#x5%&b z`KU#2gvOgvc7@X!4aA`fn0M9mym(CsDxLqIkavapt6K4@LUQ8(c2O3SfqBJZ$CQHJXMd5O}}Vc&ett>cv>c7vT+5?sqZ#VR4~0`Xj|TS?lE!nc}G^zHudNvMHt?wfuh_O);vB--jAZ}obcSy+}znZqkDa) zwLR<#mu{)sy9R1wiL2A!d(g3SHAON=^=*&*e98kW23dic-{?)cm^ilJ{C<=3Qywo* z7=`4fZ>vk+5w)E+Y~4L{n_~Ks_;(6;&niM^`W(FM6F#l(4{+$OUmprwb3}rUO0yCl zf{y{6%VQ+?Y2h$|Zjf{g5IR;dYjS101Acbb#)C-?3>3E5jlaEcCROUcfmtS@$0q~J zd^Ub!phVADKAhHQcpr5OR~pR3#7xGCVm<2w^<;d4mCbB}#nXe1@4_;g&Mj9yB4$|q z^LVC@<3l^O6bfzLM7UP?{;-ZNQ;<3p-gn)qJ?DITUW8{o_uBj#!``@bgTj9T1*)sO zZCYQ|QWASC(9trtW`9+&*IENIkgq*{qMvVqpEJijSGOay`}L-y%e!*7ZzFCkj}fDr zTNX<*IGghH{vWT}QGy?)LFNc|{Mb?J+jG4=!3S?~-~0uyKc0a@pSNGbc8Dh-6I*BZ zvFg!3KQ8<~1C2lTKRx5LX_17}?feLkN1_^=CdmuLR)|vzU>HmB!{%uHwtC z90%sMdU`^+k!K%|d$zCeF04LTq!X)L$Je(BNR#>Sfp@Sm`q%`5Gd>7&8j&9@xWs6j z-fv=V`|xv&)xN?nl9x^IfWIH%VEolLC(3Hf-5=Jl1f1SA_KHvU8&})=8c!WJ2l&)~ zw?98@KQHtBp;DaBzs%>>_DJG>A_XPpo433+c1;+4-b#e+2u~s;wr=iICK1{T`8!_J zY`G||Z)??zj6%M;I~=TRUuEX@T$Rux&py3w+vj>_f5PF2cN32xKW*a>qwDlM9=G%m z{_*A*mb|oTGI+aqK;+@RhN67E-*#-sb{c-HeS6BFy{8J#qFi_@5@wmB!v%i6(MD9? zcy5=GXd}G+k~gY?zwi0%&HKDrwoANo3g*x~Wv|GUeeA5>roCwcX6(zN?_c@%Ff6?K z#>gFlGDY;cHr{5<#iTDf-ufM$0h>J{q`5odlQG1RZvKLaZyxq3`o6DMt?FBW11(;E zRk7W^c|2v$j-+=HC9?9}=rJd5(Mp_T@AlZBS#MsZ=p(*FKaS65d?Taf?9hJKIXRwr z=X;m9Zi4Sn&r$!QyVo_h+4`t=V%NPvD}1Z__X?}OYcKY1*Pa=IbmQxL0a9zA-HcokU!k%j%?>TEx$i7zPfjnV6^mfZ2VrD z;I9c@w{L%c>O@*^LyGMII*IP_r@i%`_q)uLsr$dCHe5sYdCyH%-|t^_Z2$eFytK`r zTlKuJ_5Fy_+p35-9kVyH8(9)T;;yXS+6?&`l_Y`ra02iyg8lTR3_SMya+_6s+H$ru zt9wtslRy*zDU3$e_a}Z}bx$MYK@1IGCK(pqA)rq^HXzR~k?fmAjKg@Gc5O&BJ3hOj zU07(IzRteCgb1owIFN5?lFe^|mVFij_Qem0+~P+Ok;fhv_2ipxwvkEI-D;Agnxsi4 z1Vt-ks6yQEU$XU*DuzZfxvA4+i6tCGo?;1EOglXMs6XXWBDD)-2nBGB9qlM94H;(0 z$dT}pkjYUpY({Kq%QPnySWz&D1|vW>Vg_ZZ=CelIX;pcqsZgX{^$DvwdC@!%BnS-f z#;u^zmr#<^o+76%L6^fUq0GS{X<`gPu>u*X z7B{?tgU@e?659b4xY`|WjZgrj+ekCB4KYSh1t26A5Us3x$fx1(E)caVJS0sgtX-G5 z>Y-!B0T_hylSz>ah}X?wW{b!To1+)y2heEaTw# z>xXjaJQ182Q{+oFwkOKP&wILtOsvh+W&A|TxZfiDhq~eZGN%S~m`}WjQHxNx?xxi+?R#7Dr#ib|6rbX+BRt)IzL3bt?E zHikyZQx<72<$4aEsc_xafssxg5-8Vi5yIbuZ1oYF(c|MO{NM)ginnjP(ud2UGbPT56i8s4Jkp1_+2Np33^}cJTBC@wIz$9@T zaqH%GU#mu!%L}S;5+@xZVJ;AP*;TSEF?6k*Vk;?Rn-eRiQTsSf-Ty1l(zKzjyMdj( zlN<_;1$xirf`5%5b{f@)qO8G^U{`QG<z^W6M%a-!MH$&x8+aEc3KPKT!0^SwwMZKqQKN+uNw4a}Q#?BSHBoI_;2LNj%# zNGZ7Ckeeh;>|G&d_?m331d;J4nH)bhc3`5H@pT&dYhn1{z&RdirpSB1Z(_rTD_PyF z`O~*bEwvwgA4W{OX$ELyJj^&1)+zTzfo{0zPsB`x;!$jai?7edI2K?ffvMzAXz0|i zIe$jLovD4bx&GMg&kg?xl!BmV?jxsiaXJX(FnK)}%4{Ty$;DkpQI98Xmf1@p7@&hQ zKv4DVn@ePBaOH}t$K0A>rImg)HwgM~kGDeW)F+l^?mNTrDy5PRZwVOAZR(pqYi1|$;+#?Pb#2FXUuM;cJoT~7f{|lJd{w5x}PLK$t zz?%*hk|makzkQTZkK9|{#P1+845QRY(`R>x^!$!Q3v zdsp^#4~~KrKs(%&Row(XV2mj-0TZD>aslo2cT{WCENUA*re)g-Hw;oI<&M ztwm^;=D#Xf^1C^?k_!Z&=90}y&(VRBKT5EwK#z~YfBfThQ;Xo1Upxh2QD@;AlUh_t zSo>3K(o-d(`&OmLi)AJXGie^-vP%$ zGiZQ{8g^@T3M8~$gF@bT0wvKLNE?s4n1aPScF0WntE*sUrj$JFic zV8Esy@7KoIxlG-@{eOG>V|Ui#J&CGPT?r*kJwk*y~a!C<^&m{ z*uy3-ucX7plDeNrggFHQe5u2$^X9U%GjZ6cJJ;VuE)g)kG{i24q%-W{n4g`=OjUEB zjNL{6y8k&gZN1S4%?Vkpq}=PbvR&)j1eDAVXvDGTe4L#k9776i_*$>f{he`?h*}Oz z)E1&y$K0x_`KjbobYMQ=3^ifeYVlLnuzGi@Mh>V-G{B%$$>2Sp?GjOLss%NAK-+Y8|N{JrkAlGX)s~z^Zwrv|uDiUtS2syMxCMCR2x+ z0GoEU)%(-B9aZ~dv2VQzrb(%>O;#WPARt_jTojoj)vhS1D5BUu>9(Qd7`>P7CK`mw z+!T@X<@XA#6eJx#KzZJ3IMpBXMW>{aQuN>n!1Nyn!3OqIO_30LSu1<8<1s^hBSCfV z7b<|nnILt$O)Vy-QCI_3kWOuWJawa?7OW!hm=)&7bAXk0pK!p$EPVvq$j5#gmV zN$uf;jhntW+J9$e1d%q`l4x;Qt3A|Z;eYW<m91+yYpjELzZU<$JUeck)9`>M79$B0`|lnt9^RiZmGjXYq5b?ofaBwR69jzsIcW zv)w-T3JiFA)NRr)()zr#o;8npgwLJ00&zZRa|s4qDsx9mKtVX0jIiofAO?P6FCZY| z2pIFhjZ{ODU7n48SoQ(;*-`I_V5y2EH7gW;YqNP zT|7d)%7|!Wn&q=XTtwcux$j6GT*G{dlMx?0-t9# z!{&!%y>0IAY}l&Fo)Io-2^ose&NK5@IFo}Ab%J*W^aW=@mV+k=e&Ji} z3=cn5Pmz&{KvMhQtPoHTLkLS3O*PItY9gR5S(Jg1uh$p!?i2T^^vxwPxqfX36bt2y7Zaz&CHc`8 zZXBeT@Ry94e^dHVWkNfVQ6pki!DR@hg_&GzC{bc%G7p@MT7|N8FD(p30B`I7=}uC? z4^#q>KT~m!T7h`AU1F$dP1Pvpt8rErZkQwJwXl9o2T}Vb zsfScqkqxIek8yGM*geW&r&#A#@>5daq=VLbVB&+h$$!avS&EWRTjn(xK5m`n;+fbR z*45NiM@;Fk&f}dziP@6Z`;O3%R} zMH#(4CG8dpZt`^zcMCUjT2Ov*AZk&j+LbEj9k&0Zg!6e@^| z3C5~PcWm^O<`~`MmVsi>&*X1-)PNw5>Mz13^(8d}t(XBUJ7j>vJ66rCbz*0LIo+9b zRvMh&b&h}NT)}>^`R}>vcZjpLe5KXK#sTMdN9}8-sH@AW62S+n9rjCo)}TNj9^|Zg zAiLlON5xU2Sv@*ZmS<+LAGB!cdabpV_4B9gJdF;Z9p}qs)93Pxx8C;95wwZ>(1Bsc zf&gZ}SLq@RS{}|91wW23c1nL~4KEEo-3i+D!>iv_x;Sh0U66|0xJnzBk2ps4%5Nf* zenl3pf?dnr1*w^vdg+iB=}R@-FGQ2%b>i-tf;)(qA=l&`=%vV;iX6tO@b`y%y{LV8 z%U5JVmoI9i+XCo&cZo&##Ad|}Wi6%`7=hVrBzFPy?(dB%=Hd&$L|im5WnmGF`2G75Z;d&1(8N zS;h(f6Kv?$@~WWzEj(jIC_^nvvCh9Wb9m1AwJQY)G%v~$0{Ibe zA5W9R_sf~<2w*a}^)d)&6JTq=-4S7faVnl8Bs1_(x`YuU4#HenYgCQ#?|Q6%%z zoqoxSwVpS7Bw#*D)Q^i$_T3YL*h@dnjy#|xjxjF{?Ni{46kC+NhemtB^lqI$a} zuVan3zR$R7xy~l)?Dvx`M8>s9@PhClJ9)LfL%sGI#f}T~I;&FRl7izqGm5j3 z_n0+0oG(hXZ63q2w}Lmy8%}JY(6!Z~_L4k(7n@>JDTJdI@o8%!-MG7PQGZZ}8(Z|= zuNwt{~#t_Cw$IHt0nC3}RQy3WaJoYJYlSym$7*01=9~O=pM&jR)1vyTOCG=lg z3W98oid^|5wFKkpW4jMSfLs9h3 zXA%4sX^b4Y()@o*t2pGfn4eTBlThQn|A6U1vcA;I@jq+D1BbFxBii)P8`XO;xFUFnO zNT3}wxMASznseJ4s}N!)>RE;S;ycI;$RF~!NFEC;LhE;}7V|DQNbqV2fGgJhWujOaF5esSCK2NMiQ|qwgP@NhU88 z0lPhH0s#btL7>B)sAfdcL05P zjJ6VZ9uapW^2dPiQ51>wWwo$5( zlewbk#G5Ew&~5h-XQIPFW~z1Vw$~Nkc1i-C5Fb|Ka>Cg!fOF0srJK1Gg$Z9w<&h>b zKK;XX_cmo_;D$`l6R1Q&DwTbj=#K`MmB0*Ma_m5;guh*@0vSDCTVc{y|>rm zJ1w@*Po*DH0|5(0Ru%E0hRe)6JE__YV`Cq=>t>N#->f+~4O6P%80_DeB0GOcd zSOb1|zx+2k&d~A@5%Q2TijwkXRzH}AT%$mPh4L03P6?1Me0~uW)9hF=)67^gOV3=P z5c-xfz(S)!(b!x!1+P#y^}^))XLD_(_!FD&2z1w#QKsp8v{7b05=kmWvnG^WoqWKU zJQzf#u&szS?t14A-Z~xVlg=7z-6U{_eT1Vy%gZvy^2bQnM5;(-s#8|(gT~vCMJY-i z-MFD91`YaJt+$Xzi_U&!`!Qy+sO9;<%)xktn~r&55>YOD`5#!+4+`R*v%2CNX#*A- z0|Smyc`6l=rEQVQQ3JrNvR!j%J+ zT7`nG3AGGf0kzC|8kIUc>BsJ|0jp$@*z<*6!VHe8MMwnb{%m7bfox;KL~v-c;I)uq z4ieWsZW31oTd~uu_6Q7k$Qo{vl*KvOyM?-|b2r2B+4FuKgRM|7Y~yXvSCDu&Y=&|V zcfvc%O)!EoUj?$tV z&B^o+)vGt0CSn~TnVKI8CezleIc{=QyeDH3FLeD*6k5P#y~WaQV1{Di1(`&A&x?Rk zwD4$M*3 zQbId{C8ucM9eUxjg2wdhuzvCg9)W{(w#}|+Ch+iO0@g;-g8dl0@ncsud&Tt7{cH`y z-)qT~P_W_93Ua1O%2ZdDR%QnS#XUek*JN|z-@(y`B@pZc&FOCVV40P{^~x3}pO@{x z!8J0PSBz(E4nMY&if3E1GmDLvbOH?_i`3fS#VBm;aHo&qtoVXzW>s)WVF%ZNZiiqu z9_X%w!qt-h7&Th=NK=OzMUl$zq9A9+JF<;Sz8U$T5}cXJV8tWvjLuQz5SNLj01bnH z2nPwtV0-S8v4qE$T})q461-#LKt=SiL=k{nPtdE0WV9PXCHwM#s0`>W2SO$94h|g{!y^ z#=q*Zl0fN}c&abB5~ao3Dmtn!U98&6$%7E)1rhH4O8M(W6Zu*7{?(O$0`|b5-m2JM zH8G^Vj6oo*4qKh8u!a%KIyb^P)rmr5iPn0(d*X`aYR32T#!7j*REK&F0}FW~A_x2Z zx_Kohq2{2dQKX>cuKa)}TKVrBe|z{7PUoSqKzy+VZ@`>R)a+l~2`CT-_0knP4eDh^ zE%HJ~nJr&DGL1?;MC~8W84SWX*@AI90@hUS@?%%KvgM^>9o+2W5?^_oRbuJMf-{|T zY_-gyGlwj|#5mg809A=1jAGW#`}!EVvNSSjc={D+$6s)FhsUzH2PNPDHbFM3{dlGo zwVO_e%u^`@9k=Xu+H|0}R&MmA=i)_x8)&^Q5X7&LN_1p#)%Af(+J;KnC*rLd> z!|Sd!LuAn*L7|O!CKLU?Mr6eSN0a3a2WuV-5d{qe2Y&3D8KU-rc#|(27|{D0KHA0_{p;!y2i=|n3l|28zcubx z)b|z`CEv9j5yAdR+y6D%4i*0|*+4CHA}HwUt8_I?v|npsnbI#P=#o-gDA%0%bxxYm zi(Ty>&}p(bF<{M+kFh;#`LC#JLl1W2IxCo}%x!29f*Qhk?}ouE9?=>Js^7%D;iUxu z$?jO?t)AY24*R?4rHj*9l z-<8qX2&(US5qn32@uw6Zv^=IFI_GQSFBF0Y#&*6FIc}V@MSQG7lnGqzPWzSYqSdTg zuEe>OfPwmnGb;hZfXvxc7znj+!(nLMv~&>L-<@_V2?U9N7P9-t%!(xysh%2!nc&6j z$kW!y<3=F9+|d!N7P=KWk$J%XxC5CS7g9PCI=VA{P=dc8w(C4^1pZ%pV}Vc&LJJY>^k1{Xd@bE^PG8wP zLGQ@X_IuUx3s|6a%Y3c>uo`qL;?LP(QR{(4c<(8$Oi|hw%e5w}z0pw6+HCk>(X) z3*4@E2VIYW`UWiP@;jWg|5eW)FTM7@?x4Hls}SG76_%h8ssNCXsSMZNc=9`sTx-2o zjxK@vAVHJFeZu^I1nt~*=W%7dmvP6&L1;ElNZ8;6ya%fQ@J1ks^~BF6iGdEfojej0 zzt{o6*Hb%hV`VlDg}>pVu59hyLu)-YXeVv&eOPKKYUmT;_ZsB^beyD{q|;h%|(0R5q%GuYV8pS@)zas{}eS zkjv2$5#q$rMkF5`toAMfRdP96|HLyj@RyX@`%YgdApGBh`zsYe@7?NrTgNiU0pt`oVATn6r-WPY&Vw9|S7CMo02DJE zu)qE(t1_!ttaZzjfoJ^qX6Z4anZxKPli9x+hn`X7YXy!PA`DYVaz6D4@Oa8Mx ztpBk+(kDSxi>{4evuM!pKL|BSiTj4;{yYsZW(s;Ut| zw=3DH{S6;4VdgSwFu>eL0SfUEB|Ffr*&m}lu&zr>0)7x;w(qcf4QGUayQF-a&Dt#+C{XR;P=H*qElVs@Os8}19Q}Qv=(p&kE zFeI=(9oU!5Z*(c$i0@McuwP!RFDUGl(#`MQL1fK{DKkVY`M&%9>eSY3Vf1*e-4{g6 zGNaa$+^6(+YYe!q8D_3k#YQ%HY9F~gc6#D)v)uB#VDHLVKiNt4y_^IcEh9O^fSTIS z%1fDYx7Kyc!c_DZg24ZP^WqZTlbrNV*Y4CnjR*j11~V0ajv!K-L?3QB({-8z$^E%2@e^ycC`^ ztzjSkpd@41-4NEh+I)=zy9v_}azA1?3r;Es>xT&aedljEA^$(E<7WlbI7E5d=v%J;W!GCB5SsxHmt(*cJ=2Kq?Ke{~18zy$FhejCFiL}x61 zd-|F-D`$A~*81_VUmo5J*6pW##1oHQ(2Gzr^b$nboy;)FE+ofG#np*e7P{(&7ZeBw z<6XJ|FsM1*1OV4(vKcwB&46TbU8+Bl{VqGAt!H8=-*<>_e@}~stC&a-l14(xiuNzK z1|>VJaNrlD0Ic`^p-PGg7XJknf3kga^K@$gV`2rnq0H*sjjTwvE*x6B4OFi6(t{tG zk6W)qF;;H)(9Yn^6h>ppB&oASLOB%=YA6!i1T>h$j#f|ISu}E9c-#DVQ$7v3F|-lE zoawn3VU*Ch7?HGSK8uJSDIeTUnAyay&9bPzq{g8YBh4xF?*vIHUDgdnL z)EY!gI#+E^T%TT*xjDTzm+;;grBXo+(-)f^x^iB8O;J6NP@jJ?%hX2lZxhCVl$8W1 z(+^XTB}a2uIQ4}Z|F`3sO1FA`Q^1eznh%k0UDgIZ7L|;sip-4)yg67$f4t=NCbGho z05#F2-3BlBy-$DtVz4zzzzZ}&Ltr93d~ZYVr5JG+d4Je59O;axS&~@#Hw-CMct!z6`E^K)SgcnE9W1|E5s!53-tkY`) zg9cUb(!7>RkHV@?HWf21sxy0B#h#js zsy)vWqACUMFasg?Pb>|qyPGf*99GiDfKv-%>8=s*sTu^i4C8gzD7J1LjA9AE`TR|R|Dk8uP6OwiAtg$0X zd>?xvvk@*DBdAqELdPn)xhHj*AfG`m7+bv{_f!9c6)>tQ5ilM_r z;cSV)!=3kAp}FLVmruWqp~4pX{pl;Ve?@usu63p_Ec#-!SK97})#GhTPuE5Q4e-rVC8l*#?UfWg z(T((e0eo0>E#l(BwF^I)CfKiB(U8`6+bm3ncDd_zBPLsZfCMZR6m)4McU*~ZS!${9 zkpzzzDBj1iK`nrb@1C`vAn0!%<9q!+LGnv9lNHccGNQ>+U;{(7fJGr8_%SXKa2@Ms z9Go`keiQpa2lGIxHS4#2s^AP28y9fh$nJVP5Y{>`f~zUc%kjcVXrbD>lv~c21}77- z_;^BZrLw!Jcdmv`d7;I}MZs!IrbV2W2deUiQ16Gk&vN%o)Z2g}GebA|GlRKzXJWCE zR?kS!!N#ZxH^8kH66$Mwd|9^vf!<`=+E8|oyJsd{e>|G}40syDw^yG&Mvw_g9f9h9*-oC7_S#hltxQ8D7< zgx`XI6-7**USHo>q32hkno7nkLrv*aN_?Ud64-CXSZ&jIxan~M94z>{HbvbDS;nN_ zhA-ylh9!y%qhm`kha`HTc6Lx9r(ah_yG(lU!3AEwhzu|E4!bnhCGO$aRRmMd>?dS`n36uAN^!RDFwS_S<#R2 z3Hk95-p5f9rv}#(_3pVzi2tN#f zz@$@34H>lJxO9a+LtKo4So~m-f3wpf5H7;>53;}*5M^`VR;N)(8>%v^=VgP=RE;H2 zB7M_hPR1qpK7TT=n*{3-+8TIMmJV{lh>BGJHi`7XP8`s0_+?)sCU(pqTPy^R!!3f?8YJy2pG%4jZ{GU3)*!o-SPGzb+M?uy> zgmU=GhWXuLrT=VJO4vhJhl?Z)GE@yNL{_U$0G0Wsfobl$$Ydszyv=KM|3lr-JCbv0 zV)K-m{*OUB>j<$R&XC-YQg`93AOONkFhot+^IWajqSh8Fo8^#axp!t7EICtVe1(%e zZJ2y(%i_r5!B%I$M&>ed+KD=g+03OajX<>oZs>mbKaP5Xen;UkJ{I_{b(xZbHLT`Q zwXi&Q%oBrc=OaCS72oiL0`z)M3WjLbdsj#v;5;5hoNs}s&$!yvIc$_wUT;q2G(>-7MT)H4I_gm=6wUARC(hh%rCVm*z@` zCl#{l+vw_Df7arP84V%*?EF?BEsM~BnX*vP6#*E(Zrqwq_MzeyP;e4*nfk3mcs8lc2{ zP_5K5bYKOUm7@(%MwpOdwu_>p7Yvd?45b~fnOs+rR?Wo9Zqn?u_`*6{BN{K)55l(V zLUO8F;~0Z?$bAQ1X60BIo4g$dd5r=Jga^<3h8*=s3agOcU!kub zDe*+)T?@)`L?_eY=JI1J@HI*ULNH59iYyrWxLR4mthjZ=(;m5MyEoIJ@G{=Td24Gj zNtzIS^%f;PWfT};dim$$AgD;7g$q)?tXYP7jc-9Rs4V#zoG7sr!0}&k$*_5uIB%hT z$NTnHsVM%el`75wD}n}SrlSu`JBO}Nc36o!ElrFpsU3o5`pbopNBmNgm|ba#D^Rnh#BsBxpwQ#S zY(S*uMa#)&yj1XP8G7aDWEveQ|CGdpc5y>Y{v8>+2aEq<-Nv4mQXBD^LoA$`Q zN}FiN@Wiuau46PGSe_PmrbgL~a~=AG&m0Z#05chq?t?oj&E*5^BGyU=)o}6oLMk9#kPO*Z3bF`#J=6Dq zIpzLJAk&eY;y$Ocs3PSY`pbl-C=JVOZlGAuZ%RWOZKtr2hQM~!`Hn z(*IfnI#LO|iBiDB*-j3stD0M%-%=r+fHkkdw@iH#X@7 ztaCUS*vyn{E;}dbGxUxkBDwRyU}Ki}6fsIjjD>MvQA)^mQh6UvC0T$5J@QdZCo-_x zQa*x}*grqmPiD!f9$eX7?Mf;!>$4<*jMWUk4prhz>gAa z38m_Lu7>CnHpK;O-Rf$vmxD`FW@+?=VyM?w3N}IOur=cE>@(sNs7#n*V)9UK0YXsb zWD|)&W)u^|SiKRlC7`qgaWUF7%fFxR56GXpf}io9H~ODI{qC-hNBhs~Pq~Sf&yN?z z?T=l-`0bB%e?qgKxlWBKLN{pZCLKrDeuoMNemLd36x{}z;1+S|IfU-YwZ6Vx9(9?a zvtg-(hI?-d`5i-2^`FfZdXX9HNjP_%(tWZ)vCAr*<1AeKk{*{T_Lo&yAGzUctP_rt z6HE7fjz9$uO{|3_*FOIj#ioUpPZqiTme2R~;FFD@PWGi8WSRpgx~>HfiYw6I zBqs}kybxjMs!ye|g=*)4b-RTM4{jv^j7=&nyaK*7tj^@*ysYFDtj_e?MaR&}n8_7* zISz~#B=*To87N$VzfOw?_QaG4Z;Nbe8<(a>pRV13?JeG+d~C~A%*#V~Ze11U3a-pO zO{>%c+E5pFUVd7^7kBo}GMw0+)W0&a=TY`kX+4&JM1K|63%Z~QesufA!&g@*2h+pW zi68=57ch%v$foF*FYDKsDIw0P$qaETqHvie{p-uj(mqX&;W%boQVrYQwfsg0z+np@ z^2B3VWi&&aqF=a`76SMitUnM@@?7ORFJ#iT=ZeavBqSuFF2_K+zwk_Zo zYhyBPcAGvBeO`P@ns_)Bzu@e4OS!B8U!~(;s<%9BN*apL5?N6zjq9;sg z)pzC11joE*FXY$HkJ3-9!s-wGl}&>zQ2OeNf8CkP>?h*%yFTWhPuY9@v#Zc0(BH_S z^_|=SzWV6Gpo$!=T6$$WRDFLHn%*1=#UcrKk~yE;N`e^iYNNV|z0?Cy8{BuP1D-~q zPTm(f@=i>!f0&z~J|Nsz7v$t`g~~aWxf6ey-b-EC6`aD^AtXhFlKb#hFwgqR@6~OZ z)OX1cWY}2BeI;0S27618T++c|&{MW-b@8VVa>%xx!Grj2$ai$G2R}l^rokogoF?k9 zlcv%ic5^*2O|9PvG3yfOD9=<)C!V{?Es+m@&TOQCwZd&KiX~MWzNs9#28G7Y`jqV~ z<{E(0IG(+A5J`L%A-p-<2tg2Uoa3LEsjp+%J*$qSISL4*@E;r(`uKI{<7v@}jjH#4 z{iuT*gTD~N;}J?yY7|ST$B)9kz84E8N19YW=`?AyeVC`lWKverPlbbUT=KW9Ljvwz zg#uDd5pghxnf5fVB#FlRG>)6zn-`T?&Ed~bpwajJlD*bPw@)C!!)GBp zL{Zayd~Vfm|K^`xE%f=Y2;0E>QQVyXAzOLhPFMO;S(#~`qU7&u3uLoluKO{wu-xs! z|H`4jTrj&_|I-+}+BI>PQ|+G4u()c>h#QL~k#kMs7)NtlMXC(MI1NKgd2Hrj5>s=xQ8E30$*1|img(-qrIJxeRcrNuz_<^JdYLJ#y zG)QOQ+~0CrDE9A3-@Y}A4l%1?t~bzW*eoD?6}UH~LgB-w(u*H+IJz;fmLe=W)3^BmgV2abT8?lejD zEY7Qe2XkLxG6dLPkty3v?AImhPg-8)*O@Rj3n)cdn?~!0oRDkP%*ECd(w?2d681HT zLPRk+#3=$!g&Z#Rjc#ufjfOFN2wHDo^^nQwBmUk&tJQPb0`h_G>7!hsMWkh2M@fhS zj9Rz7D1jKd_yaAQjF^+>eV{QXuHxE_K=;sK-75|9>)FD+I(9b69WSR3${20VuwtTs z%ePQ)_^nLk0|m_5YBb>(TZ`{~W7+vINI4y=(jgz`vLvKN_iz&65Y^^gv@w|M8d~4BomtNR-Cgf(z)A;3t zK_+Lq8{lEZ=N48kuthmRk$*(s`HvBtSJ>&321Pl7;P^DUkV;_Mo++V|Z?A*HSzMJ2kCBb5ZkvsO% zU}pYKTQ|_Rjyz<-@9D=W7+WycJ~x`e%!n2=zI2^oQ63GzZ=nx(;Kfnf>c3!kn@d^& zUu#L}H~GHm7AjQwTbO0wcg15|47wb`P5DkFpkhaTH&h&aV94?p9A1QQVWu;TxaU(i zg#k2*4d4b-2idyXiqdf~#q+xlB1Zqr_#EeCQe+sZ^Y>Irorm^S{nP5@fMd6Y3Nv>! zR#!cLwQRTjyk}v2C6klUopm=E+F{h)D+AGga7@N#CWe1O$fX*?y=8`zG?&MIz#KM! zQ|~m?`U{1sHt(@b^9crDYcjA-88M(4_SdxZ9M*Am zM%06r|H|=_-L;5{@r`XuaCDczc;Mnj-y#Wxad$BRcpz5{4b^vuAk>vr-qwtp-WvsP zZ~~lC$6aQ|lZUj|r4(UA`UYQI!ZX}Ho!k80N$}`E(r3+goiFW}PgAwo+CL;!3;?28 z;L^D4;IS`X-rP#|YjjDx!mla(52!*@ox3%7jimRJ%R!)>>2T}UvvJ=kR7|D+3&=n> zzf~Ng^dnyrxIw7@#EQ+wLjR&E_QSmZt=M)vy+E}2DIVOnz(b=EFWTm%)fuBhKC67Y*Zjl2w{_#)8K(Dxfs~C$^W@+WKNP%jp>s4R zUiM}t)HVyP57am@SvjVCf;DwRh3$xL(sWaQk3@TY2-FaMyA%Hl zcMn?c`hz<55C**ZJhk3-T{{F~c~J!;g##1jU_Q%F2u#7k$SNzt4Z6;=M`XavX15}s z756!kYp|MQ90AO@ynSD|%tDAAi6h=4mL>!DxFwVfL zygP*;=ZouT&gU-C3k1)2?;91=jX+Qq=3ce6xLeolhL8K*+nox&{uC@)jQAGRlc}?KJPO#BW&Rod4 zl#AcAsPVjGPB728vHZ&YB@$!=3k@=0MSc>z9dpykY>zHF&BT-Hz9I-%8d~#xiXd3O z-w-WoZ1Qwr8QxP2xn@&1(z)5ilLHPRkiX=iPy%62zEB}F3W7c%sAJoVV^VTM#t)Y~ zZ+AxWl`9I&%F*NxO+ui?n5IC_F_FQC;Dma}7)*zv4pz}Y6$@ar+aR#*#AMiWEUP_B z;~Xn-+eCs%-gFKyBk=yi97hE$L>E*MkaII_V4aY4_cC#qkbjYMi3#RoUPfbXJk}dGH`cuaZY5 zyEG>;md(dLpS)UK4N_3SkX> zNCF>Y*VavdH_mV|)NQ&|MVPm$Fjj8uSO|gH;M<)FzVu9>FA+8#V&@`a(+MT_Tw5Fr z^@Ye5hXzg4FVI6%M`r+>=*q|}n_XkV=^bO0#nKO}4(Ezn%v#-bZ!ym$B5%mdD_GL;n}Dx&hg=>83UV@FZ|$MM zhj|Wvx50}al{bC|8NB4#cu$18BAo)h^wNbtc~m^pGtZJhM>m~$aUI@t=mko?NzDz$ ztuw0Nfsml-CU1>4U0`14d{E}^v3N3;5Tmc#*0}0%!Z>vqeN+J?TM5db`uWm(Bz1d!fZ2`k+9B8M)TOCZP5-4}jvs zjG*|Y&UiFLr#QXk)(c%H10T7y))><5-F~IxO1^aVFVIx^Nw<2t*T_SIZT5lb*$U1E zEdCC6JwPhY7JHcP*^YD`A26@cMFpO(CqeH2ut9DZ(SiY6>Y^!MK3iuJaDw$y&2fKI z;KUvT{&B2|TRdV!K|+E0ZRZ#sSsJ>MYskTE#K9(Azw z6I6G;1rFoDb?iT|pHJWy9W8=EPZo{a944v7&^8L0UL;ows|HJT%#6`*hv7 zhY26+5l^KAxZrt8fy&iah1yRAJZQr;D){CH3G%1_8)Rg4waoegYyN-RWL5c<;ei>& zu_ue>1cM(I$52Odl4s?KBTff81$^lSsyh_!)$+3aO5quMgAQ-nQe7GS*f#pugt2|d zsDsV>pvp9%TU_OE#A5WdhSK|g;nM2qSi;3&cSJT;wZOMPQw=#3K|A*7!zb>)o_b#x6h#=Zdq z8Zu&cav)H;zUUVvbVz9Rn-pm@0)m%bSV0N}!J}*N2xsFQ#qF=&Af9mj3r$9-#MBy7 z;FbE(3?djJ zZoJ6UHXI0@iEyW}MU2~>#)iuybQ)VkC8;kVsIe`(j6~6|jzpt$84q0MzD~K-LeGTL zO{=n6Xu#%WE}pZ>2-h<2cj;4>SX9}A!Kq=I2U&Z&x0r_xuGwtI_{7V=9KN(fS#jMN z9eQPl@kma#Itc&qKDZ)>ac*pkIEargJuJgXXA+! zUWe`!@b$N$y}`K683Pu(H%Bx?aAM5k&8Cd;2ccG7;zIsD(o`xz9F2|s&7&c#A`2(Y zJ?=lU56rxB<%}hSFVAzG{a9P7?3_M$yxl3}p|KvFu`<$QXL(?4S`V+h27&eM+T0sj zeb$3i%UV6#h0~Zt3d-fbkYHaP+cedf9-u8By?*}qgCbUV;|sJCwhr4L9-v}Mj-8KZ*7m9 zMb6J(?Rszb3VCR*=cBa_Pw~(h$=-HeoPoXVjQ_-R?eHsu+c7s4N}e(4ltnb?Q3iDY z2esBw`L#J271p&KEiC(2cgt&?pY*r4I|Y3GF{W7S(AMODXlr}iI^(27aUMU%lrd$W z+d9Xwv{X;bD6rNcLTepXN&TekbJLNwqgm^;mUffIf4%R$-7Dmgxvt}*wa!j+{q@Oz z10$vB-#PimN+d4^m`QMOm={htRj}A6`*{40slM0e=^iIP!~rF?pWrR^gpDWid{aMQ zT(Dztbli<;0nrgQos%8gekyp=U15{1q_&FpDzRReq70Ij_EdPS8%p1KPOOiHq(0ev z<*z-3l&A1N-k-wfxpX^&AO7ws{G%B>Ea0ZYGHxO_-N}Io-E_PLHg?mEz+7VFgoiZj zd{Hc40?{T1B;z>cvnq0=ZZA>ku~fr5{gyHXwksr!xDTA3c6_|qA}MT<0XIUyi&8yN zS0$n-$kC?}rq&I?xj;Pt)UJv%ox+tvhi5r*^Nip^7(qMijw~@zjAl zB(+c#gx7;65@kfIfzTZ>f&xi5_tY%G-@4;hjnznrySjz0JC}X%q8pj^V2|f-GDd z-L{EAU>^;)iK8K|1#QSWm?~g&1;NSp8hOz*!6V8qo(R`_z~=eKdB=7ek=4=%zirfz zGol07Mj?yW4zN2y9SS`LqjA7KQ}hm_A?oBUSB42r2JJB$IH@1NM-GxlhY>++{Y8W7 zFBl{bW%6yhs0P`STctRYzcF()3}?(4CZNw4@HeIL-T8gtfkyA)VGm5h+gr#J((t0m zNW<$^8Drw6B-9v2VV5^q;>$L5o5*9{RxL zkq=}hT=+l^a+@+A`lz`nFDgQw%A3ltDSTk6fURB-oS1ZKk1)B>5)$jr`NFp?Q_GG}pJ*m!`aC^JSWP6o7G}-mhOmoMbN{%x+ zzHo5!Si?qhfmSokguulfRoK$`367JzC!c1TV>8gEsU#9$`$c_@)Qp&8ATKfO`^2+& z>J|)Jsf)44jBA3)4tp5c-H@hS;;_ePzCTevfM@C_#>Ge-JgCR0LG>43wR0RT(S_4_ zB*}xlkQk=9KesVEtAo_0_VZ3|55%-$J5xT(n20aj@9Qk&YnRI&Iyz#xT=r(Jio@+O z= zxT7Vv8lDAwWklKKjB+C4@GQ_rc$Pp&V>_loaU0t)LXev#fn$wkFRHYYY$|bP{ z=Xkl9(h2_cdnw*vY^8w3n?gG-LYmX9Jjck$j_aVQvE@168nGd7a@FBU+t6@$xM{~D zYG50M6tQQb1_RDVD~H51DK4=w<83Z}i1+PI5f6>CIe)Wl`ADm+WR7f&8&9+{C2TUT zl%#Bkf^jb~ZJb0iT2#Qw1#6#WNXE6K+k|U0*H~6Aecz>q2Yu@8PVBGWI6;fCak6bX zy6UF2#nDy&h`7$;{&mk+D05lc{7A&yx2D8?j!2enI?jE;X{OHNO)h#3EE!c|c=6rY zU+7+M_iA`(nx!*M-0Ajfew$~s++&f6sSb=_!&FGe=p3Zq+;oibfMr)|ytK&1c*}89 zK2E`YXN=dAH|37ep;14F7?(efw368w0P=Z{`U~SHo3gfH=D2bDMR2n-3GuM>Ot6&E z?0jy_2C8no=7fZsrw)rmBwLr?u%0+tQ#b~>SH-<&k6P35n|VmVw8AT<(%rGfjZik0 zzGS}fIe33V4Px0Zg^bTY>UuQe0rb=pio~^zJQltYDas# zbbvbUPj$8D{kJVH6FQAPy`x)Fk|dI-GvpE}uRNL-u4tInqmj^G(VR=^QKr#JCriRZBlcv#|<(mb3X^F!nM8ZjZVVvVN)C;HZ(qL(`8Q zbfdRk_OHJ(?G46_X@`^jZ0XL38AA@}nZkz_p@_2=UzK&j>8-IV?iRa59-z^J`hjUo z=k{)2LfkPBOzj(We$toT?sW0cM3>G)*I6T@*(j3aU|?j991MgzV{`Yh*Kb@i=;veJ?!@`h2%(Q=-r|oK5L1pD9{?lAjl=u* zG^P%QP}W5scK4XR`MA8b+aY_(Z$fK5l~jV5?Pv!3HJC5&iLRA9gg>7qk@O#c3slIFW+d(Ie8M&C};9&;JL=ad5i z{Rl>_o--F+FrT+H@&ox(zc`t6gEun!5AuW?nP@WZaP4Q8UjiRBjkZV3T76$HV=OQh zb#NtXPiX_(0j5j?L@eB)wY@@W;=TIWkoZ(2VOM&q+6TXz4Y9(L?zA9OF~ zVwqCv0{Lcw5B!4BtytVw8W)Hs7mzUZLhSjp(q3Tr0&%bd-STK(KEGf)oU`#shTYQ; zrCz?YP62151aCQmmU)SzaWc)pih$vzSR795OsQ^$)E>KGI5ELkwt_(6v<~eRe=kZ5 zzV-Tl!jpX7Vm!%*Pn7*0{Z4fkU?|&tZOe}B}d`|=~Hu$&C zy_#u|a<^|`9nbP7`P3EUTuyBS`^4#tG&}B~62e_rt0k4-7|MY@_KZGT6e?i zCH=UQE9lm5ci-h{6+GVW$8&AKTCx_q;f= zC%9Toq!g~wZ%m?`ItBNk0>&Efn37 zVz5utaM z*r9gqF%=ZiB@A~tB@!6h_B-q#P0UNPwbii3?~pUCHDBgozisx;i!zt_Zp#I4e!hZ} z%Em15Gw(xklDIM(A}6Ub>ywLi!AH{(PeuX8G)EuWuD!NBdryAg;L@>h2EV3M<^~SQ z&8Rrskor&_*tOC;+ruCnxw%fo{G7z8i+GN&*XBMG~=Ni`;n z-t8**_e z!NxHM7cXvUP(lTbTAI0HRP!a?qfrl6aZmP-y@@<~|43l*3}6d;-(e?XJTfxG?^=N4 zX@i6OfV`UzW>?zTBmV|dz?1o@FLqjT$&<;AH0zrEVa!f=jFvQmwS7NS5t^s*wVplFm%oPRC zbCe%qJ01g>`jxj&urfcUZW)0mjDrzr+9-a$I`P)4^9c|3d5iI0UygFvO0JCG+XM3v zD&cwf80Vr6FYu74F7Rj|M8qZ{I{C4_7#NXwDxyL-bP-EAJd(GkXPmFGr5Hd1>{-I1#2Xy^bGsr@h^IKbRiwFauynh1cWV_z*^9&c-yL z;j-zFMg)yOc=dH!^MsDDLZ&18Elz&o5LB45OCPZ2a9)2WIb5Pq$Fp2bx|YLWO}xt2 zi~glaCFyaI7YI2UpzRxV{6gD6_!AC)*SNw{ujA7CVmk(xM-3u2MkU9!jY|4qD5(9W zvq{<7IIj|dvnbNAe&U+X8C7G_83^6A=vDF1M4L_hvgB8cE|A(1otxs#4t|BxwH$yl=!MH$WXb_Gb%+NYXwLoTuJ0sLZQpt8h5q_SP`trN z>=}lGkZZ}OK3uj2zGTl4T31elU!lF5j?cMvlO+f2QJ$!gY$iv29(JHPSFp$>SI0l? zJ8ySNcxaT(7Jk`0G{P-{3&wR;IH*Xs3usH1Jp;z8CoB#-+?c%|cDl9K%Sz7f5AS&9 zS5Jzv`-9-jgL6j%f*X|vw?-~)h_JnQ^Q0I5>mNNqi}C6SlyuX!LQyJO8`+`bo3z|u z+$L4SO?K;Y_oK~(n02$9by9kNr8w zuE<9nC9MQSh8Wl;cZLefR5@y^-9RX!;@KI3dr{4e?s3MnSH?p# zZT7s6QS(c4DHqI_#mv$!xVdL_!E1it8E|B%j0(Oq34$Ivj|LIhm+c!oq|Sl!BqfN2 zU3p`zo!xRL8$Rr1Z+F6f{UuAZ7<*SxPgoiB7gh!hGZ;Ly7+aF$Iu_K~v&~yh9s;T{-^-gG-}52*f~*}Hnh)M1 z7t9lhmdl zSAMpvGcDkfUEOjg)Z>@d2uf=@1Y;O zEDr0?WpSJ|y%0?n>VSQb9~FF&f6UsC@bWWWk>a}knA?;8$Hd)IR8SfuAKxk*=@*BL z@aaKR;7Y&Oz}K(z(PFIhUFo+BYg-N#xD)8h{A1~oP(#tN&d&&2=tmW-+g+N1456!jNH#=7BT=_cq*s$#d_qFj&2w&kH8v;x|vyv57MiSh`>^qw?T&`re$1JeWd{F@R3=uMYuugAoBZcx@g50H`m<@MDX^ z_7(w12)D^p!>u+*x}C4>Ov99r*Z;2dL{Sctwlu}u#FsE2#?I&E7d+0f6MLNQpSTvh;G%rOgCn+_I`M+>j*-*5;AK;L z8TP%=3${}>+G0A+*o`_4?yJIm9@@TgxNu__<%|=~7O#bIEth4u z5KtDRFHjD^oyvjiJCy@^J2K$Gj{)Z9*}+^MK2>L9+!J(RVlVI#-t+b%QEgSuneyhHPIwB`-gF0{8vpe$BPq54ek+=9NTP3!-onna#5Z-8sT$avO>5^Mk z#E>N`T&GYQC1Qg_P+eYQ4|Vx*wqp-v`z+n(a;XJ&!-Jt8$;3T{$_9F{Kt^-&B?k zx{B;*61BqfC?1J;;D&bFD@~3C7o+_A3>_UuS2{w$xhy?s^3lDET^epqzD-x?IJU@) zIfUns^e2_$usn&&&LM>K@Kpvi7=FbUVH#unz6hH;I!DGu`#?{}8yxV20t;g*JDobV zM`nFnUQbDGI1*`gw(*2Kn%-ih(TqMxU$IAm6<_uj^#;V+)bqo=w8<#VCT}`=lbuU) zKRJnJ_E2^vD0~nn(mx~xie z9(K7S#uc zfON)b*b!B9_;rN4h;1g;Y44)IrfxdPn-ShcnBCjX+Pj?rVLs=G$8uPLnYz7xi8$9j zqE{X*6A9t6mPoMSe5Xm!h$`#a9IZJ@dzR)Xah8Te8GtL8dYyXa$8u!^hJn5kcZ^mL z&2RFAY>Q^9>(01}7io5N&ImYr17~a|a(b-@NpA@cUDDD`#9*g>0$rUfccM7Le=t9y zANgk&){+0{<0@a)mfn$%{C7?Sy>f^%@>*v30ZR5#t+Ec@Yn!xORn^n4OD69}Z-~ZE z3pTE?R)#axS{ZxYlRvshcP#1Uu2tbUTY^cqf``mub76rk@&bV`zWuByB~OcOp7k85 z$}Lq@rb>|3cUFL?`|?k?dw?e6?m_BcTwtjO+TDZHMg8glEUu}d^dO`uPvEU4U*Qg` zqy!=-F!^nchbtx}Fk^wZOy@r8XFk!H$W9X7b~>^gZhb?NJ-K2c_EudFe1SZ^KZ_vm zAW?RqfejwWd*BmTklRf5XEw#dctP znKoSQBw|KBIQMvcy?yfdABz3>CWI6Eu-;vJ@7L?tO6|SR=j>M-Ugp&^g#Gv?%rbf~ z{c*)<^<(lV_T!rnD|3x~Q1QOS4{COtr6%8Jbw)gRt{Lyg`pKu=uLThEQ(n7rx#o_& z-H&Q|xl2lM@qW#|T(0>(x3eFiewphH##)c?b|OCdK|Peaq%7XiKC0Q5OEry$59{@u zzF*7Fx4+Dnaz{HXEX-Wq|BhX`t3^DT^ij>eT&f8!KCF4qZBz4-c$}&yy8q)E{>Jb7 zL%+1H>@$A7%paUK%=InYT{`t^LHI5K6u$^8KG4kjh~@S=`*eBU`CiUC`n`gA#}>q} z_z+n!V-G&eq2RXxQ0`GgW~ZO|Z(w^&zB#OBB~X;Mb~? zbKZwQU8=Q^Fi8aLjl3qz_35tJrIT>$vABy`al}Ffh#){(ach*)@r`u(O38IQBm=Qi^a{0A+nCNaNSljT0sX3zgea&Bei^KHQF;|53j0k^72%&*pDxzDuO z^Z$W=nsMxOUJ9NinvwjUn4b>t_ceuC-eo#kSRn4N*1Q~aS96_yyXO3Baz+c3^Ks3e zuA$^=XYQ~Brg&`oCwih2_hP?aFA&_4dR*}upfUa}HZP5#XnAK|dRI$uw!nAva@X1~ zS?=PiW)=S#fd~3QqPzGYzp9|VT>c~+Ew`JY-Jz z)n>Iec?V|3b{Q!I>}|Xz%wbU^qfkG}=*M@|h9hblfG#JwhxwD|N7q^ zAlt>51tA}(alTXI%+SQnWzX#4^onsu9je?lv0pHak3yXN2cfmqXD+k(Vkr|^5sQr0 z_^euRq%z_<2z8?6Y8i8&+5ySD<1M>d9vT@Itb|yg%w2tOuO?EF#RC690%!C>z>P~r zvB4sVQClAl_rknA_?rLtZ{C~L+v=%OojkM4)%}1$ZkV5jvwVH1YQ(%-?Y_01&_^{7 zf8p+zTB}Qi8kw0+zS>C4e7x^j?kkRdK%AJ5YNCOt_)&`;{hw64KI7xH84|=UlRZBA zLm6Y2dGba7Pa?LXyLYQ+)*?)<_$7XaN28Xh)LFvi2Fh`XTaEo+|LeDZ{A9E5v{xm& zzR|-5AFKD$j-}J6qZ<}M!fc3`{A7y1VV-c+L?BI=T=Ppbd^H89VjD+auHk6UgJTaH z{Mm$%6Qzx@%G#Bz1L#O( zav@f?kHtsX3jw(lK>dK}ee_U_jsGhUGgOP_PfZODR}mkL_MS5M2hltRJRaW}Jcgn1 zT2Az-mFePK&qI8{tViSm zT4#3W$szQ*Sh5bsLAB`Os>Q1854AipMlt$CE)a7v$cIaJAA40%i2186*_uo|`i-+*;=k0A+2Z8; zIFDQWnU1@dMv8LG$GhS8zT8z4$2>laJ+WLwb4Y9DGix8TiE_Ul%~?mkVI5H`MOHqL zvoH7SF&VWfIaTumIs0-yiy(a*sa+<&PZo&t-BTnC3wp8NuNMehdHZ0%C7%!L{oH!* zE$J8Ig7@F1kHY@CCH-prv+o~?+h2~H>ZlF%^wCip#d%L;Ac^?xiFLtG<@9+KKTRN3 zY{7q2vG~cSK)h>1J^iHEWshBguZjB=oam5(>#W;D&V1!A_Tl+BkBu%d;N zKdxX{sA9IgA#`E(26kV%?DLmQX86Q8fUGpDaK*_mh9 z^a&RR5X9^&!HkTYuvhaXR+3a!WHqM=`j2 z61O3-9EB*A=uq%zs#DJ8TlATTN_0-lB=oTtW+IYBRM~w~D7qKbLCa#9u)Ux|6INS; zj5rulA>?!totf7=)mG9WKH$tNpW<0%C{~b3Dm;&D#PI>&9+=n{*s=V(8qq*1^U`2T zm1{hvjYeElcC2xoWi+;tie?9DNHd5~g9^#GM2GrSOES@z-pY=}aulLeq$9!ARIi*o zM#^k7kjl0+*vkGiAcq~tOp!|ExGp=^*e^OEyX;t#2r-lu#JQT&kpZeL$*vD5I~LC> zL$QL4L`O@Vc7}Ee(cAIQR)P$2$Bwoz^- zN5a?XS{u~$_PCVmd;IOk@DKm|GQL!O{_UTKKc+wb_J0j8HDBhmU*GNOCFSaxd-?Xa z|1$hB*B>uc?CZSk-|EBg$GGhEr+@lm`E#4c@sIV-|Ma*2Z9t3Tw-@`XZugDjx_Nex z>8iACwdH^M^R`|U?DWLAO-|Yi?N+E0sV2xD$MYh$Zgux_+KLnY z_y6|Km!q4) zI>x%K^(1pmm~k#UKFoi->QJ38^VJpBbG|xEb;s8ixYiU#*-QQVfA{Bf`ZuhfbGsUR z-@5i|NZglo@}{OgOZj8`v+VVs^2fix%F5NvN;xhq)e{n1UH4g=4~zHqc8%pn+0V7^ z=^k6C*eY*c`H`CI-rH_!zCQ5Pjn`@Jnf$-0>V2C_b&%ipvdnH>SBb0Z{oAWcU+wR6 zsn-vWtEZ30H`@ZM!`-_Z@3@=4%p(qA?4HV?v4Y;fa z$1jCpdaIvql=+_azQ%Uslritz5%ZnqeX+oV9Z&&g$LWcm%IO=P*B@?p+9d?$LpinM zB*gn$Roa=()3fd%+xwrh&dJcFg*o1JKs2CfTG+o}LBxF2=5&n^cJwR|d+O5HDr2et zRkvIAwbc37HHejUd%SE~eZ4kfe`UuW|M>2{Oj{~y&FB2?RY#Uv)&u=cn6tdAx8iq{ zUe*6KhCn~Q5doP$s7Dp5-uqwTuC-rf z^IoC9`YG7Lvhs~eVaD;@Ly3Jk$@r1o+&B4)O1(EY%1u%q=_i^L<^w7FazE=HA8nUR zkMErBKL2=G3-hZBvwJG*-4g>}upe04CwIYwUwxic?*bCF9j;H35R67%6Xp_TDj-qe zx!(92q7_HfR>%i1!8u5DlGH`zy>vgF0fOOztNN?Q?rLN2ZUoMLU~;V&XAd7OfSAlR z&wh&Tg6+W2HGWyJ5#Z}LKUOhBf!%`?tRJv7@R|^-jfp&$vF@8Ew1_4QQP1{^2gd}D zPNEZ{D(+6MpY)_1?qMI{FlIlRanAbxCvzgI2iEq4moPrs+i~O4Sa7^$C5}hO#%(#>8{qY+|EA#2l_qUPjp5)xj z;{xNd*L%ZRecJ2}_0>Sumep4fu%`M1OMSvXb|aD07p+iz!G~jlPbbk!Vpn+Yr2C2b zbXFDWs}+ysWA#N!k3z3kyh&nyT66XA_%f~X6hNHsH(9)6G))5Ij}u>O6C-O*HZ=n$ zTklDfZ$lK}V8rTz3ltfc!#$*vFjn2kCf?y>_ke;wRPQ8s^|y;VseU3orou^jVRj1^ z?s(g=igPWQf;9up{qM&RzvCW>stcC%2~%4#0xpfE0E-rU$Ky4z;F!#`QRsv)1>6bt zfyK={5+RG5-#>&QKIaMNKm$|W&0E87lbZy_k2%}do-KDnZe>aK2E-7?#JYl=dNQ}V z@pY|1gMz2^@g{~qz})z=m_zlB{l?rR(g)@iq6_1vIrOb(Pwo)%JDd!TC1_8iAXo}W zm>O51#nla~IT@V6+1?zHnYQYk5RJKgqyU=Rc>4G)Ya7q^LOtSfEVqT~E%S}3QJxPh zO=2fRJwKw4Jo-(rklo>AXe>c9B83E>N8{OiNg&TQdBW`YWQJ%wn`6RjfkG=qV`(4A zPeWTKoW7H#Ez`TF@1i>#ei}iDWqo(Ws4tV7gj+amAKKl@VV4n_W?X$5Nm)dW3)Kmu zfn;v={%lDjX}#vTWR*LD<_0J@M)gjD#@-~=2L>0?3v|xv{un*ge(cl%63PWr<#Wemyl9>(Lh(N6I-)7j> z%}`DLfB$r55NYPKAr{$wwV!y=>l*I4!XeN|!7!o(gXz2>v^E6etX+J$<9DWr7-zt^ zk&w`P(S_N-e@5AaEpLl5MGT{CIEO zEXqj1Afg0=>3Kl3JqpWalb&q$-)lm#7|&*kiB^k*-it2E2L3b3R@O?hFk9LNx|bM+l4Ni1c7oiG%HSZjmZJ#?VW)Hyb}A{cFe z++wgN54w0WuLc3<-djF+<~D2SKp%LD4RC*VlTrTPau(PLyZ45f<%}catqt_9*n27> z#v3qhG$bS^x_}!r00)Jw;-D^;IYtivaFn?{Q*T(Fe?P%MuI=N(KVjAHfX$Q){@rN zcGFpp`jPG0F(53|BHIx&%yu^~rV`VR9+iv3NDQ4$O%=D^7nD?UV?jIU!IHP7JCZP& zksUA<`~=J*fy#8(OqE!Vwri|68wk0@U{4;zbmv~x=`MJ07#XfI)7&?=jN$+7o64H* zNP%nyeuK<;!z^;R9@(yeN8D5ZB4j&a+(Jl5KE!M{^xq5SVsOLj6lOb%`oux zmiJ)>XGT#*pADglIsOyJjSh!B%#6aq2oemY=Z4U$y%uM9@5)u^vs*FF6yruhLhnT% zX0`tu#ogTU|FdI*EH<;!u%)rNt~BgfYr*+Y$6Vzb0`}=goxrSe z-APl)((Tz04*jN)FjbM=;GIizhIw*8*h6Th%43nycC1Vt4!M0`Pabp$&Ab|9H22;x z+xM{_o=s-#V3=*TzrmD73T7-yFxVQvFgl=OPHP9Xr`UHYBIYz;+)7ADesoD~&;}e1 zwyNEWoiJa}{_V~T$C!Jo; zgwaHHgIA9G47159fW_N=$SOiKedeMs05=Z2$^0(Z%%wrFx$A~`1}b6QxA_u-?Vi8; zSi}DrNn|(JTsO=nnTxUZfM++hP(+M2VBADVNKSO&HfjKd+sT(MEZoler3H6?z&;F?`;j?cD8;scrRcGH~gQ$M0SJC^?<>AR#V%gFJZgu6W7%(xhW0C=LI3!>VaQo?8 zWH?Y*0=wh!-r&ArW|57MYv9#6KYw=t2ZWmp_T)m0w~_lC9kz#X{7A`yrf?=Uud4YBHOxC;0O#RR`x!-}zsS)d3rko>&|a@`|4^`X)DSl+_H zZe!HaIrZ5CQ=qp54bsot4VI#Y?$E8HMECgC&8XW;cFFdj!Aoqf_JYtJ+Urr%Ue=>@ z0l#8Vla?LqcA{`?MUa2U77j!C!RqIUe>Yy*3+ylbi=wyd2jwyd27 zv9-;p@YAl-u>3AChm6;>4&VdsT4I`IlmN?{L+5gS1(F?w?kU0Glh^kQbIV{>MdvpG$RR|fNm~k0&csHdMi|TXFY*I(F%Tfkm3Kd z3f#TXF~I0X9bQNK>fP6QDQ7_&>()=K9PSwXZSeN&zcaSU<^9^t;h%Q%@>tqgZX;RH z<4}ab3u47F+xPO!fmK9e?Nq zU}FseB37~9Y}V2+=97YKx7J~TfQ|NDY`nIsu^Y#+FHN z_`p=iF;b65B{UEvj9tlv)4gVeBHTz3kiC%3^AFEH+?l`dNLrhi^BqHN%c-o z4%=w1>;9miwTBy&9z4kWX!t(!YJBm5z~^&*o3RIHZlC>g*q_^%)Iw56JyxlFd`35W z{KCV^3!0q&Y~ndZ+__w8{B)hS&!cz8(1k-L%Rx zdG@D68_wp)sx+95b4%~J&Z>d?Rr~tKRd2vi%x_v&b1f>?@!sV&*u6bqu=C-I$VX}! zCd%&@9jU%KeAXPpU}?C+K8Z{qxwy+S!_==1yb z-11%xrA=CiiAHNnToV5{_=W_^q*`25u0FY{divV5dEM_mKmE$+neD|9o1bsD5BW9T zeo4x~xFOpgnVoxO+W%+8x-j!f`;yH&R5hKZH|Lq4BNch5+6A6izipyJKeuk#AmN3hG(TSsh9nNuWF zy_ov7^xnI~qP+zkNQgmu57r5j4bGV{D027B2Te1&W)6L|@^IZpg{OT6)6dPjyFUEY*G&yh)3?S(g)KU$ z@VQ~Zauvm6+pjJikQWkG5?GLI@3X1&?ra{1KhRYmI*5`4^yw?=K8{;+lP+jq9|HW{i8 zW{0jyg^!*t{uZz&FQTY>-^SCl$ldowyDh6Ka{YE~fmv`= zVMJ7jVKB1~zv$un8MC~C{k*E0G)^iWl~t&ZJM4P*<4z`>Z_|8V@9fXyV$bd4mfbW; zojOczf$^=Oy3OU|-L)uXqB~4q0-g6diP3l`|UDn0-V4m^3v4++?)0R#!=n%}Pq zo{wL)tm=U8@)v$<_gF+c9;z&}{jw>ya{ua*hLnvV8L8icpO{2F$dN0(U&v@M*I0Hn zo|W(GUD`ALGX0XX_DS=|5PiRfnptD~B0jF!^ZB|{+PS_9SC~3|UZXQ~O`vP4RhVPV zDTTN>Y%9-6^mz|L={CjJiX)e+q~$(XtbACp`ab`2Yx$3xzSWvLK6_8xJ9KyDs9ayS z*{kb}`hS>{yNF_6ZXTL7L8C$2<&W*+zH8hACzc#88L;HuhOs$96C$Ue-_Mk?Kg;AP z&m3irxh4CuuW)TPIu-}kKDyv>^=M5&(&UuGO;^XR03M3#j(M-IxveOuv@Q*H**pd{ z&~rY$nD@~~retws(AA?)Qy;Z*6Z;=1it5(gi+g20C>N&ZJ1*@|YJ!9M1>?l#*4$Cu zhF6<{yZ-KK%^9W3Yi(S$U@!e~*rnCmdtB(fVshFEKh3kFT(n=?k3t{rd#-MNb?lY> z?xO>Tu2x(eUwx!7Z4*5q=gfg@g;tHQx{QM{JtqB9vM{nsj4ru8^hQ1iM@a0WEn{bu z7#&q|hdp8CxU`>ZFLNB7*PVZ*wkzq9oX-<4U)40b$)9BADUUs&7Ot?@w=AJrWqo;< z(y5MPfPk9uDtR!0|LzhPtb}o ze){Ih{!#luZS*zG2#c#;yIAYY0=dg+EtcRGN|KeFT(p~JUmTM?)ip8bv)a1ZncwPS zeGgNk!VG2K%_{(N3@gQX#68nWAL^sHYTKYDxuOu%In}X8m3&;ZubHU- zWn!hw8)Q?=Td=}Dtaj0kCzmP1mz(m}y;VKflh;p)#lLSe0%}yaImakKq+F0o0!= z*cCFmBP}wEJPJBN)7jM73At>ANGQ7`<$msaKRgQ)UZ@ zU#QfInh~+$+WxreV`h7u8>8+VsLhD{9i$W-{e;@k(=)M8RyV6#Zxi*W71ngd`meSNOQvGH#A}-rX?!lZ>K_A72lb zjH)}gYiG{>hMPK%jT4LYnop>8i_@&q+2L?BHEGw2^5=Gu-&NCo>gjcBjy79ENm5K) z%VR&zUt%RwXJKQ+dpsk-x%z{_xae*=jq_FjU6HXQaF2c3`6*7ZfhT{i8JO@RX4ia5 za?PQ!HV=6tD@KHws`;!>cy8^Q*xYBzqF2V}IoC$g0#-~eGEOOe!WpvQ*xi{!S#v4| zMa0dy9PZT3<=A<(pwEs$qi6YXUFn;L7ba+H$1SRQl(XbP!s-i$2ADO-u|no-u1TZP z9+pOD{49!D_$irh+Pc6++h+a^Tc;4yVJl;+s5F%Rb|cjzWpKwsp@Zy``AYbjM(y}a~GcPURKm2!6L9} zY*h7!!G4~{5tx0i(bnj4g7h${E%Ph<0b zXB)ZadMSFU@$|0@@UaM5vEVK{T|@q1-L`cDZl%9*TGjaIh~18dSEvD2!7Jir&YT#| zQg>f;`>*uCrJp}Va&8wK@ApyZ>i9A_qj|KKgI^zbS-#@ypQc~RcZ}s6dpmnN`SE?7 z?LCm6yd0MIrqL)=3i1PmLZQ*=95zq%4ps1ujt=ss){FqYkpp0|JBn&K8*cWYpy+?@9gV|e#V=|pn!`?F{fyG)0h-+34Uf#Xe{B+YzmDn z{Fy_cafCl}DKxMggngGsq45Mi)2S42VeNDp1zduk=@dF$_%nk-7xqhMQotqn42wb+ z_Dg3|z$IwsQ0T&b>0Ao91noQuUDz*!N&y$v&Y)4iCHR?6VF>$WFeu;>v@ zXHl5Kewl0vxCHGS3RBoGlS=`Ypq)oy3j1YIDd57|Su_f`1V7U$EMdPa1_fM#b|!@- z?3cx&fJ@NMrm%$lvN#lQ3EH_7matzIj{+{NolT{HOYk#|!WQ<+rc=NrXlGE^!hYFI z3b+LAEDBrLFPlvPm!O?PVGH|Zb1C2wwDTxzVZR(I1zcD=heiRH;Ac98BkY&MpnwZ$ z7qH#X%af0j4VOvONmL+H$VUV+0X`@cJLH>)*dgL$>g?&tUq<1ecZ*(x<%D1JN!*XXw z1OSRw0EbBd7lsW254Z$s2Yb`Ni_#m`MJND5T|^Z@h5R8RROkRo2UKhd2cxE*9t;(m zA^|D{02nHSK}4ufPD=+=TnY!1Rx+r#lAuBWfT2P#CqTtT#at?&;!-K#k|2QK9dcHo z0KiZQxrWnKTvYQ(2UK(l7t`q^tOD;yfJ&&q5xc2PS0VHyvPyc?I+sZSmn2p(B|#Iz$FPPNot)dp}o=GayfT)H;t#0hc7GB&l^209aR% zSnD*Z^yqaO@aU131hkO1$n_Nlf)Fp7&}T*$un1B}qe_o*r%~wuFNx|Mc}o&rcm>~v z7m3|Yqe_p4r%}OzD|kudmn0>RfdKPMXnf&R7mX@CYMusG!H}0kyd-IQ3wc zN9ob^G%8r22QP_uNmBPTs*p*D884DYbU~en7rIq}E~N@L7I3SW|E={=8V%@s@REcV zcuRu*65Fq7!wXS`5MENG@4+%U4O>bFYoyRJDe{&iyh!yux-kRcB}Muk*&- z(Nc}L{vxyQvE6?u!3*enL1Y6dUJ~^^3Ifb8p;acvFDbI`L9_==5b;5ZmqdLp@~p-9 zg$89HD2m}FMfN?2f}sf_VMy_ksPEB`8HitK;0h5hEQ&@ti=+fEpzj5dQ>1uF)b}DwUK}r>)sL4)Eb2;1@B;c?5K%^omqdM!f&j}S znSGB%qe%%~K;H`@?MU&GsP9n_V7$oedn`&%O7H^uUJ!vuikC!vkAeW>MP}b)(Tmc7 z7cc;5g2+rVyue!$^u1^%6xUy5_B|HWDJ6ITeJ_YuCB;jkzDGfT`9)^mW6`csf)~*D zf=FIcyd>&-6a*MAGW#Bj0+tfIfW8+*IFsTfQQxB=KzO0S&qyoYp1`7`r35da?*)MP}b)**emJ7sx`Q2{Mt$@B(j1(Dx_^FkWQ#J(k5J zC3pdSFUZg$#Y>{TM?rw`BD3$Y>@F$63+Q`6<{Bwp67@X_0*n`#eUD|mNeNy+-wQJO zNb!=W?@B~jm_Ai#K$+4oYEA4>z-vB*nOya{+q62F9& zsn~iUI&~2B7e|WrYe9-EO>&wn@|GmLgqD^#UP2V{`ko_2`?VlXmL@q@7I{k&UP8-3 z94|8aUW(FXX&@yQc}bE-i5asrv6NX+Jf_IX!1GIra%O2DFBW-8#7m;SM?rw~J(+#a zMTAsJ;(bBdEKPFiEb^8Fc|<{g@e)d@7{8=Q--Fy)n&kXhz2YzoaOSmIiWVk(VU>B{7wjCYDPp()Xz8OXL?1F=C~J zUm#(YCOMrJc}oJnP!J&fC9?X(_$5X99^})~BR^f++Wxe7G z`2_5rP_9u07O)pnh!FgsMfut*zCsmizVSI=V}XN^b|a)DI1x z63b)?^A@pgFhN3Z?tdscCRT`u22xOw7bfV)?F$Mpq0R(BV(C~0Cfac)Ad0ygZKaX^ z|A>wlt|36QIi|M-j3{>V0!hOoDpc_Qdl@$|MCl;y7J0#llGt#dbd*R3{_BX+v4qfe zb96+kkfY7#doLtIRJaNOWU&oVteXzxC>3TpUa>8OHSF@e;hE(?SxCWzpcv^s&Ks4i+hM-@aI-AgX@ zLh&Xb+9r%0qDSc;3zB6icRSKSxK1IGRc-_4qtH97oY^I+CLxsf{j5Y{PLBb*>;pQKO)fI4VdV zY&S>aL>w(7m3mPnAn}<=l%Us990eI{bU`6sagLJBOju>#&O#J3)!L1ym1wT7CY5@8 z?irq=Z4(CiVE@!-q9aw0Maf=xj<$8wrbn?Xr_Mt3Z~9D%B92}pm3s8%VLd8JTI(o| zf~+p!DZ|zi>X{Oy9+%hk@9R-4>8kyDG+xBf2c%Mu&&R@X6z!%X^(aVwqKgusa2&;| zC_#{7lGr&Wz97%6-C`dvGDvGk#U9ODf=MdMkvmwR%r3GJpMSqk!g=%TbBF_w}|PFO-rC#h0F_EmdX8aG_T(ubsikKR1Y zQc-?WN3j$n0MP~Y?FlR;o1JhuK>xlj#S(Pd&(c_tP5O~k@bS4jI9-Z%)1fQ{ev>F! zMvSFojuVz9(pfD1dyZ3_rEtP;>Dk+k#BoAzo`j_x?Kpw77`ou}1aX$4Ybe;@wb@X( z%$R?lrC8cZ`&p_WVrdbn;Nvq|a4bc;=}4A>^bxu!g#^!1(Si+r!30a5=p>d3GIiRm zOZN#`+FVO2_~^~UEEVO#bd)Xy$s%;Y*&GCxl1)#ze34FIDQ2;?8`8G@CK*z}$LD$A zS=u&fpj`RKz7t5Qpo+YA8;&1yXi<>3gSiSqI3g1OL6O6 zIF@3v*g4*lAgiO@f*&WeOIv!83O<@80P9jw-b9D76nIa9bA`lNN>*o`j>|3R1ePLl zV%~n1DvDV8m|XD5y(cukpd(odqPFOwAbc^Fl1)!o_<1MkQbDdmyIHCzWNC99so;wO zh{Sa%E)J_>rY8`1L&x$C#5YE7S!yYq z@mo~MqV?$bbBXXQZS!e5h^5GRo2a!ygtV=vHkM)myq(3;zvn$!DF|8GTu&91T53B$ZaB|WYZHaX8GUOrC305`&qhA#L_;bf{%|i#<3K)wcar-1<|{7 zQE)F_m!eDk*hGk9X=i#*=%GCAH}$Rd3GLFBaioHehW*02RCM-Fhp`lRPgp!Nfu*8t z1^kSUJ0+(RSo-(8r*2J}O-nXR?bW3@mNZ}wf4~isAq@JW<932Ogrp1*%Z$9BfIX~? z7=fe=LFjC!xcCgg!9DGr@D{(%>EJ=1CxJvurvDQg8sa=$sWXRZ22DF|ZRx(4IR1(!b|CDT=n9 znn|N~u$w1=w1c;v7~n(|_IySQWk&| zP52^0+NNS~kYcjfInxssLfn2xMO#nJr13ip{1G8-W+?;hrb8j+0!Yc6C$umck)?tF z+D?M>?>SG3ZCg*I@jDFBHU)vD!iDJ$GD{gCDj0c@=u)!j33mX;zpqQNAmaA3v~BB& zG=7I6ipv#;6t{cPF@g`mf*GQdDv;Y3q&;Be)gYF3qVvQM90<~GyHvFG)Jz(`!$1$1 zK%R&IX$NOJF+f}}@`724+c#{}rD$+#XH8F77;*bq+P3v{nJjRRA&Sf;K-wmb9m7(v z(9FOhn+eksS*0C@Acz*+)4!kZ#K7W+{|2N&7r&V_eh0gGn5Aepm^gM2q`-T^LYs+@ zl6g@4$z95LUlUVvU zy{ERVC(`&GhA1?b0BJ{iPvHCl26m7Gfu&?6L>Rau4E}wVVu8f%W@&ue))Q&`4tDdf z;NuoCJ4W!q5*`B!Z6-oWQWcLO2%_yAmI{tkXzz?4FWP!)CXL_0Zk_~EVIp})*`**d zn1O{h6Cow@o^XZzJAtK`#nx^}+qRxa<98VNg8Vq|35{3oNL>mdgBhX<_;_7PRw;rZ z2%_yImi|5ODZXv%i8Ou(yLl3pcC_~dB7+%NXfu(eWYZI_-2K1LQY?_T{kl}N^+X=O z!w`k$ice3t^`VZ@r64kxA*u_HXDKdVpl!y-Dx#x3cLJp7YR=z)RA`ry$L}yis}=-E zJKB2!k--csw3)zCvSJYoToL$AfE1CF_V4D!j}UHyEzLf(6zziB#%BnEXgf#nu|VSXvs6*YQu6p6?B-!z zigOb?hNU1fn1O{h6Cow@o&-U(odhXX+^gLzjTf<$G=7I63e6Q~DXv&x2SEzFCoHs? z2x*&&X)~g5Wwif&U5W(~x1Xhpg)=^R{0>7DnoEGRZPMr&C%J&*FF&a zps^>YmFVq-luD$y*t`2-e@owu?>E=kcek;caHSE*w z^rcHZlmmu!=`yD2##}hdl!3-B3v>gWE8OA0s^(#KRvQ+AfMTh|Lgbi$C&XhxCTjmI zlKcNYFYv+Mcr4ncVH_{e>e?t_{|B}6AHYI5eTnk|7rTMO;vZF!{10FuTpuK0A#BDQ zP5&%3|383*P%#j&Xq&Ncyug+mz$gEgeYgJr7Q(d-0v2rxbvP`rbyw-dLP!8{EO7A& zI4rQuC+WpPIAIX55Yk0VUtnuU(u;-AStnp2Y!<^p%I!{3@`yMVxUKv)8Tn_)GynH> zr)b52fQ4{+!Se!lvVm0ETKGc?aCRqJ?2G7+2$FK7R$qv+fy8-%+x5oDh?Lu%_=G7O zFVJ0ah!=?9{Lf)y{{ej=R15@O2+a;LeIey`rzpLPfCZV|DL5EHdi8~n0ODBSc6M?4 zLdxw<{GlB0a+-qG|3hFGR~-cq|0D=Td8Hi8fBf zd4blcA@bs%CH?>3ml4rfT?8!1>`pANUwU=%H;b2P?(`VIk#qC;ossJQiejr=U87^v)wf$3t9Sh}4MKoGRVxolJb?4jeB; zbP>Zsy4O3I_#+4LSP0EgF)XCq?nIA7gy#{_8G?8${#l)s|NFKUz7z|N7oyYu#IQh1 zsYtJ_B_e>h?i8KdCx(S|$9FPCfmz~MkX3MI{Fd&m(C438D>-788=<^-g@TB-}hgR{xcW6+4pRc|^ESAuc1L^Wel} zM9S?>w1N~YBcg-c#ITTZyAxmi3MV6?6WH)rVAZRnS4KpJgt&}|j!qN9LdxwJ4Fcr1T4t*+5cHJ!T*4a2p2X9SO}ZN zcp>F>r^q1{!-7ecGr?>}Nkmg;Pgnjj8imWIGsTkZE3ATo2S3S}QrS`%_bg$JlS^v+ zPN#g&QStp9W}2E(wx$^vbe})?SlB>Wg)t6imIcVDOf?Q*Q^r{v3{;t`FvQ~6@D0{` z@+M!ota5Sw>0w!mB4%dHzdoAx^0jH#M`b_CnqRlRe2|d;EY8p6!~M@qt!o~H&eh*) zs3E)a&8CcryN(S|JBTm;q;YR(onNp|DAiO*?}L8_pdcf-#)z7 zZcA&ADZ%gFjt`ah%KhzMyGT#ZxlZneb>Oc4f4bDlxazQ0-ifHOt1q6itv@Y-YCi5` zK-@9|w*>vTuKe%NJ`a{TMQ}n`>W(^RpCcFRy7mSV!v#f5yoHC-N1XH4mYd+wZlqdv-VP|mo-^vH}o3$>Q!mZJ)=w8YigG1 z7mYZ1BY1Pk)^9n}ju@MB&E4vE_N>%?P_x#5oq2V*NjJ`aI>CtDoUEsHZkP_Dn zzxAvirVjBnoQx->76m5$rjuF~UZ=~h)S9vQr&_+(5N=Hc&O6<4+lZfMfytl6OVbn%0@M7l>qHP7a9 zO{JXK+J!1tgLP5s`u2>pJ$NbT{he3&YWOiiwB!HyN!9pj@onH$i2dShh_G{tp`h=rfPrL@6qR5=_VU{`G>`S?Yt|q zm9J{kcXHCSFuxuX-%rRlx=4uQAg-Y#aK`JL+KN`P*i@-sLH6{7~vP zaJA2a_=(@0AISeGZ91^gOQWpLs588zb#R?vAZ{35Ek{-yPSa)#5 z{f(a#l1ojKyH83UZ1Awx(8GqMV`h$yo9(jmNluEnqQ%X^qs!#tXZCrR)&_DCSRkg>LlTRlQc3 z$<Y8(86Xt6$VPAcjx9}oB$1r^#~T)A>9 zpK)3K{e#uP$~&id?JODIFsQJhj#iL1;!}?Ps6qZ0mX+>N&34$YK7e(^Ry~muEw9WQ zqn(y=f2^y*ST*GIl>UJkYma)ji7H(7-M^ z6h&6A^Fw0wCzw{)Z-`m+DW*cVY3T2@%2O5=e>arhHk)ZtYabG5rFqZ6YRo!=9Dv9!EcrKVtOIBDw#Ag;tga4Cwi1-p-w(<~DPI!aIh7&c}C)l05Tk zDosaK{ZwrpTf4Qk*XIGUK31z&tx)TFYOVbFyUZJ9Zlg2S%Ii)YV4g8AW9|?I13#~s zhE5HELs$oXUDp{;HaSkUR#;3?b~FgoWC;s;IlDWw%66Q z*gLGR@biB)n8c(we)c$#QdY0OpkZ@ZR>GdMnSXwN+%tJ&n9TAyYkxQ8@9W0DdtcSW z#UZuEV*Ib;MRx;-St-?-*}TbCT4irIxwxnO2jWkgk^ z@Aup;^szcy8^>JI2s)%O{Mj2nR%ywE-<#k3I=1re^4BpJ)z_)~<+Xi^>WSto#d8zf zTu!aAbE{3%IAdw6$yX>^6wH6BL3`@?bhyIhy?4xHO=V-od7GO~l4+fN(m3hb>yrIT zQWbo@tGvA686Ir6_V=5t>Ou0lzn^AtuGE#cjtxffiF$}3^X5-s^V|_a^N5T3%Z3O7gzo;JTI{*$+BD3$DyRVtaD&0e!<;L@&p7pn_13!SH+G&ISytE7 z$larBX+O??5_`hl>d28*k^13gtMeo5|NPwUd&%%;;NtyxY8gAy`#&-IW$GLMI?m(W zt!K5#7r)BBelhOM_MB5iD|~f~@2)&rU{NI>z3|5KN4_7mTr&K&{@z??|6+AwaY^Qr zJPl3Ob@Lzffu)|$kG6ar5w(prVB>!F(@W1XrYEziTrO-ZF+9syn0tz*xvS!d<81m< zqmZBZ^o8K*L<((P+mtr;U5@2VR5$v5Q0>x>+oNn!-)=L` zx#~&V`nqt^yVo9F4-VlB53)B3)q0bDoAd1FKC{@`%zh>MvM!q6_@|@!8B0>_Zz=FX zN2NK<3NRiuAWkVoS8rdn&0#Cbau`D=z z-tw-J{A}9%cn#`q5B8H|UFTd^Jh?t~>h$GjC?mZ~_sbV}T7();7^&e?{VDfIGuPDr z`xK`hiy{}fsrtmLyj9#ZKEy50lNHIHs_5BdwrVY zS~}8y*oCmmV=B3vl%7j_uba7BU3GcbnPS^hd$d37e0DLUU=uGmF*fPGMP;c==?Cp$ zykXapEm;$DpWLK3&oYW==GlH;ThO{b?%uddv}dyiru=27bZhS2DUQD{<_{V8zS<_t zwBXi*xHA)Ms%$FH=IprnWPst0gDqqBhhHD{aM8`b*4#ck^=*#K%S9`GK1~}s`Rd%8 z=LW6Yy?7ao_VViO>4 zv%bn-=4pk8EE_duw1UIuAZi{_ex&=*&?W%j-^#{oY^ol}XUTJlEwb4%#;#d8#)l zsc6Q^ehsJlk5v18&ZS>^dgYRaN5}7(-hUQ$Enzd8Hj}I5?6`U3R_mY3CtKB?Z@M&k z=G!enHdsXV1$a++Gc#n{V{3Y_~ofyB&Ph1TJ(*!8?Q0kQ^#DFf{*dpj$rGrL|na^oU1dY7i2@xAKP(e%7LW1jKQ)~F`$ zhN7%TLB463jze_s9a{I=I?~K&L=V|b2^l8yr^wAPr9azIvGMddU6YYX3-whii{mt^)PZ}w!uBHBLo{?9e zOS|i*w^Qvx<~zF@t6Zuv_4-S$A?7A?7O>2(F)3#Ej$Y{Uv38ENT2j7`@3y?_%q>5T zZme3#Tpb@gXW`u>=Y$HQZ$U3iEZ14&KYnYkspdD?GMG~4;(F>%*A0zb3kE2^(VG<< zpYh;FGAm$GAUibFe(LoPy>d>f&@}HP9KI7UNTw>unzrVFL$AfYHBUpj$cC=HdDVPn zO2o46=Xwpk8nB8oiSen9SyeG?Xp&sZ#@qViGA=xSfAntT?6P0K_IrGr@;jqt?pk*1 z$Gh7KlWbot$~gS){aEI&vys2&F>i3VXMKO%vgk&uR$FzjrR4PepI(aBTB?;N_4bwe&B4jo5+rd&~E|v1?~Q z(}kvlJz_ZBz0UXPIbP;otCZ@urn zZdzes4vLq910nBrx?(3#9L_K`3 z-n60Td;4qcP#Wyv)UD3!O#^%Tqxb5sMy2iM@2uRAI?rj)r>Pk`c6sHS$KD)jzqI@u zefJ+q;gih|yeJiUyCTX&f?vu|NWR8ZvA$*som+WTDJedBIS>wjrnxon>5 z<1eQ}YAKVAdlpA2?9YF+XvD-Dx79dbAD{5+Yjf*{ss}aXSQLG&yhp@%m$iWb(?1NJ^= z>UI8K_;*%1-Wk#}KFCwCi1X1yb*#60@aO~kbd~OWd0}W-a(^#7y#Mc4^ByS$2UvR_ zzd?7anzg3pp`62LO3*oHMtKi4Be~`YvfuUZd|CB4{j8GKfvQm-H7^_-lM$dFv{C6( z!_}NIA7h4@@n5~ytWo^4w$IYgn6PEO3X3n*@6-)=nPZpzUgyX+#@_T&6<5`$)*k99R3- zv*jna1$=kVZ15a=zt>dzElXDz&Tw6NJ!Yxy!O%~`^%b>EYp0iVTDT0o=tr#!x~5H)AbyejtU$Xw==x&>mgx31ELH5e6o7l>t^>| za}r0}#{U`Lc+yR`&T(Eq`J~hI?Iu&JzGi1`d6Vvb)l%kl+S{`OHD@+n=^y-lb7pZ? zQ~%%$->Z$*+1IoiPswYizqzN`m>R0JIlHn)eO#zn>f7kl_qWwndJpx|nLMg6c>nl9 zepYUrw{>>rtVVUk-{qV7eSEV!w4Y9W?j~*%ZIzeN2$U@m>)$0@9j+FH> zX}lDAMWtm{ww`Q|YAx+e!Ks}A7W(%TgJy(>a{PydbADti?g{>>HQiyAPb0ln{+r$A zrF%n5J}ylPwVSytrhZ@O$m8{=6m0`}Dz@V{?Ty!YSJ9st_@+|*`-AkQ>7fUWOUKzK z%P6S22j)+@>~zb-vvf)Cz5yoFM;4ouem`R2zN`MoAoh*^W7#p;eH8g$^yS{Zz`&D>bo_{%dVSxR$Zl`<>r42sy(0svYOP8CGsuOJ- zwjLiCGyI&T{_)Tm1GD!Yw7oi4XOjI}eapGMhYSinGN$`C)%(%ZlD>ZXsf#yS4RrCJ z7C21jNe?ssEIC=TnT=7Q%HwW24op<+;qWUUV1D++P4&I}@2Z&YnXB>EUbdfcEPe2- zv^Ue#2Ac#-&2AmItv734agR+3EffvI7K-AySy%hL4z~Ntv_31~RQQ+HH?~?Y8QSJA z$J+1jRu|)4l8|=QBs)QS`yOh~v)iMnzvOMU?+BgzD?MkV_IBT_PXi5~W_hfn>Sv#s zIQ`}G9zBBW8;AEYxjG}efD@QgV0+cgGW2SGw_Be4F#EUn26!Lsvw2qDUaHf%MRL_4 zS%GQ8Yd2kAvL)~F=#sxUIn#Iaxo~#XR(IKw$&?9?M_*X7vwHos5&pE9TjVA$+1t0e z+w?IRgL@_h=G^RmEO6=6 zD|D;5w~06JXsAiZpwIq>8>WXOZnO#M>y>IeH{;OmuDRh#V{giEdk;+Bq-oi6?#Qj( zpX;0KJ#)DF&oK7a%t<%bU2FK$Z-!I4FI-_4+hq_$1+i&tp0LI)lQdpTaLf3{RVWeeK@n%$yr)TzvjA4=~BCL+x+d$c^fi6r*LK+AGI|1 zeZrTU+LNz5IHSBME+h9D|J*FjYDN3FvqkHb-q2V3s2>|yuzKRtaa zC~soe&oj4MeO~=gZ~oZ$vTNR$GyRnE?+=Z6sJJyFbNz{L*P|}^MRf7{^Jx#yq^IkR zX7!q{>(2!4=;2eyH3|+5Jx@6sSCZ53e!s0lRt#~wG;4UDuWBF6LZ2B$MY`AyveUaz zIoAGZ%&|!(cU0eo_)KfeYutMEoUG%t8xA-0m-rNJ^VRq)Mb?_5E@#G_ z`H;#?IR4Bi+AQl?@4Jb-)WrLD&n1n%->Q*tuT`VLujfSO))&f2Q4e2Td+>aTV-78; zPs|6C=#jcFKQE2#cJk4;K7;pP)F&HMVLU7tC~(+8+n6Gu3Bq{D`GMY`q_d%yC*Q!? z&(YV-oA2d|?M{QHIrbjl&G`$h=35$1FmPUOzi_36y{Dh(eM5T>H}^n_I`$R?d2c-S zrn|kXA2>x(^htevuK>zo$|M>U1h`LPf@2{62}!V4)4u?Y#v>s*yi~Hd*kUJr+{9*!PiNW(t2no)8qH zbEZ?UeKzzM5aC&w!deo@CS)5R*k4@fz(*mP@4M0&c@J&XxA${K{-Q*1+(p$gbnBy(IVY`088XBdgNa= z8d4zq4f{WKTnP3a^8WwtCH_tD9ke7a8x-W>fNC0SG#Co`3?0pVrBYbv=`I`)G05R^ zC~UO&1RK1^1|cDAkT%Ofzrh0;)NC#bZ6Wpm|IR{7d?URfoNfCT`5mPP0NQJTryBsq z8DNFQTmd~J96<0DaYD}pHO(=enz%V3XrW&bP;I)`N?}2A!5?6X#e&ZD^>SM2=qmm#^!1jP=ufo$dKDRgxM zZBU_{OQV6@P%4wjpiQ9C)Tq?)xN7c9+^Jha;!U>)a^U5P4mJ7^6fD@T?O_9aon3lU z0ScVn;4cgQp|Dvj5QgGHLEC6t4jUh!Gtz8lITHb z;~|MK;%!_yk|;!IV9!zj7Cb5kUI7HM}OmKn-aXf&u!To{&W1V8SPUm&xQJh1kTtOJ}2nnZ(+dEE>csFhjvuv6#rQD`MZJbHHpyXk)Tq`Ji(c z(7iC(G~{?e!rx;fh4jSQm~19A=5!7h9$z*WoGHCmV^1iWx;a~kAq~Y6aF3-sYEE&2Id7MkzcHh z$)iK}3~EC_^A?lGf_MPxCP4Egur`n*am4yyP=R+qXk$_7kZr+09S!mC0+f+65yk%= zpa!vxMTO;@LE|FPdSc&Yfj)@XGw3vEj94@lQkhNcyI|fzGH}G&z-$g-59TtYQmXjp z=yX`eGN{nAvFI$Mh_~2x!3p9>B)?c2u!bN$gO4E<7sWrvpd;s?iM4^Vo}o5?D5P`1 z0meu~u-JD23y3x*4V=o09N{GXIiNg=ItLsziyVwY_#Dh3aDFP{-4H&!!85VHCi1)$(dJ=jLHXHHG z#O?*m@sOOe*gWW7z`PG}4X_lp|2SZEh|mWZA>^bnLcc)x32k6XK?=r*e-7BO#P71W z42a_lpqq#ou()hUKQp*o$PWOlhirZZ513Abdtvd=v-ZUAkB6=nh_!)XgD_?AIFOu! z83nS3!01A94m@Zs@m_%a0$~882%4vXO#{g}n35q`1$GW3=WJj(5yu0V0?>EaG!Ei$ zi(vrlAxO^Izyu-A3&2K#9xx5E<(a_OAojrqh9Wc` zO!UlM@n=IGgz&s|WTwogqF4lZ77o zDE2wf2KB*aF`%&o(*kT414%1D*lo=drn5Nbdr1gZxGonD&X7g4q(D z^Qb_A3D3czq6GoOaR&YZ5f|V|A_wG%eGarCM*xepfz?0g*?>BL#vHUkeh;7|WJ|GV zKsgcm0Bw*y1xs$wJPpi0$ggG5IFNq?tSd-9SiqJi+MS>c@|ieP*cM=cc?-f1ELsxh z3J#45>2@F;klp}oklp~E8l>A<3>u`HKpW(50f~n6E?7^7>|LOqAs>tb7P*OIj;L&i zR}6T~mji|f!Ufa`gLs7)9E33k+7#05EU>6R4mk`Gds4Hkb2M9oYfHp{`Ilvi* z`T))vu@A8F1@Ru3*>E4gv4AijS^whzrwRTXP;3NTSS&6i(;Q&h67>~}4aiIUE||B7 z*n{Z<((Pbcg?vtAswLtAEJvsfOw*7bicH-^nFdk>>2?-}4*8~_4U%aN5MKx@4s5fs zIDjz(48ZaWq}zd;2l=op4j1As2l$8(@4>n#k-IG5_YlVo%v!K+=Yqi`+#hIxWSRq< zCb$nC%ohMXq;r770Aau+C-V0b@BVrHy9!O6D zFBIk)APiBz0I`JTJm6PAdIR_i5cY_RL)7DJlJ#sh5C-TuxKv2?ft?Ncc_69)@+*K{ z4C!%T??SS`<^dywh#wCu^h0etXbl-40O?|2CqjG%_8&Cnz*~jJ9B2b*%z+&SjX6+P z(3m4r9`QK<^w5}t6(eZOf&BuFIj~EhF$eYrH0HpLfW{oy2hf;I3WxL4AOr4rt7IRCvt6@;y-oLAUUjgRM_uA7F_V8gtMF*%4qj9@6(* zHte$lZxyl~K^rtLfJcMu2rd8%r+4|j_8@%A7d?={!fiDY282aYnR|Kh!7pH{7Mx#V j?CIhK8UUuC0S!j9_vNEeGhj6m?3wjeRh?mG*!%whV7&x! literal 0 HcmV?d00001 diff --git a/slider/doc/crimp positions.pdf b/slider/doc/crimp positions.pdf new file mode 100644 index 0000000000000000000000000000000000000000..559e236b819d5225313a2785150e6f76c912b282 GIT binary patch literal 13459 zcma)j1z1$;_CAf2pp-}rDb38l3?bbuUDDk-G>9M|At2oXQqtYs(%lUr3Q~e}{0BXr zd(OG{{_k(^d1mHYd#$h5nzf((zH8_d#UxlkY!FPk>fMq{Oiln0U~goF$;Ss^mov39 zhgkq1aFH@5003Z@u(W}hI>A3}3}L2XrpERrrkDZ(n9eXKQ$t%!_tau_d3#bx?B@N_ zRVAj3Lx&a*7v?IA)fz__mJ=|QJMckPnF^rx>O^38PpVM2l$F%awp|ow%aMYz&#>Zb z4k(cNtS65ps${?6a(LRFqGfb0E{A=E*S^{C$)_|%P;@1ImmGGUGML{xH)ZczsLR&* z{b91>ndsVXgBXHmbIH*%m{G27OLId#c4gtNTuZN~Qd+-UtrWh7Y)$3;=v`W{YurK8 z>Fw5R^Q6f+F1zv2+~R9;GHT;BF7_f}KpvK$r*t$I5Fyl)FpbnSc0~N3SGt>(8njU9 zbN*B-hQ_D&g7wC(5tq`i{5T&ACVblE^87Z0MLb41b5-uGm>U5r(C4|Yt+hfsZTghr zDdqJ3*CncvUdw@=SDZxs-BKMn{a4h)8Y?%KSHQ*&zCo({3=H%*RbvY*KC~QyeYpTX z>K=72#JtC?LqSv_9#;@poHL-jr`}*K4UtM=k@H4PZ{H5$VE5!;9VZuY12eT9OGTQV zdP)B)S^3~I5ds@gS1CrCw9_i#2eASEqwhDyoP_3u?CW%?iRBalkNo>ac?pJU5G-f? zjSPb6pt28f=Th!v-nX8~SHbk7&(oU_bj~=^Ke35$aeq33C0ScOCe z-a4)N&heA7)r8-}CR5W^6ubd7Oo7!>Hzi?Myf5F^mY*Cd?s7j~`5JTE%@ek1hIWc+_c>=vv8%6Dhf{J=E^&<3sEK3v zScxXwKP1K=col$IBcPptIWIaFRT;g}G>Ay%eca^hAXyiZ`a+71B>E>zsMYhs zBJ0$>XBFu_F$Ujpe}XDCb9bdo*FcA9**>lC;Cw8XRB_jPdLcq`#w=Y6#DJRK|{8I+JyMY?Z^C8CJeQ_??=5KZC|u}DUIBEi_0z}wr`}BI*j~r**cQfl{BzL zCz5p!x~zcRcuq-efi6ID_OjyC>O)>ovwZ>Uw`&w$(4K1>mA~tHj3ZZK^8$t2x08}f z%DmLx&zPomCV!*}__w_T>hW~27GtzHO&~Hu9PZbd0*R@~o>=O2Nu)p+c#tLKw z0-(RM-Q7@TtRT3E>$eDQ2Y>xBQ}CZN1+c4nIG6(16%EZz0dxS+&zUP4I+?<|2mQ8X zmp3)BG!(IS2Rw(1fB+5%hz$w`aX`5NU=Ao72b7Bk0?_?wDhi($+}0UzmyCa9UP&i= z7l*&h_1AzurN0-e0-uJHp`EkC-N42kzs1r3n3Id?@0KWBOU%^O(%4j4Qshqf$5tqt zI@`NA8Jju-?i`@}mt}Ip+yA&B@ccQg|8zsR+qYkL4iEss!O8u%JH)1jho-7Vyx?h? z+s>3i^ZQWo45I#rZy^9Ct861qM{D#rg)j_DRob2ioB$SHDNK3f_qmR*XnO*oc1jC) zz0)G$X{fasNw8RN>E1V5oU|NWZ>zK#kP>**EIeD@ebu^2wr{CFZN6OQce_km;ooYy ze2Msy!V0my+Mur~^mMbh6>;<$Qz*G{wOmr?z(9oJ<(eo0eLoY0rZUfZc{c)mLFWxR zg|<4;(Pzq<@k>O6-NrDYVP?pS{$)g9Dc#&haay3QMnV3v@Q=)}c)kkG+tBxU7v`#z z*NW%<9>=p-cx&%3>gbN*)jv)p%M`3gx@dHFVlnqH>5o}=IU%&%qh-Knbs|}jwjg}8 z&e1RU@-uqw<_qbd!3bIYTE9)@k(_r$Qd7mAO9hQ`K`l&gb{grm364(n1&gE+(YB-# z!kfZAf8@qwmtmnWnH%RS9#ZDcOPl^4&i38|>{XFC65H+5^fKXqz9r2%l*Uk=J`y|7b6-c;Iv&x`SFkAK%j2(m~s8P?uWwF zLJF=Qd3y(_gQ6G{4FRuW>J*aQW%wZ@#|5SNTuG4jc$ALk5-ogv|<91olgc4k?ZA$ zB)q}3{dOV=OOiJEV;&wJRN-DCz@v)$;) z_OE5U`&668efD%t&CN%=Tf|cd`PZ==gEq^h%#5?Bs#>Q4Kxx43cC07f(&Y-GRm0fq zV%GCR1%=BVEGJ5VbkzWLjVO@F>O`L*VtuSLgG^mM;GQBuT%@HnmkYDHf>$sRCIG2TpEM z9h|*}E*I%y>1OGO=c4vF4Umj3rF9m^xH@Js!eLB}z{Y8%og$a12IJ6M9Ol|erGh6j zgM1;KPLgj19bdabl(IfWnDfjjjrTF5tH%m1P~7{JuIZ9dd5ccJ>(TH@YON#2`F4_d zGgfV+)N|jV|M-URvj1~)!^WmY(G7%zlS03>(~MvH?#(^tjg&Xh?#JK4@G^IEd$RPZTzrF8kh5dohelDYDl{7` zP3oDEJ+YHmFtbDdg%G3q9=jEePM&y(V>7)TMQNUp3Fd3;?@}Us5s$KJi!3X*7>I5H zjmDOn&WXiCUNa4T%5L#e3>lU9Lffoc!5DR6I1cR2!JcS5ris zK3on{@4RBUjy-V0^hMv_o>)I^SKa%DOyW-|{1L4mU7(%A1X+4?m((0AW7cVtCt4Uo zaBY(}0TQ-%;5L0aSt&86Zg2m_Qe)GVoky`k)ZU?o5y-4ytzK2rCEZ8$ynfO-?hP$j zVh5FwxAW5XA(tIi-a&ml4w*VyHUMK+ceJ}t6V-BJrtCx>$@m*%7vmqsIL6^<#C5ZZ zj9Te7k0_EX2dZ!*Wn5{Ws^~E8WlI0J@Dyz>UpB$91V}$_?s+M}nMp!3>R--{vH{dn z7^O4K{gijb*PX7MQo)u3$>E|4Vkj^<5niYk=h0$dvieva?L=-f8Vv5M5VeaZ541M> zmbNgT+=A5OXcLgHYm%lF6C=ku4rcg*(TL#41)s1^zr*v!5n?O0DLQ+Z>C}2Je@C z3hb|39TKsU!q?)L28y+fYR@M%&2YOBDsIT)_=gL`m#PX9^!Mh+M%!vbW|A+SlbYKs z-_)p%clC5e-h9q$t`;U!Jqz+r`?_6SSa*%uvk?BxU9J&gphHS&o>1k=Di>4qAZER@ zWJWlJyY7_+D*-YqSIKLgm4xVY#i-Hb19cI5q>Hj7h-Y1AEDp&pPDo|zz&nnv6e3a>x8NJa`ugLQL=Rlp|vSF zms{`nIE=d5*DP%~Csb@&RlG+JkJnx;hK~Mve8;kSNTOAU-d0rp;}q;){It8P|Zc z$}+Z0aIPOAcm@`k3Q+A^!-<=v?t|+{jt{a3_vf7YtPN$r6 zZdQJ?Ig>jSl+L|XWV)RSeI-p?^Wm1)vLh#+`}{QIwQOL^P|z(!+ihvcrU(KrV=LyR z0oHw= z@lidC9dbZrUlpj6C=4jd#!ohQqKZ#M%8cHs$~r{dNAdy@s=}6cf@P9JjIjhdp@p?` zFv!LjlI~D9^R7L2e~3jVNMakIDseV4szYpXW%eQ3&ke+Xk1@_9;N5gGB^y34%`MQG z-9rmP(4inNWURthB@nsBM0bgD81Pd7>+FWRH(u&hC0Vt#y?;yj9%CEi13D+y39&Ri56ox2q!U_ zkGm%e=Tj9U_JfFtCZ0pc0z}_Nu#Spx1pb(Mv%WI^k@dS|wllt$%moqKs+g1?_?*{K zKJ&3QLIl%ewuuMG<2v!szNa}=LtM@gJ2p{I`FBeT*uvJWUim{Oz#0Yy83qw#yLWQ)PEhhZ;TXJ~kKqVA@C^{)`7Ob%FK*?@`rSdh?kN^u>(-O7bD89~a6^UzmQ% zVIk4CAL|K)`>XnxP0RlJRR+)SZs~$=Sq_dsXLBguh|VmbdX2m(`qu4*lZV?jSD!ZI zZlv)9hr1$CUN9WUKyPAj1TESJkXCBfDXkBhH_l4h+&)}Bh0MBqwOdwum9WK3Xi??Jc?-;)DaTK}`&jeLf0cxMf5Gbk-g2ZVmD z#O}(g14z&A@v$8whVK5*TV?|~9CPE|!-HCLY ziHeKiEp3p}MvO|q(hXl{+Y5F<#n8)Bt@9V`dzI^0C>Mc%J;FN4RXe}NqB@n;qo;#c z=QfLmJu9(B%r2I;?Yl^|wvh1X9S&yc@c^(urn` zI?NtVF8ZfHlh1Qa7A<;kgmqf?xb@i`bX7q5Ze%l4{qn6R-*b&@zW24Btg9f+l=Q7y zqn7lwO=W-Hep==j=f^egKzM!gHY1Z@CnVpKeLDY)+3#72>Uj*3MnRp3L9tM)&`KLV z1agJp2Z7Wz5fozWHqD3mvO6TT<(PRd21kB9RPu{NDQ61CMK1>j6Cw?u-YBn%+Fb>< zb#J4zxI+aP9F%YbgyO({Sg7%sIsk{3HV@-6+Tc^ta?RCdq%BOA82a}NWL=6w=g84c}HmF!5oNtAc!MR;(HPj7WSDNlKE9vhxxs$5Y#-ZR0-Az6ooXS zH5D318UK)H<*O$6%d7__M5&Yh_^8W_IY&=laj$VNgK&J1j!+|7m->4b4o(~&T)8qw zkXo;Acq2dHo(?cZ`HVrv-`^vN?l>uhMQPd@RgWex?q82aw$?_5Z?VW6Jt zXPyL{cuDe=_nl!oj6B!Wc-w*f8Ef|%qq@Li7^AwJ!uRi=o&x*AI-Af0Sdg00$e2cH zfFPu$?7W505@i_Lm@pH!45&Teu*;yStqyQM>1DZU2;n1@(6KJnsy2ql1cYI(eo((C zq~ghTCPg~kDGZDJ_F!dBEKZWFI_ZokPtvSWfTF!{nh>kY1g5IZ2S)Lv$EFnsPooJ? z#VxTC#-p_GP%03Zi@ic~ZuF%NT5(nd>1i4M{QW0vt8E^YidTMRx) zQm+qI9kzPu`yIe##v0Mp{nt;bhtB{A0Iws==5k_g?=(rfaE+}O4#K1WVjo;gh|iv> zQ|W~NTe>4hMNTe5!RXz{vqf@|q+!%jT8+Sz6xxeEJw=$uvS^+Q`)Gl0<$I%nqRrD! z;u?Yvlebi*tfx)B_48RWO|sYPN82S@Qcn;RVU4qL*cw^w&5RY!7E-H#RK$nmNRvKy zU4_7==;i6yd>6ns5`&lTBAYy)jb+P9Cv|bY>Aq~PpAy&be1VuU9(MWfPWZXAe|Q1R z{Vyl{J1}zx^oW@{8#`G#!0eswV2_{JkAk5s9JP^?m(kW1VHPvBGE{d_fdeRiUY9Vm zwY2d7F#Ng%xVy&q>!OXJIUFeg|EVeR3)f)<0l`23D<>2T0CDkf06<_4@E@3tG|bS( z(pcEe+{P3D{AnlbYdwUo_7k{Lg(F0F*M3Sx44qAX zB4ht;XDpqZVWJj>PQN!m&hU>m2n7Av!{4_XKK-9ly4!VeJ2+=xX=e^#*RZq`wsW@p zE%<4tVQB)haE9Y!9H2YM?f-s4Iqp{Z?HE&2llxCFXKReE&z@U za&Q8mpgY|1pC|NZC;|bT@J|Rl0yud7a6255vsbgTydz5BC@0+Rubu(@3+w!im;pfl zg8cqdw)iWy#s!84!e7(Ju9g?q&Ji z8#<9x4c+)~b2NEM&T;9r{nm2f)0IIk=dDYhQW8=jgqL_^h$tDg`=n_XakrB!2%#tl z7Vejsud4X4%@7p%BkI}qQs=ApJBIS~P=xp~5cWGt`kuQ9oUUx0uC>@B0t7)I0XS5U zHL;JB;kH^cieiVigtXEQLz{@hzr3$7v+1PYd%Tpmm)PWZ`N4YjfQravMU1DI^0&bQQwLPvDr7li7+EU9y=WW4rA3606SUdA*Dnv4uivBtr;%_% ztfG3RSKSzo<4TA!nI%|Rhm~YYN0h>x+jea2a)~~ma#z@*tbD6ZZ`88N?s=kNu~VGs zAQh7SQooq#K`h{EHLQHrwp}}inguZG($LoT-3o$gSB+V1KQDM3M@rHoBTHxNyBH%K zPf}QrM%^;AIec{xWf!Bm9~&0Pdd*JZ?VUDd6nig6L_cG{R^!DHxm1fqW!wO4K7aA> zboorn&Gds(f;+mp$ibI)ixc&yx3OdD(%nW2U&UvCjF+A!_Des=VY(blsrS7Y?J&9^ z0v=RcEoI;@;#qgp&{z>;EYOwrd{qvPwvviPlX)PFa!>5bIbMhi#^@t4LaWLo*Ll;2 z4_XTwng>ZgyS*;fom{8JTF~*~4?=OLnWUcnvOYe}w+KbTbXRhwqjgyz-5Yf&)$HORKl;^?yn_q&?JWOG7H^XTODzes*Wf@g z6EKE{qNk#ICNuNpMdK*vS@H-CY~qns*z4)w3^`?b<~Yel1%m~#bKE1r&lqQh21rx} zk&GqWfKRC1A9JY4Z+QDNaaCG1HB+BwlnEQE5&>x+`Kw7Ny-gLm+9V1DXPcA@p+Mih z6dYW`FRBI+)meyBb=#CajrGkpAIcZ`Ct$pj&I2R??{QcWA zibfXzDz~o}`z`Z&-qi~{#G1rKRsyj0%S54=D(!BLz%0$?Ff3selt)W2A}x^}cbura8T*3T>v zaDh#H+&gQdo5gT>#vkDFW9RC!>Hdvb*?k+-&T;Of%b;lGEjkB0T@sR2&yf+C#)y^%^r-wT^(;rWeEqd3o! zN~whR#IzZ5Okz);=r^p6X{m~2-h^-vu8%9{OKsPZ5a=bf)5q07aRp(=4q@rR61C~2 zb44nQo2q4lp6E@X6mNdaKslk?f%D6YBUrA-4?c+buDT(ymi0_N`A|t9qW_t{T~ve$ zMM|e93&ssIU`U}NrBP3M08np2G@2Vjm7xboDc2*T(<+3geqTZuz;*RJPjgCoKUgdP z+jS~w)-9eqk8I~XTiU-LcvwsM(Km9Xy%p#9Wv%hEI#0lwkYzwd*u;0kRO<6DEcfF% z>ddK;lons(i`_#L#nbXpOfqBn@$qVLU@ z+_#!W?D-56$pZzMe!-thKC61!s#oT&mwvFBIc(i5SuW>hWQ=1_8LTf2#&C>5~< z(r+!d4)*8)dM^FdZS;R+kWTg-TNP{P$8kb9t2yypK-CkYUxn#*7OvjAOzxx(te@?4 z3mN5wm*Jw>%6I6ws&@A-#fE8HldJXJ*V#=*nthUHAVOyqroi^x>BA?c{1cc*k}i!# zXkSZwgEB2aNY(NuiXDrl^OL?_bF)ETpqo7?0D`W-SH)QNLUg0}L%+M_0I`c>xH1QV zuMMZw=J>-$GSWYkbHuiB>X~6V(2#8PoNX&o?@v)Q?bp5S z9%#CQn^v|Fy^L)s7-{V+&t4$U(=~~^%Sp*iD$Kkqq(id|CM&U=ah$=J(VF3zVJ?wn zt3a`QfKv29vYgJpf&qpoFNA1G)3pbC`qrb`1XMX^ob=0In^+>%=SlFJVxi0LPV9oR-~oxZ2~H8JJg5P0(6NIR8kR z0_qaIwM}okk93%_h*tF;&eH~Ff^mb($uIHlUOti)<*c3-M-A^j2DSId1O{|}55L-X zk36JAM}9OAuhIS-AuYsP(4W4`p#y7o&-FCplIWC#i?X_ge&)2uem`VQ=|nDp+KM?+!)~hSqEinsNSZ^xlLiQfh}q`Q(l;~Vf%CTme&ksfh!;57 z@73ys->xU6467cvpcTv6uVO4$`X0>Ck z>edOVmAYnC$lVee$zM|MnI*59-QY?+IaN8ewO<_a8m@2WnO9*Z2uf7H+>sFOcwLPu zl_BCw{k;wIvC(nNV~Z&v|57Du|Hnv(*{g9oES#gW9#y*H3kNcvKk#_?@VC@ER1`Z9 zy=?M#orMq@A>|>I(N_eG?k)Q6!ubzKe)Pwz#yuOODynCD-@^1lh5`7}l9Ar_(PuJQ z&z>aEh~?*xqj|~ih1JI(s|HGmptjr`$+{V)cs~qS(}E$U7oK!I%tq2vYr{WNbkRXr zBWlk^$SliQ@)we)8+OmQpB_-MP9FZMi00`>%kSJC{sahNZFva(P8x4Tc%QLuEghw* z?BvgcJ|)?!O|&|x45?pzH$e2LsClnx;QNckz|7LTmVO;q@qW0U0lX ztMias%#&-$8G%o19|VY^;fOXg4;}X07dL*o+&-ux`=}$Qo9^3Gl$j0H*#U>-kQWb` zWIW>L{kNAh^t(pAkN0)+^VR6zwFO0LyWLS(uwrkM{9_C-7WAZab zF%E6UYX>sNMVwX9%aZT>Ig&D8S!(?e*8ROFCU1XUPIkwY_{4(?3ClC-jM)M~w|qN= zdG%;MY<@s{9dy9@GI)b)o0ZGaU^t! z_N=y#VA|9~ROja@F$vBe=VJ)Gf(X*Cy=RSBH12g(vsp{=@aHpWFkAORaTgB_+_Urs zuqjJoFzLqIFZaI`9a4PYN%s1xs#sW{_!z?y!>|MxOY+8V@FJqv%bJ&$Ona&hJxph= zq4zm?N<(|XIkMZH7qR9TmxogZ0`zTg{gieg^aI_Q)0x*f`mAqj(F>9AAX6`s*QNn) zOSfo5LmnoQ2dJBvliR%;davgy+xxiV#m9@p2NiiOQ!=_cMmp-%89j;zUn#x4+H`LW zPNTd?3-=p5&J?t?)WDTo+tpu}sMpngNcFZ}vz`tscJ`bPT;>U01`fgj)Uv+Xtbcs853ymyNRER9;x)* zK#c4R2fn(rXFg$e^u3n3U17)b-2n4pP`=XdoZ0BMrqC~K({K>nSCK?+yI~F1&ZPBy z9Tu6x5X^u?*A0!1sUi?W0$ic%N4*e9`9}H1Q0eNnqG0vItq`s$_izO&C_ z3Tt}FcRr09dyR{)bf2Z^*Na)pKj&IxmuI%zf0{X?qPtn9#Y_?=ofo~~oG+y*6MyfF z4@p=t&SV}8n~v}MZX+it36bTYREG~%s6hfNR7mux#~VROSE1+#_w z@`JP-7|tGQ|MqApdiY_M`zUr)Y7$R; zTw|pIeUuBuP1^l1zIVk&5BrKz+GAYobsQzF## zht?tC@9CEyH$j_3SkmVPOEz1aLBODpZ&58Uzufl3wMM{a7hF@Npj{8cddV~+uLzdY zBJ2^MnYvn^+@Szx<^TmrR$(FVV$rsnYcH=dfR&@h$KNM1Vgwi>hFE(8gT}YT%~~i; ze<~UYJD23p3|?Zv_W4?rkknt0IE{pCm!U=eZ8`RH5W#CxwL_MVHtZ4oo-r7%x-cjD z7zUQNX<=Vw)6xB|?9Hq#J2?;)v4Un@OdyU$1kau5n~lwS6NC)R;@q+Aw zr6Y06wT(U$9dVsGo9%@yGO#T4)+Hoq^~n~|rb(a~1y2##Wh@-vPCRZWCr~Grtaxl2 zK5A)!Fko}WEPlVH#*9J^Z`vJwLA*?KgBDZB+dst1=@& zfn#G3@0BgXbc&g&ak<$KEQEw3fDIQ#<;@OM>l3m8b$#MV+Ee_I%6)V4+r#zhftenZ zQcOK$8#5L=>{&sONiS74oMTqNJS6Bi3w?t7xz8~+?jh~+edmXg~ zm2L{IFD3ZCtV0jRc>W^l%=HH61t!zj(Mz$GtC%kd=dZ_(&PflC*eJ{piPs3c@moUX z6(fQV_?n!rijm?nG#qNWl)h8&Nb9lmzem7beRHFg%89p(?tX}Y@Wgc)Rjysr)tjZk zn5Dsog|`8cJok912Eq${xWxN#2~4oWM?g*ynyWI_t_lMXl5a=mUSy?sW|4Yj`81Ec za~Z?gdA~Cw?$wyJ(~zaRJ2re`A?a1cN+I8q72;mi40&uH9#JKB`TYG7>)3QWgG1RZ zi^|77P0eY(Jij-bmJgl`b4v&q|9xo@7npv~K>cXkc@#6Q^3ODM4;*Jj#+7Vgj! z=Cb|PuiUdaZC(G_D-Dd0OIt0+?M3dwT)$7R*b*Q%WnSPT5=sIDTKjf`vOT26QWf@+ zo9$~{g)iz-Y774DQRB_nH!g{I(G1IOL3_ImyV57bhxHx-EbnlYqu=4My%>Fj<|aIq zZHYC+U4xl+?&kJE+==4HDW0kdATXDaWL>$=ge?GToh4i@=&JtAc^w=E{~~!vnG{~O z_Gm1=h*kV~MU8uGqU5@^)rb0^rU*F+NL-f&nZERJsPpIVcEkIhqtmguymmqtK3^|x z<(E>8GHg8D!1nN*Uh^4waZ(hz!XBU%=k*^v^Bd`gdxit}FFN23;{A63{J+uxD(cVF z)Kr)yoJ>ufVIDT7DnD5RR%KIj7aPNWaR&tw0a!V}TmWuPZU8p~ z_`lczxXMpx{7-fOZu?X4FLvO!%KwEN_z5}x6@&iIoAYx7mH)vG{9iWezf%R^|4bF! z%}4p?@V}XX|3MXi;d}W1KRGz>7`R{Wzcl~){qMG%aN>azdI#YDA__PmJOD8GH{=h2 zJCBp+j$q;BxMKi0Iqx*@Q2hVj3Fi7w8XS<{RK$O`g+igf;^S{L!rg5C>cYF#{XM?# z>X3i2ShAKTcYMlE0_M-+VwbzJV`nydCv(PM5ibh=3kb~8-cIbUR+~YL7Yqb(gTNp- zU%~-}@Gt{GPk=zizYhAFLcPnEzj!H8LpVofZ~oK!KbP=F@$|oD>h5G}h6x0KftbKQ z4*(Pb;eY_l0Ka4)_;$mC=2q)y9{Q)7|cYgVY9`{`=|6K-!fd6R=f{**p zwZUaP|Fi{xc)0#e4-D`AA7g;vOw+%O0p`+zp^^WmWY zbt{*P?)oC+X(RVE?CRdYoJ)1?+4|>wrxSEtv)xBJP)=5Z-3&7{_I3i;Z%p_FFm%4Hi_fEW=%pTnWbQRnx~o5Z#S(w z&vm>BIaV-Cgm!L}zGw~!nk`X%aSWiX;nxLJHsm(FXhc*asc%-idj>zkZ-<#8#GM4_ zR;~1$z7Xc&CBh>)<6>;?3$bkEeRRj^kU_<~js{bxC`6vE$g!@=BYkY_i;WHU89n!6|twt&cQJc6kN`{lknz z0`*L9jP0BH+Fe`|u|kmhJVYK)tbXkWv1329l9c9yIoSxwRyIssM2c7r^qwVuPK8Bo z*Tu;wDfTmm(A?L($1E1n`IE4r5; zSB*ULhmNDk5ex1)A^D=50ap#ubs)Hz`G_5jGsaAZgj%6yj@!@lR%hC2iB5z6L#z(q zyR?o{{81XsLTE6>RbLw!&ghh2J&G{J3xdY~EA1rbN+m?9;ow{X0C1$QY3JtS?e634 z#bxd0?r!U8Zsq3f?dI|?58ro=O$!LQGPEq{I&3U4AD#fwp2yW(KLyeaFqas52QdW= zCtiyxP|@2c{!*J`+HRQ^4I+3 zaiN>*-dU(SpbZ&e}dg|Xvqq`D;K z=yZAzf+mkS#mb^jM@}|0SF@KH_Y?C06@y!?Hxs|$A6hRyKi;Ya(iS?226iWgwM?A3 zJ)N)g2OT`#uzNjaeijS#h)V_4=*RLFO-o7OXYuw!6G|eTz)s-4r&k2gh5RW%#v-06h)oXxRg5qs(=G-8{p^nzl zpBfd>#Qa<=!*S@%UF_ON2`ddYK+P|TO)5nGr%E$2>0cv55HrNwnW7ZLVQZm&F_^}I z*~h6;c3~?t2hNBx;)x5?3T!T_S3mhP?R^a7Iefdai*yU9RR)hCq5g8$?0;r@I%BVE%c`hQnHVaEYGGzhhw{ybQ(B)5U#-_*J!wm$>YJs zd>SP)y@5$yg8PC2RE@EwFMZ-T{z$Tw>#8so! zBTtkcka4V$7I;kooF?wP?Mc(QPpGcu$pkfX#Kz2a%^z#%!v_2+g3sUGCz!6lPJ}f^ zvbh`83QsFYq;(EJjtvfG8u?FwJcPr%%d=QY7z4F7$Ulmd=A$2E=MnVJ-x~&0P-Xlk zoxX3ZQdc0m^7jz@oKV{*g5rfbKVoC0vQJTv5f%JynxG;Uk5#uwuXj?((8k4v{3j6{ zZX1CTF~HN(N}5ySfYV$xz8vUDNM`YAGd_91Pnr|T&Wj#k*r^<)l+9iDH(W~B{@^e1 zk^;-NZM_^3j6wdmlYeE;ms2YkEAXweA&$#*BWR?V!ttEL0Le&Lss~Z$$;$YvlW}T8 zV8sUmww4~YD*1>=aO3NJNEIFVeSCH`(J`(a$I&HTwXQROgDZgnLj&7|Lb+(uNUesD zJcE=2(@DY^yCrISz-UvOUZYcGCif&#ZoIYGYQIGfb9Ekl(zXW9blxfMKGXo3GUD>D z@y|!nUPCDTU#M_S=YdI=|9FZRW3QThKz);}Hp zB)wvMLh$|cc7Jr6_4cI}vR^^0@MKyK1fzMc zvYQAA8ip4x3N)I>G+LG$i{*6#KHBh3q1$mxu<9p2+RqkzikB3{p_zJrc7Ue4=ot$X zs;;v0$II=oBa(}47oBtRs!%j^K}H?Uf7!~doCKGbeJ2r(F1J;BoY-(y(AXUH;=E(bFjH`_F!>d5mGg5}=0qc!<3g<3^fr{kcgB*YLX+4~V(o`pb zESvCEK|Nl3EV+!Tr{?^Y>yyW9ZLQ=CS+dZU&U@R}D&bu>eOW&#^Ph`OXpBR2zR zU^S!H8Yiy9Yw>{`py{u9Ur@EePvjY4lpZv?tRyLKO@Ziy=L8TlOK(6n)vz9M%9y-^ zQRN&3hP z=bfuhQqgU{2f}v2_jj&@8x=(%rMDZ6(v*TRp0nHJp3&?{<4k6$G5c4|5(f-7US?_4qGey=$i&LDv(TjPPj zO-$Pmkvb!}7)7|fFDw_|RID2mBY&uAZ&_*^Un&53>+^_=duI%$kS!RrdBfS6cI9(*(4JKl;v|%3wEb;=yPcvMA zbVPW+&snvwgVe<%K=5Z-&{b@Eg$^{$0%}8W*8#!IVnH<_cp*dyRv!y_1<#dor^b zH`!t{UIAB@ebcf*p;R`fQ-(LA1d0`QTtB|{6>0@8 z-AE2-W9{MsAZD_G33E9du^qNa3@yy@O5Z~A+Z7lozmFC}8Y{7(>XfE-Y1cHm^{b*= zn2yWnB8;%Oamq^>lBqU6CZPry1ReSI^u|C?iRsZd2T(z-4PE2t7Z(jrKtsF)LXQ0c zZ!kt~Vai=KpGbJAzcL1RQ%nykDm@qUMPbO-2#n{OhRZUtb~y=V2QGDS2EMmK7?9U^ z%}Z9 z(;Bv&65fa4P{{=ztLX&UY}yep1XkyX`b+|z;mmIX`3fE5Z<9MJA9+PiCn~Hg1qha8 zvcn8H-PI)rNrap!!4s7qp^|1^ql~@8^>%Mp!LR|3Okl?Qh@WX7CxyP{0c3N$hrk{(4Z9xh4>o4m zdx}WTgro0{q~~Sh3FJ!%Mb)1;GTgroNaD7{B0Kb@up3zk@s{TC187f_m{`$d_*2L7 zKY7blg@`c#XXqP&Brw=nZDTo&Lg+jxdDg$wZaNjq+$O#BtS6>rSg;aXx5Nq$S+6xlq>7tl?d}HfPt~uBJcmiD%W1o zY?b4XYS9Z#@6=e<0MF}5&+q0kgGYj`Ln~eA$&0T^2Zj%ztX3zfIT+jiEQa$AcqwCF zm(mXQKGh`$23mIyp#L5%OEj%zio_1>_@9}bzd)E>j#J`Sb7>f5p|4{cDCKedi|C}! zb>hrl{2qM0#k_p^UHsVpcuI(@$l|X$xG~qF}p6#)QWVP?Sy2?14Z#>ii~-t*JqPwrN(X1GQDG~6d$0Tq9YYJb9>nOPZLF)6w_!@O|9 z=>|I2=IT$5^fBCOqAXBE7K&4y{!rKZ$nW->Df!tQIn?HwIyj=R*cI9akVqfy!@q^d z;mH3k-+eqo2%UZM68tUSN&goR>ZFdt^c$t;X&>RyLBAd2$B$rtIEgot7}!6O7jL-f z2ra&NBc3whBNBh68WTYjI}0ykx1sto*4R?tK&rL3S|(DYNp(p%`92v9M`6W@uK=QU zm3<-69znb(TBJ*rRzQ;xTzDPso#?XsVnIhIwkrnYSYs3i&gltUhSkw6QE9(0U0St^ z?mpxAf(ETG5VX1%?IfgSne=bWOlPO9pAn1>AaJ4X)8!KUaMJB{S04w!hY}0$n4d(c zmEb0C7QSb_T@I{(kFg6K4=QlDG?L(b3y1$UBR_x9xs^lOz7UqQbnT#t`gIqrS4 z_cWPiFk3h;Qd||N&zxXngdvBZw>5=$j1UgdauZ&BO;Gw>15$-*>~9I0(?8|}OwP?z z!-pg%bE8273d3Xqv2copL;(PuQg-7ij1Pg=-lF6nFhz`AH6q!p*8xP5x!{Si_QNeN zbcK_)sBH3T6QyilYSU(4w^D{}E!qohb_A8KL}UL!x3tRF0Sns%^|sd zla?X+0oLF*nfi$%F3?hzhq#@$A_&2sXz`CB$&Z;Q)TE0elXbQyW2Lf78$cn2i?qPCI}oWVjpF3~m@VM31T4U@>nZ zo{4-?98^az7mXXJaU5@Ff_KoQa%<%dBRtnXj z+Ko9;fJWgm9c}Xl1hd4(>DNN+`EY5ip>|OmTctot8?K^9I;>qfiGZ3TQ=BCWCP_6E z`I)Hk1{OmERuNwjf}h>4Z0V>vJ{mgShnUfGEq`>3uyI{DzV0mp*qtarmV{TOZ|PEk zr;--oSN&)%NGI}795)C zo@TIUTyM56yUv)?AIxnaC*n5foRAj`-|Ji~@3ClLWhhj&K$CA&XyGtZq`A8>+k%I7 zn?-FyCMf5wD)D7^CA)A*d?b@pL00A7(qc^CQ|jBGjuUn3RdE^Gj(>ZW@QzhS9OdIl zrdXHJ1O(U=Ii`7S9JqW|I8NWm#`iRu+Ut2bLE7nr0ev@y4^`8SnUKi3vfvT5YF^s; zV54}P2qwjo3>wm5+}=YN(M6-*04z1{D*QOS`a!k69oU7Zx1L|E zy5^(rBStN7IP zC>AMwIT<2Kloi=eno~|B76)AxUMWq6f~RGp40qmzHf8BD9~8IAnEjeD(xWL!7;G5U zd~{%g=8b(SYd|MAZA#aL?H5CAZ02I~`|E$)ai{58Elert`Jdv@4Y8$}Q3*6CMQZV< zBu@Gpz}oBjo*WKxw;ZXDcqRG|J2;PEF#p%@O4B=?-b2DqQo$0SA@7ktl@?@d^X$fD}xd^FW~yMtG{Hj|0X#Pr7EU*Fu1wq@yW4aQvI8K--`zG z@@Y<~L$sC@KH`^7Wgq@t+T0U7q}1diZ=*PV!Htzd7|^QAzv6D%z0BLBIEzk|5 zfE~UVAbuu>mU?U~O`J6}LfTGb-ZwH;dMiv`3i4*?qL_-lC3)sBjBO3I`7*Sd%Kq55 zz))?08RHN=h|wMrGE7EM4#IML!b^0h!e`}Dkfz4QayCfjFboqExs0vXD7oE-9e&=;a|t>%m-PALF6F10! zmS~a+;^$NZv7fM@z3aM4N2It4QUa)Di8Dk5iv%eHNT>=@L0zUD4DhjauQglKRM2so z7z2$AS1bMR-;3IBa8W{(00Eh>OhgKo*Pa=^ih!i8R}qH{Xf&bUQ*gIe-DuuCzVaFc zC;@JP1*zd@SK4pf9d=mIXeK)uAlt@F>A^=IQr+^ye?PnifUkjf;EVJRsjm6qSP|v) zXT1-pi0?T>86YLbOzuydip&S|XqMltl>s6(S@afL+bn1dtHKc}%5O;8=xJ(=nJl>W zSkNr{?CC7^9lE1yzLe9K_#Ay`dZl}+E~f|nK1=^l$JfFTA%B(nf!{43yjz1`XZ$z) zUmdQc{uLc?gm?@TXP_DT$92Ji=C~$I^U0X0uCt4t<~Z3^(SoOg9^Utb1?`yJB=rZ3 z0nPE3F#Ou;+p?{Bw1XS`O#$9+T^r+IgL!=3Vk9*41yzr&bJ=`C#rf&z#yV*0uP^T{ z)`J%fRPvk#)b4pp4t>AdcB2}?w;UuS52UWTkMLUAsI0CQikk%@0!51LX%deS;_@7_ z#efg}5z4dR)|gg|XA(NO`l4x!lJ?O*jc=UW>GvbzcN!uP-inq=QQHX-YMNkY6{MYE zLeraUMuvZU8{r!q3irs`qSa)A^&s5fkH)ggjwh@9<=gosK{pVriC9ZYYKCo905UNm z8){__ql(R((vo0Xc*}&SY%o!s)~Y4pWltxXxU1k1$K@+W(Qr^m>S2A0uE4kH ze_(M$FcwEH+548x6|vBqZxf9DG#Z!ocod}|M*&Ox2VB$}ACI%{4-OrRvo2X|-F_rV zDBn|$r|>Kc#A2Xx4WIrCOvU>dcU@)If6m@a2)6700|o|~UkyNwb+X{Miex?x?>qM<-G zU?4Idip<`DVbE#E6}K0mr1&$RHYM_7P9=8Boqd@w*uLyOvPqP8Zed@)?7mkez@`cz zz^!aUF3 z0Gi=AqBEtnhgmaI(G^B$XR?b9v|!(Hhdzcop|^k_ySwqL8WHV(SucnYFT6e8)rZTW zcUGyZs^jeOA{x=u1_`cI$dlw6&FvU&t3E&t0;@g=Fu2i?gQ7D#VMba-mh**~zSv`M zw$X__H8ZDkPJ+(dvw}7)X)(T*%?L!4=k5_o4GrHK&KvTFM75qJ?O%|mLIc>gM|xilfn z{#NA(nxq(hU`f;6C`q3ZDCGV_Jd}DyCSlGMm&I>NV~kCoO&>A3u;;TJILs>LYg}BO zF_#Qpxp@1Ql17PYtF~%7Z$1Umz6aikrb{fkJVy;sepko^-XU!KHnCTYlpm?Ky@3gL z7A}L}f0Cv*=SSzRpC(lh`4a=BMxSndVA71EMW=7~=al)la#7!g4$z`~2AOmQ_x7x* zYH1p-=c@bq&|RS>vi^*lKURtWsJk+Fjb2f??23ab-m}DKjU{Fw0!``G6F{n)L4BZ< zaS__Fo%o?YXp1Rd%SUSl=+?nhyvRI3oK3+KBptwxIS2To@w%wTJ?W+QeIW+3!*UoB z`2z-%@OdNmk+Z8(>2$$(xGd!Y@>QbN-^-Z|57SUg*Bkb6IQLULk1g<8*&u?rMHiX9 z%CNzX(YTI`6L&f=OI((Azw=P$!iZSmc8%HmLPhvXf~>G=JJoLjK5YbCPqtlvS;h*Sv;L! z!&oBKo{hbdU<%~zV>sQqWHhU-Bt!#Q_TDDDjd@X*T zjnjOWNCERJ!o!1(de<6sa+7O^(udaN%+7l1{41x#UyD=8IBOw+k4x;L%*ncgDqQmo+F%&_d(E6aLjxWMX6|dX(tZ zUqw&u=!cawghTJ8V<;QI3nUj_zpxILF$qoHHbDwx7>L=6TUFJR`JeHP$Qw{~)Sf^; zXW-XsL2{lel8)8jHd+fkt^NIXG5zm&Y%EpqUSINySNX`_MOTH?*F2@mhHl3Jff(?> zSQ9qO<8#vzp+5T0+L`sZM6laX-r~^kU_R2O2*A=;6zNTcjqSclL;)N`Pr0&&2j}H_ zofuz+L;eNTCz=-6DrIFA7nuv6)e8{wTd zJ6m-!9Gpu0a<~j!FSifLC*WlgfZ7|(UtE%e>?e%G&_#)|^zrc5=DmHd55vZ>DLDl+ zL_L~fn*pk*ZtPwNz+Q^KaZ!-5(2$u(#Cj;P9SY2B6%v@B`uO+i5sCVab{?&9`pEX} zm$NBK?$_A-I(kEB*6!`ng$>SFB!{LDYwi_|32@aa^;FDPbrRlWLVIxm8{322jH;=+ z4T3yz-H9rkpW;pIX=pK?%V6D%OmSOC!(d?PdszHL!<7xJ1wUq-AEc+3I)&_AwM^_t zek3F|Xy&_|QRl+nPfaM?k^-3l(g_}hWbNe7thnN)IIg!J+2ZxZ_`IC%^EsHzlF|fK z9dp?k+l6ZcB)80Ufr;FlxpB?sIE3_w$gv394#3qwn&>gela5b?li>_KiZNA|Oe^g< zVRhjrE+@u(Y2RBa#P6m|4TU`9&1RDt&6H-_9#aLNz?%pKJsHuNDrw=^_^~8(ssmFl zHt0U;oeu`=QUey)d_#ZE4A>Zqsbi}I2gJM+Vb%}|G3QAm6ovrlzw$>{iOu2IxX7rx z!3vy;f5E3nJ_VvvlIOL9r5pPRjfy)eLC7^7wbmR7$4?^{ z9<68lC^dKj9}DsMT0Jm>=GQaJ8GXD4A2S@IcK(fCo94@Yeu#uE4e`4K7wIKneQ>ZH+dF$&L14u^2zIoI3~Bvh7_Bn)OM;BW*ShRIiyNON8@q zc7uD|GUf)=Hq6NnVvfbzZlHN;XDu|C;~bF~g^%m9&yTu&jZW%3F-h`fKl(U$kD%6Q7m zdmB?FUv6U#g)%wGFjk|_Gev59*dulJ)Bo=Od=eEe2A$*d&n>!;Y*1>YOj>HB?W$$e z>hJSgvqEWL_59#ad0MT;-g)s37El&v!{AYFN$ z+27W2o3t-<%kh^!SjHPl>8s@5?6gw0K7N(GPuvOLq0F|1p{}R3|0QG(K#{sfFLLzE zX}EdoDT=Mr?)%x?1xe6YuK&-xLRB&;@9>q(W~si3k$2Im=4q>C$+c{uZe=_XK&Vz% z$_F4~Bga!{v}&dKRJq(*@^1Djp@m^oL*HS!h8@LewYC_D=ir;{;*`aa+wn#sEy~oX zvZ~N~I9>M3#`ZVOq@7=cy!oK2WDi3HUI(Z1T_W0j+Q{)@DQv9hcIoBl(96I<9?6Kj z+&toAqf9n@+Ip3DQdB^Wkt7paEz<$cno23wVilu{pza%D@_A$Iw-KY-HVTON^-h02 zR;s@(cNp2M;kLO+@LbsZo>iIxe*-;1PU_5{WNBJh|Ck8Y`{{|C=6RF{2J*fQw!+?PN z*`$!%tCxntVC9qGcma<(9eWtgg8KgV07R8p^!9~-&)z~mzWnx)d;_+c%7pqZ8uwG)tQbv#a8;oZUdq-y>^+a7y%TI55MXGV z+K|iz3nx5U3vDm^uw>D5NEfvPAK*Wwo+R3bT%ecQa)QKvwQA{3+qJUH44fNJcQg~IrHoC zHD~0(44>5cv0Q4MKQi^Qu^rX6^?#8wD<-V%2^2WZWM)~gfv>`wE`J}2KpV|oI9-^# zkkFX}h!KQpuq$`CnE?$mceIGx=n8DXDx2fVF>nXkY78CxItx#n(Py*m=Es@ZiCjty zffD614k&a6@#eZGzWtPjOGcS(r~7lXV7%Xj8$Tk(xE)F+Kh9Y>l!Ju6!@+p#9;PIJ zIak>l24{fht2COq4ppp~-4mQoq^B?5FAq+2RJrK)0*+y;ROqDSS0#|^L(c3&o13W+ z)(Y7_eZJKGLLFBF8(7nQJR$FN&kanw-vLJag~5*DZAWiaPM7=+$Qx=bv1kAd4bx=A zh^CDRt;hQr(=jTgW9`sG!?`WpAgY7s=esN~NFc`ZArGg|?mRFhp$N}AfQzRGjdIQeXJ&4H_l2~-fXn6FeaJ5WX zCjQ>v47|kD_^MV^4NZjGp`<|LviqfW!(L!M({_@w8hOZun!Kz(sFc!;&u$Ip-`34q@wHTYh&`46$Gr$X!EVygX7ZP!Qx4B5dy zSL!EiW3mn*ZEZh6inRkt350DMQ#~qP05-nL-DKW0Nh_!ea9mh( zb^cOfJmM+;T~fK*p+`cgbf5TpfSw{9c^?;=orAtl?vC=|Do*z%LTk7~=X;#O)EN3$ zH!VrjQhn$uy>zb)0t~?PZtyJu*>iDqV+_g?uIQ*=tQNbShzf(4 z>M0)0c?KIc$R_FUyP<9QBBkeMaZ*!MbPqQ3u1VWh0TMl2}u4I)Av^v_BmTOhVIm>nB-C-w zMOUyeZO3>$6wpG~TadFZUmpS&JF0y~oGgJCFJUHM@j=pT4paTl5^yr#DT*D9H@PWj z&b8A@VGs9jR#vkP+%cxsn!MQLUu4^S%5RbVg=9e#pQW|sgWmBctN1h6g;cr3w5S;H zqLY=VFF_FbMI>x9MC3CnpeMhsC#f*nu0slgut}W_)-Z@hAyyi$>dl|FRD$B~-LGnP z*u&twGaV;f9;`lJSGMq7n70(vDNSm19zM-gR!QAp6@PV=)K~#0UC&PCbbi0l34W*6 z2C)wHn(0%PblDSd6xBVM5V|_+uPA$}lD{}?@mA~lxp>!qwr51HPWTull9zmNj#^xx zZ^<5D<9>X>E_8$ac$P_Dv&Vt61mQNhG z9MM%kLfk}|dPX!297?1;&kew~MrdY((QOF@wwX8%Jk5gJ+Y4iy23)Fo1irq--~DG! z8?=a2WR)NC*Lo^ABeXCOK1uIEjgU!zc`EX!B{+n>JV;77mGCxW^Uf4Rd5|Cb%=vw* zzLK!@>Ive#Ixj@6AkKnL=FjpOboFt=PigDz{6+&ooWGPN{UlXcEg{@7?vxPGorNY)^oaI6Q18m<p_YlRajL_h1w>EJ88`xoq@T{4hbBD^OScZ^nd|krPv% zD;bdslzK-Y@IaD#rEqS=tbCKa=MSGMCgMCE7}&Tl>9xOGHuI1Jur z&uKO*SGmT%(>sT zVOH>~x;F4pgi)YuOYIo5#1+L=Ys!y$m%Jmq96cW1}5G10gv%z1zf` zoGpJ$*dz*XrYA4iUSD06{Y7EkR5kcLe`Va79iH|C?V`%plI+u%o>iDPL^{n^KIp`L z1)TME!ks^g$dt~Tc}}8;d--#L*l<6iM{;UZ|D|#lm?6DTEAAKcK3x}=ql~;NS3Wym zq7!|{Q2~mWeneNB3Mn3n_6;te`Grr##}@X(S(~~tBb@$7kRsKoWR|?ZWN0#7MRek; zjRR`E95$`Iv=s)}b6r1{CN49c}? zm(qpWC440;l1Y|Pj@ibJbReu7KT6h7ju@m{&;kb18v~{>t^Z=cU(2^M0C~C#+*okM z-f)&yJ*9ZOa^-UDywPw@&U>ZmeXp1`9sQKz^Ks+#Sl7uLjmqQ>WsnuG*!dfcljKq5 zMBh-xj2DNC;Xc8QdbO>C9t!4Lp@)Heta|VWEgcs%Bwj^?g7%sm-YJ!MDIF)a%s==P zft&saTb&a=eOnftHWmTh9iW^|scY6`$^k0*S`4MxPK#g3G3AaHA`?my0%^^Y;H5U$ zVNVVpVwb~xq|Wc1Dh`E?MI{KO6V>gAO3jNU3+FlC3enLz^H_fMmZi<~MtUAu{?`*yQL zLwW+FIh=U5%E9>Z>B~`gnFIszMI9%k;x--x`QlN9XqZelify=4G#UUR$jTVn0fjq`7jl&T0RBRC#|?*`8mnP z_xcMFyh#_b&_ES#HCyROifRGi5W^?Mp z64)O@^L?D;-jGIwO2eBk@}$kw*fc%m^}CimUorp3)%x?6kc;AST9S*+w^c29Z$8tY zb@h-Ko?Hi$d$;OJB@cj*j2X&~4JBPTqngU)o3W~j_f|5^TM@qIUPE`a$>&6saCp37AVS>PGX$aE|0zZU;w}Ejw8k?@{|4gHGwm?ZY1{A}H{Xw@ts5 ziSLx-G~FOAYzL69#Jqp$0zu&~uqFZBq+mA}B1H@~Sb;C2yaPZs>5&1U&(O&~dT+gnB83Z!2Gki)&h zkmG}-_{rW4RVOPv4=8%XWA6Rgg*Ads5vaT}l+h$Pk`%SWYRDhX&h{Z+GrG)$Sa;(n zLPaQjM-~;_$y}}_%U}KGO&;-3<2LrMek_=4=GZrRSsGV7&CSm2qtC3X<=Vn(yffEj&JgI5vtI^I=8gjS4Z`)*Hv2 z(TNK7WYR=5^H-dp7J`1&6o6sUm3m6BGsyRnU>*%!b>%#@S~fYfnJZ$A?^!833Yz!yzg-N)(d0WuK2k9}fOWbmnNLL5Y z(wZ0`WzN$$+fO7oU$53&6J9UkoXnqqt|%wQX)KyA(9hu1^;4qhF!aKSKg2c4L5I7e0|tzn6_)d;=Qa<+W<5XD3AR z#e6J*gpORgPJe~qxu%_2!V8&~b9ncC%>i!6v-W;$OraG({M%2(!Y0OI<;X5;%+pw^ zN0s_|5P_^DBcsl5q|0iNf3{larZmX0TZ=FB9j$ct`;pq*3+ie zC!P9+Wlm_2uKb$DMMl)Hv{M%xSjHSwz#_iz3(R4jitVCs`?YGxy0hwx5U#`jpE!cn&fk;s|in(1K+-g#692=L|RTO8(OMu77@OF}w5K&Feu6 zr+nLy50s-&Hn$-(Fm~*^b(+U8h(DS>+M1g;*!R0dv1kX9Ep$0W@gJG}`Ib#%L3N>?VYcv~5@-_;hPN zcu<4$kTqahe^Qr$0!^7Z`m^C&D^!~-B}74Y85y~#OzMV)P2<{`K}$N8ToKYK>nu62Mj?PTR|*V1u4+X4&iNy&W16C5ed_2)c%IGz>l3pVm+VJ5YplZ5I#= z{%xwdd|N}-S*A-~>)4!gXLYWjg|6#|8G9&I?A~1Xfl;{Q89}u z$tW~XHV-9Xlgvu<1CZ7Pu081jCd#*^5O}e#MQ3e@61q2fsjmq}J&ro6UUyS%8AMpm z!Yc|lCyF%l%Wt)VQZeB{0!{QCkpM$OVd^%?*LqG%`1?r%BHz75AHZ*O9ayfa@+baY zbn>cH@>skJa_iLG(T2xfG9NjSVtM3`TKn6P0Uz=_zwy|+z*sdEi)((lg|=c=PV{#{ z=Af=W$Npf|^!g&hYQrm*ffqrQNhvoR+*F+ew$P}AixaDfq7H_hIhS*}4iD5N9WrT_%jgX1kUSm31Ywy~-B0&a$q%kgbvY%++ zm+eA6k;N`29iMZz2twJ3tx&7q(TBBtjt@p@7x9s(y(V{g9zM&_8;_&cu2fQm==VxT zd+a~O8h_;w)Rl%zR(F~_l!P4GXeXYWH-|_M>P^@43#(bkJ}ix26b-9(I}7@6I1Ej? zfjvxiR?RH**3v;Zb&UpADkR6@3}Ihg4I7PpN%7;=dor!Pxw+JfKmI6_@VhViAUrW` zzCO^*6CV(;Nessq$gRiicp|=^MEE493cs>5cl;+F18r1*zQLr1-g@@QblKBQe#L{< zIc#BpI-r^3uh=Y-K07@5+FJAs1@HR%skAH`=i$5?H-*fQEV|g3ApqPZ>>=PIDN0L+ zX#G|;HKenbjWugx(cht$#**xJoCHYb9o{gp4lxqWr9T;3K){2FV&LN01d+*bX?=2(*0Wok+C}Rx^wlIUp#-+lv8WxMe znamwprk+3w^AN#@M+2;{2&bQn-oi$3M_Z8_P4?*x_U$1Ch@JB3rX@|k=Nq=I&Dy)1 z;X^D`kEY`n)Nk&>RsYO19sM#DaHq?(;tccbNwZkJo@8H6qS|e%MsX)L#$Hq)_cERK zdy+f9Fyed=dijdz7tX+yyxi04<;{J>CbU`Y({i4lN`O7uQeLFq9O~=Wo*n(eBGyIg7 zZ%7^gvo&O|%T?N5?#(l!=@?SDms+BMiC=NI6RFl<;OBrfh#J-bSB`3{fwaE&3MPC2 zY}BWPRXLQxDIGQ|p*()`Tabe?JgEh=)_#{AkX4_|ng9 z-0!KOJx{R52IywP5LydwAh;CICEQy!nesDMP8;>-+1*$Zw;yU|g9%1P)LWo|(~LW1 zQKWs_4c80Yx6X7yv{3Z=%G3aY*dXz$_=`vRCyJ4#m^WdSg{sw?wTIL$(t3$6oO*g4 z<4RVfZTAS18e!Y@)G62aBD=gc+~eEnl}Ad1EvA|pq+bRbFS!WNc*)^ALk_)ZIKWyk zYZ})Rvj^2eK5x|Par9IlTnS_$D_y2t#WUqKIal_S8Uy#c|=k@o0~ zRZGXz=pQ-1t2V^AKF!j8fzwQ5Dh06!Sqx&Z7wPhKuYasjS@_4 zQ@w+qKJu|}c;=fYa?mR6sNtcPKuUdIrn=(P%KeUIXVWrr&U z;oeSVHs%MUfJUwEFX!v6F3sRIa;(?&;?Ka)Tosv?Sso@{ADVdcKGuRaupwu z#VMK}g&NmKTU%%);76%An+rP~U^<9VuT2Le)oU2wST}@3Ntfg*Dy`k3qtITww%CR|yD%=JcVV+87ja8ye_8=?Uw0T-gizGx> zHVKo%1WP1QZS3a1$&*+x?g@XBVdPw`;^sr1)n}b}@ z-^Y}Se+K-fz9u1^(h3`=$-wi7kt-;+0-d~s84nSatFPs2v562=eqr+)>pc#f2K%DV zCvt(sNOe{2MB5&8g&+nUROPcp#a4?dv2mF(g2u|M{W7Aovhj$;s%_MBZlu4VicuM8 z89}6vm@5WOg2$u&`-mg9W_)XR1O6IMi84t-A_2{mt3^0(OQ;;?d`r1Zj)=X!&d-uV zo-0cXb6+Iji|d*)!s~V>_T{ITkr*fWd^SE>n390Ro@X{MG47UM!FMIBQOx5;<}zfN`o9`)j04GPdkygOLQC}VmJv3^FV`xX|15Z( z=ID{3p@o%drE7@E43rjkiz-H}$^=;^4PoGr>T#Z@LgVP_ol|U%B=5*4TF2BMgOIbo z!6XBJbX-{Vwp*#=ONFJy4!h*xPBHbhS&OJt@Uw@2ctnkeaKPD=h^OtPTiH*V62bNe z@x$qBlX<>*TKcJzT9KIo7uqlnsB3UnNVnBiQAEYN7=hFk^@Ht-MG>pr{AedR)oz+E zmn+_ny#{6iPqqYK#sNz5dJZ3pj1Jd&_KbY1To?gi9|`1%rZfbbe48CKqNRH63%sc~ zW)5~9zX-xlHld~s)g9QsSc9m>7_`EY;t%awvutIHU~v-4ke#4B~NT< z^5M4M@ccx!7_|+5$!&SxSxyDx*hD_3+~5WYkh>#QcALsO_d0GsY=*~MjU>DSnytRsE-Y>E*}eQo;x&)oQa81H4O_zg)W}uaD&cS9%Yk`@AeZ81 zM*M={VRCKEB(A%%D^?>;Sh6e7MJ8SF1Bv;2o&8#tKy)Ff_;Z_}kZAX-sTjb#91F!e z(rRsY1qD7)lPnHJbWx51e{*F{H>k$Yb&gUKgQ$6vZQ-g0ilaZ=KANeM8e}SKi9}+; z-NAyMP#>i;U1p`wgJg-9(kZfrp)*{mz+#>+0rKtr=A63CbB3Xm>dDm>KX0i4C(Y@1 zPLA_;MG)B=9fbGr%KKe-OHnP&jQ5-S&{#qNq>(1!vitnS})0xF2n8>8)qI z=deWyzDwxm>n~;0>*6p5)<{E+(+`Z^GQwfl(h zcGh;zJL$Xh@Mf^{H!%Kw_fdj)J3Ftqhg(qE+sP-m{do6r7-uItFSeKK@0kPBU|^t+ zp_j5#np;KXQNw?LMZdZM(b%WEj|7kZXv0Krz2{Nj`@oDU&Vk4~lilo;kX`)rg0i?h z%)IS07}d$+fl#i5_MFOo5{-SW~|vIYRc%B0jF>*V|~7({%qcm z_6?>ev(Sm}AzH>9_f7|JH$c7~A2hY6wEyqA&l`mGXK`X}{<)2g>$s^Xa?LuU(cg8K zUA->2Xa^2Jm$mwwHq39_*Obnl8$0;vv2lTkfb8DswdS(S!OPSWMJP@o_ z1K&m;+kRFjTzdYlUM+q18zO2lWi*!DtKH@DL}{7zfUKdjg!iF!G7}vbj2P6%nbvqpyZTv|#(g%}Z*%o-c*=}laQ-ZQ%4-(jbiN7=gE#%r56*wien!yv#rXHj z>9Uu;_GO15`d%XIZS^&O9d8IRRXaa8yinnLJp7dM*y_M9lF0XXyLjh2Nq^~4KO%=c z7t$lNtHA;N_Uc=hX^-yr_*hB&R8Ir3+!aT`zG?tDOMU)(dKFa0UU0k%CC#w|?_;Oih>$Ubv3$MW{u$j5~r zW>r0RFGKrGjd3K#h(bxP@l(wc(_RpI4m=QT7?=A6Dev*?Jx@**w=mD?KQ0picBd6H zUgq{v3R8OAP>YQp^Z43hH{wn|aJrFuLI2s}ehEEt-2+Vx|7cs|w?G6%4{|T8$G*pz zqV{~9xD$CFa_?V2sH=Z#e>wdP?gvu-1t9%)F7!yX?s0~=?tiA3*Nyad3|LP>AM`7} zM=B2h(%&5a@;mGMC&7PZr#kfA02v?fKSOMJdMRvA+>O}H%OLXc?E$8`j~{TR=w+v4 z;%l34V&8M_)BY7N!oRp>4b)BZpdM!ueanIV7AJc9#cf2T!NPk?rNIjL5xB#OVeExq zk>Z5_xlSmKdKFy=(rrf5e}e<}>;r@wYavKB(1(Lv#TSn6{=^jT1kih`{BuHvSf3T+ zafMJkkv_8yC;AH8h!q33_#fcENN^tb!^!r`=);5O7YW5dJ;zi~9J|bDU>N@qQ*zzA z9tUpF(hEUS%u(xKDFAWuC?`H9ZcxIS%B_LFXFh%N_QYArxq}IpPc0E0^mKP~8j-t< z=^uNy75ILb`uJLGA!t|RZ;DFHh4f+wPB5uPTEKwfBgw_0h;QsgHkElMu_he~%{`xx8 z>u?#@nL~x=i{SBc?reVp;4aH+3)K)E9gqBM+wBjCOs8J^7|(#V|Nh0}_X;Jee`4Xq zdL6sBFDW`*av@W%?dPvFvl0m>?>a-_6@2#Xs32#e8H(DWU>9Rhuz43D47%a&Z2pvf4*p=KY=gt2csL?1Zh z4Jl&8G+a5(-Zrz=W<$4oXIa69x>p}%bFBm{i}yKWup6gIhx%7X$mZhB#XECQRF%24 zDf7@8ODh|Z?{3-Oi(eJz6rZ5XW_jl_Ec>9}=|f*14Nl!`?WilD<(|uYLf{RQKoLmQ zrY*$l5ui?L^mx+BGLr48cLTRtz|xSg+(X%Rj?Ue))y^os7~Y?9ImsH%Lhw_Pf@Vye zZzBk8)I->))yaXKNL2baL#LwhM0u5U?1{mET{D&soo?vKC9pdKfU^!Yx+LMs*aCA|)Kqxk-Pkx$Y+j%eP)sF*=klEi^hD+{*7s_To(l))IA zmX*m|uM@-c_d18E)=)2xZ8lynF6|}ADf$Z-)!1Mm5=Q3J$O)X|7P2x2d8K6Q+p;gh z4FyJ?`DJ5XK@+uR>4sChZ(r>{BOpsIl~$6))Xg$-upqI1ai)e`g~AoZWSc52Pg4oe zpKv)%8W;q>xiuj%k{W__llXr>4bGK?cI#%@tebi~9nQyK*lhX)Zl6E4j%umpUG?%c zSgRD)K}mPrE28kP>m<~-SnGUGMYiwmHhsFMj=3^|Gzx?uhYNtP$xr+7(PGedz$N!7 zo3QQUo4ySX&9sgCOV~jgsm*laI!Y3&BYq-hposici|>T@UG*a3`0HL^-9zBcZ*x)o z-6=1# z@-H-Rrn9)lKK#`CQFoegTd=4-@L(t4@PcXwOZoJwgBZA%jds;6k?z zZ51#xmx9*RO{@C#h3ynD`S*psahAa`)VK_%4Umry0BS=z?PU}&wG#}YD#6?girNs? zVcHmor`LE6l;N;erTuA7-VGga8?X*YO8WrxtVFPd=jB zpjUD{gD*~0{bnb4iTT{2wwmG7ER;U(gloQ#3jfWeU?;N4B_z<;Qb(oV(Zf?m`pWd%JNn_o-Tt!f-m%XxnyV&mMM_${)KhNEsv*7FVUK3MO zKGG6{4hSZ(k2^84+?_GEUqVdGlGlg>icK-PGSRHc`{ zc$C{)*$0TQR`1=(%R8Ipr&)dE^tbeGXusnmzrv#hgqtNu*+r{V7H3kNKu2#82VsFY14xR@P_EEKUNCKdBim z!YM%M6%cn4mtMf>hz4u^ti$AfllrYwwsm8{3tC<<3VGoBfCzYNDQJBQ`AV%pp%7h>(iz*XV@E4 zU`H1sX~cnY80gD@dK!hrQ6l%4D>Kk4P}4Oc71$=-FZDOv{NqqzB6V8k$nYv~D(Tpt zMfw}LC>0+BgHWpoHhaQN+=VKhGMC5cl;g9ZVyjY_H(-KK6X<9wL0^YT6{#E}eQnXV zh5T%i;e)wA-EDx93|xuXvH6=2^QDS7KxKpi`D~D`mcKd{cRQe>fdkmtB2^%hL$G}M zUz!EAiXaA?<_A(?c@_*!i2- zatf$yz`5NN*gXmIwzH=tP&#S9zH{1mPo!?UxL1R)}2 zC8SU!n!2E1!i0v;G3=`xsd(#SgkR7|jX;=eaGS#~FvCrshQT_1}y6=bAK?r&N=AkMrsgw;wNs-lf2w+FjA16MrilTF8> z9noXF_nVGmkvQjG;K*}4C`xXAdv z)+qh{W2zJxp#MLj%VyS^@+I^wL7vrn|It(WKT+r3)6ILpioKne&i>ufO(9;wIDqGy z{W;yYbqP;}D>}2F2t!Aq;a|?ahM_MQ84qJk*^Q^G_xBZ5v8O6loq}K)PlC*b&mEAz z*Ih^1_?;XQeWhsbFu|tW%x;KlJOtaj^ts+cL+3RBJ{?vrZ=qtNf?LO?4cbPApx5X2 z5Z~Y#0yf{9XG8M#2(7m9KbQ9wlQ+cd8`;~&!**y0ArE)0#Z%=xZNHZV7{8!!ijx_V z9P^}FC;gz)eOd-^*4lF2=!64hFwhjsO@N0e#}!;{h`+)pOGVPYHDwWKfSKnmS#yu; zgNBD|u5?)8s)|mH3c|~rI5wH#kO<+y#R02om5eZ*rGS{P<+k7tIbx_`6=i8Dra(jU&;lWL5^UEzJ;<2w9Y-!Rk{chH%Xc8Vj zSn(`Lw>65#h`}VhlRRgef7#YxxKfi!lSa>5oe&My6i;Q6hmq{dmvxfoXD%SY(Z0Z8 zm8iI=uiP#0^o;xEw!Df0y9%snp{xt31!41v&4>uJ3Q)Ktg|z~n+m!No2TJ=%DVcYK zDEUrlFVKH81UkSrrJyE* zk)Nn*g2KgSsNu5GvY09X>G~siQ~zO*Qi!H7{`Yi$x#6%XD?><4|KqTn&GbK`#$o-g z@E z&YX@$CPZpLLwff0%5#+yt7yqQ0S*K?MwpY^yv9=JrVh#-j9tuwETI;+-(3}x%?U<= z8jdioGbILMVARYc^hBcY@D^?=N(Ac(D+xVpS{{5XN@U6wIXYSRM;G@CyjKR?fs>KC zj>Q?lJz4;IP!q3=q$(y(li3r(zB_EeMFK};;XX5ye`DSV1P&aqjAmvu;WZ2)?k~A! zt-zLN&l7@#G|o{(MdFsi#Bi7(YiSbpaQQcITsE@aQd}{Ltrh4banHbbVD%;iG%NZy zxlxz5N^t#e6&9Rs5n5}^NLxk+Q3;QddIU@xhG;ZfF|PONq;3+cdE+eNnjgHahL#BF zP1)dZKetj^u#~=mXSZNH_ztS3y%LqIsP$_|G6`RjSeZTIkDNcJtYT*D1&zv|b+LX^ z0+#zt_f@kFUv{qUW<*~f{`Exc7gPJP_1ZBi`ri8eJqJ{f(A~!u4$Ve=d~&6{_Z#6q zH|u2kjVjy4P5JOodM%VBen2hb^q+O{9{%m4-MXvmMQ8^%-MuSo?J_|s0}tx~LS{#g zqO|Gc1$hVg$4w}Sp5MFE4TSsPdCn!1`@7b{+@s@I&2sb`j$K$+i7VXV)VZCdp&CS@ zhTy3lHR6_euil1=R%9BRS)Bu}hOiOs)?+aQUp{BI{!-_h^>P*u~>!205d`8B<%? z^hd20pTj$F%yhApS3sz^@U@t=KRvHtmQ}gZl3ViS*S}?MWnnC}^e@w;oH%4_eAKsK zu;iZRrBNL_Opk?J_XP|cnpWhut-Ubfs1a%o3M%?=vow%E+nEs!N&PwZlE*1--O4tQ zrdg@muDU#A^~}xPgg&I#_Qc~!1Lus(dndgoJ4CHm)Lx~yY59=>{iasqYt#rY6a92o z7ccD?u(miaC~BV@{#NLgpH73ibYCG^L;L(yYl{AGl#FsGuMea0@>!r<#-;rURFY00 zZ|?%99rLE0f$Xs07@k$wBhKZa%S;CwX+!lI6`CPB%zupX0Z}~|a7&~04CcnC15t$e z0GQj_xWRaajd&zB+c#v6D`mlYA*^1VW9mx#7{;g;5`H`}G~UQp^M{+xUwZrL$HeWE zNXPnNO zpK|tBKc7yItlP##Jr#2L&&RIzLn3E09m1cnJl?a7c98x^j`kq7TL?Jo=6M(QtLJ^H zN4?5KzkQZo$yc84Ro!B{jnPOsKB(H_Pwg8R$dc{dgBK%TUGDgq0qP9xq#^yDdQ)J8 z>K4Ac5oq4aNBubT`9_N>07>@Bshly?lUXWphY|V1h43?8GlReG??F1+fEr1#ksO3_ z5KO>7u>U~)=LS}&mbND(i8~-SEy@5hWpKF&L|+O_9vJE`RkE*ee;dql?EXE`TAR}U zD{5e7|B6X$7Avo_XGXDDVB6*aB)cp-z9b?IrmhJM7d6Ol0_O+D$7M5e2b#pC{!W0D zKPrfTiE)?fDGhC4$+^$v`dXbx@luR3*lIjd3P=oo&smNF{PZy0Y2_w+1upW=s`sz5 zIIVlRYVh&3dNBy)o+{P>eLbpZ)W22+o#LOH@i>Be25?tKnCfd-RRd*GB6VN=)~|n? z(!+XxABt$mvKAx;&74uM;l6hrbZ)SFk4Ue4dk^KgcZ_%inEKd2>YdW->i>l5oWfuC zPv>s*1f-rY8eTiU0gyN_<#QQW%qvBeZe2_|M53r z4Y}(-q*njtZ`cbA^PgCN*XJpu4)A}B|F@IC|CCN>eq{lj$m6mlYTQl;;khLW)PHDL z`nvJ&hvXF=8=_Y^yPgg54Hq`h{Bi&A3fl2RS$BX2b_tE?fBXI$g-XK|5?J?jfq>WF zOc+;9A;AWoDi?nLA_ih5{_J?({i(mwOX8IEHrHB8-eZ^^<~QBuy|VKxZ~YU;!4xzs zUasV+R3#*g8W<`f!h`mH_88KyBn)%)7_!|LL0nU55YTWScD%1%s{LdEq{b3iY;h$}E!P^Ob{oh(l~9ZPr!=M5 z!l@de$}pp+jC+F(ye%H^`cc)bOZg`jukKUbz+nbi2jPk1G4JL1T>dt_h-oXzikxnm z(EvvPKafB6tPsq~LV@+N=}jq>4kJvmM%0idJ*h)YA;C~99M=14q)|vf?=7M> znl%+_51u67(?~8dhPPP!!B*!$zjF9S6XM$Qiv=V0*x*#D8&1f2j*$(e>{pzsGoMDSk&m=|2R|I5Vx z8NewS!G2qQ<_lbLVy>TKYIzr(E+>R{Nlb69Bf~p9cxiNmZhJM#t55i2&I@gm1KKuc z{PQj(G6e@KmYvBev{(OK=3*X$^=yyqcD3{yrJb44F}H905RCg(YpYp1Y2~58tiLM8 z75o@391DJkKX^1l0`Jm+IBy1VgFV-90O-1_BWdOy`}jb3Vh_GT+Y8|_WcHBZgv3sx z>74AzOFW~8jHR9NNxK}uZIO~nZ@!j4()?+dibO}**yI= z!{F)0EysAK&gZ2}#=AbOL6+mpsx&!iZPa> zT!m6BCHcGPhp1aG+?cT^?70-uadx#eLa-}U{K%f?NI#v-S+(afXr5Z_-18^4_7 zi7UAnS)UK>B}@ZLbR zf&vM3w^8AeAQ8fD$}gd$=T|Mx%R)7%o&%G&Jb&ZrXXJ(PwBj{m*%E66r-Tge313Nk zGrK@0x^Mdip5t^bOqGe%3ES8+sr6+SD>iq|_-4)w*Tz}vlLk8eg|@({FAGZg!?V_I zZ5xu^fjGZs-CIyCpnRfxO6Pp)i)7%25a6Rdi1Ad>q$hjtI2-)gsb2xwsftY6aIW)! ze!a=)2d6O0mY3H<&0*(p&LyG8y3YL8eT~_M8FKDPPfmn?QAp1fHjfg0g9RhyWjfPs z)5!s+aDzo0uF!&;&g!nq*~lQ&>=WknzV<^g^H7%|I`3w8&C_5*+%ZCFii!Bt8+`p+ zP-aj5D@f>-!JK(V56YmcC%I>sxbKhzG$|9Gb=(&AVv{AI4-!{E$nZ79Y8t0U3g4uw zA+_D(YPDd)k;-YZc&;*%hY;`b+yAJ6R}H^T02A{*`F;T;d%l(-pa@Q34PnOsav;{w z@JQFsh;|`3O3+FBq`|enhB3(tEJekl5H8a_L^X?3fs9#bP+mMGT%U4UBqHTTk5Y-_ zUdvVo1G;72HiU+q785JQB3#xJ~9eD-#1M>bS0=UiXm7drQ+?w z;$?EX`znIRTPH4t*f#FM>r(ds0N6nxf;CFUPZ2z0B}m0jg+P7k9(4#M!>Fy3jadj~ zfKF(WkCBcQ0^OLf3w8b?SzGV{GC~752Fb>bx$sO9580HX0y$jue}@iiGq~#KPeuO~ zMA;2|aomLx!h4|zmdvYBB+ZJ(g4B}PJDg3Ch6V#VrG>!|mW{)Lfgmxrklb=RUUr(N z?nfjp^i}NNtv#B>Y_#!CdYZ@B>fhQ;2Dv3c*W*7R{}l>d&1*KAm|UKeBanJ@R7pXt zOv&p_H!-0mtCT0_das`J|7ddU>}b?~8jlKTc=o5Q?>R(-3>PZ{wFm=f6)5LNTZrw2 zCZTjNp4uCkSnM-hZg@sW>G&kVy5TgR3tMC!-@rnf$5{_DOJ3=RW$Z38L_jF5X1>Y# z;DN~)A`FnEH6|MVxg6?dbHFmGhop;p7`j4Xi*sUrK4+PTS;lw|HB{ih4@$AJf#Dct zg2AAl7a%xb&nYAbS=YTR-%>mZbr4_B)g+@eoUrHV!!_W4;peGJ#MWCWj~3I#GWr2t zVoHAU_?3=EeYPAx6Z?TFw`ZboOwTcy#RQPaS*Wcv@<#r+*gCi2eNX}Q^GW>jFys|k z$@HZ5t^z7(+0J}NREoNnBTToz&*yN{M+cc@V5cH<1;3x4EzJP=q>iU^wV3W|92>gGgeaYl591*r~cS3lX z$@hD(sMsvuuih7}S6T?a5G5-7;pWN99XSH^VNwpcBq@DU_Yq@hs+b>)FEvEf23&gvA#uW1# z?ufTE5{Wa>hiqC`IdUF$umw@LpdX-HhzTWk?nJqs!&}WjX?TC>J0$(Z23w-X*8mQ& zSUp0U^7lPiNgdi8LT6*LZ| z?)L3S**5prsuTn?z0WF9Q-%8Dx=WO)*K^H*ANceV!kg2 z9ZwtO`JkUHe_=POl(q-WeZA+QeS740ig;gue0E-cfQBR9(dt#3ncru|X2_qQJ@m*vDHb>+kvXm+h z{=>M$7-h+xuY|sO`ITOm%%V~YNLd2$0=L^M($yr_^8j4}k4j;KR&k-WxYZ$EVDWPS zx!?`4?PJMHh*Klu^#m-vbOc?|It`Pz6pzF}N)qXCpf-Op%I^XUSJ*#M99SV*jP(+4 z6y%PI!@GphQe{Wg;0mew0ah#NBeMobO#c`F#VQQYp)3%8jqvbmLLrqb`KFnTu` zld3+NP>Sj^0ul9f#E*R=IEf$8Xx9Te?dWQbr~dPsHg94|QR*IR4VKKk?4hwc-w@Kz z_GX+^udsD=*@E*OHzRhfpe6tf1ye~LBHRe<%(czF0u+Lcjyg4mSKpgFdKFxwNzt2| z*6wL2TY-*d8gtZwE8feD69MxD5pTN7YcIM5HSILR5sL$kzNEXds5G`ebMWX7a-?IdjPMh&whgCMH4R9;s}{i;i(xMA zfO2pz*r=av+T_kc13~h&sMF}mJC(<^m7uiuRicswlJ*E0NVE&AvOc#+smAXTeJNa;x&%L2kdo15Y;jey4-8uq5jXqt z#l_GT2Bqhrgvs$eINZgiBcCBSP9fn_4$JY~WwMkg{!z_%cZfS9RBu{XAEWGl^Ih3i*C1WaQ$>k}DnUtyQRve3~ z?F-BHY_3>zvNLRjUhcJJuCqy?X~|s*+|-phytPSO!D_tZZ%MSL_+aN&X}}=7I;j^i zz_}>R6}(KcP^VBIKYC#3RLG<+)klX6z;%O@muRs=)6v z?_X#j8GlJ-PD~Ch$fDU-VCXYF5}V#KSEj)M$YrJRdoFC*;~vqZ$xiVsexygppk=*O zt-33B>zjVLeCm$MdbV)>VJc`DWazgNsCn1^SuJxubLq$&1Z0)@CNv`!u#!_(HZ!oM z2eEUGEtGU+NBVuYnlz2RpGCSR+^q|BHOv5umfuTD-Sw%YZGhLG9LuEqnq`$aMF~7M zet2!*&kV}v*R|0kiC~hx7pZtp?E2SI6l^Cg1UNCS(S-LW3(HLe^xstd8biJT4i-pz z4}t=nihO~86CrwnA=Cb#9&vo;+7S#jnLzZR(I5%xk4KN9f;5{q_JjQj5s44U+^~tdtoE>JhzzW^o9JKi!rYat@W~+uyUCK?y zlRR*DjJe>5N=2LMcWfiU8tKa~0sz=E&Gbs}!eA=lf@y?g#0&Z+pPuz$&f1ahF>dnY z7)@mtF;preeFj%Z2_inREBJ{e{3%Ppp8m!BCubF%QJSfZn}rzP>uP_EfIt=O1kD!? zDYO_gZQiKNAG`(TDk|Y8hQD2CmaNjS;Zfow2x!pYFAv{5y#mFZ3t33)$!iS!3ep?& z)gq~duDG%}HS%mdY(Brz;XgKgj0i10j?iZS1i5RYhiojL?VP&qXClz+#*P6#By>)?#$bOWl2%S29R3kbJs+Dt)LnDfu6(^oUoTeHUgN8h-*;k}-9atT*Q(z$Q?cX!6lFtf^?c6kE#x>hEnC&8aJ5lnIXtJBpKG59nAYsxi>lEDcMUm;{}g z5SzP4iF>`^A&nA1nd*jkOqHLM^oAp`nDoD~EVL@tcVb!rdzVTopG51`GH37(JE~OJ zAkkD>KoNXauksE-Q4#yDZv==4*72yjz0{Lh$8mdQcJe^Ylp?HNhk&mBvNvGoDzc&A z7@D?_-2YA$6@bc#heHCpY9;~ZM~f;$4e$z`j_TUH@f0SzJ8L%*A-7i1A)%epv~lt~ zrnP`y;|?IVRkg8-V`Yp>$dF~lp)irSI>IoOPbsg@09ZqK%jy1#yhazIr+-Md3r&>U z1S(?HX!_v}R97)2Bp~aPR5wQ7_(I$0klV`6;|uuTBQC1olr+AYeBuX*L5GdZurI%nW@AQ)ZP{8^m4AI@C~X&->POF zcX7*#5r!P*ZM{`WXhZk#rw5I^j>Wr!ifKosq!TBnd5IOxd5;|Vs=-38Qbbk?rj|@z z(|Mz~Y(eK&?Yzm;7}@&%0;vGj^Jh?$_Ui26MRo7!lmepA-sgkj_^6r0efvBSVb{xI zA}NtD@TMj(bdLcxrBy-=5={J;G+a8oHi7Z=fZ|>DA&m-#dHs%{QSJigtSHEt{vDbq zMeOR$VR{S5UaD2a_)eU=v$sGQ9gk%FCXwXe15wS@l4b@@rNybmI@vfKT_Xxn5c3vx zNYX+Ne+&O;Vc90lYmmZdSB^|RCHVVY@ndu}-CJFL#&M75wx+N5-1er0JPRykB_r^# zh|6&NvBpSf`r`pYpcUr67w9rkg3rr?1Q-=Po`Ecn8qIYz)@ShiL}3y}{(=tKk_*_H zb8u0BD*x%S!g|2t5?%zL3W{N9A|$1?;UXM>w=pm}pT0woU((wS$?uKx-t@7RZ2iJ@ zoUIVP8>4@>rgrS}v$?l>e(HVpUQH2$YQa-ld~acyn~6g-$_2KVMX^D%JA_l_WYBAW ziV_wf>Z}}2)_!5F1CqkzyNk=<*;lmA2LmMX1DfBIwO??oZa+3b#c*o>C>U{=FEi&s ze(4ACf7fUyna>dMq7_bn3Aw{5ClYn7*k5&*?$W6jOhCy`;H4%I-c{c%T;o_Dbt`9l%HN~RjkhPwv z_S-QO!f>9Vf0)ZEsl%GF5IIVL*l>0HS};toq#%idgztz2;Jvl1MN1%75|g1rgbAv^ zfZkU~q+XQl@B=SZ@70Lq{%ymQWkidnRiPMFPNE*o^l1qN4>!BYgsNVQEupa`D`D6- z6p(V72e3hhiu!1!@hLb$2CvXf(--ewQ*@{b9q|+HMM#52uM=Mapp;mNHP_HET)Zp| zy(3Ndfcc_^-9M?L-2%kK;QWDteTm(OaZSN#lHw$Gbg!`BSr%bVy8HS_rz}4Bb^&40 z2mT5Pct3#qBmf@X_Xb?AI&k5H^0nQw$ zX^B+NVKgX~Mq*pn9|9qx4l$+ehaC=ug}&wf9yA#@Mx73c_7^fblZ#)4&o*7m&SSd$ zJ;tFFh-#^qv~byyI8%jHe=1^!jCy3xjTS?E8L7o>{b(n^{_gs<50o;@(i85p1>u2k zEpQp6i!44yI;YG{M6%Euu_CLu;t(&vbt0T!#}U_}P|R`OqcM(o@N@0YuRni&&wY#g za8`b$mW*WZ+E2?j`HgTfmtQy1f^wgiamPQqGMW5(>oPm1j0CK}SQP5rTSCjf8yMaO zozZ@JB%SRSp!fH&Vq>xT4!x$suX39Hv)tDM#DVQ2k0R_s=Ov_`9>nsSpw4FHi)B7p zFip%ct-iw|G6=FJBS=}k_niS+KgTSz^fByFH|ep;q^jN(=>4ITE!w zte{(@63bK$xT1x^{2OL)@_JI#CbFtRGXJmOvSmv!i2i%}$%5D7*#irV(U1PRx->I{ z=ki7*bds0w5F|c;haaX0C~@BRcNaL3o-W`I(Btk0go&>As!GHIk+di^1lrcp(Y=`D zJ@R-9mZ8b&6w*?gMTpBpkA8@J z<`mgn&c9a@O$8(4NE4bK<4Kmpy(rwSeS#{(XMNQMARkOj^wgnL0}&3 z&!Hm-UDaX5I|$-d-rUC`01dCG$Vjn&_DRqs6DKL@ElxmZ&}5dpn_TdjKg?)*3@q^jD=P2z{r6;}!$eOK+~ zai)ULB8MDQNLFtN8uCa9m4Z5{32e=S0H7P&sHKWNItTlK9$=6m08kyB4$)?D{}-sJ z7{%C~(teT;DmAO8ajzT^zzVFr2#l*1La-2OHxusi%Py?RMUiM~{-QBqAYOWD`vnnC zB*^$l@%YbczLFOSP>rCpCIEn2&i}Sf>N^UQwFL1Ul*cvrq8gZpza8>8M`D5y>U=ta z53bx)46u@d4cCZBgY$eQ5|)#}mTDND;0|>Lgy&=z%0#iTk9u5}M>E9R2@F8Rf-#f( zGD1)-B_1h2prD{`rgV!AD?8?Cq+_aUu(DmK+4)VKGl+YB+rrYoc)iGM%Sr_;=4ur#4i()`9(>qyFMdZlVN9$>RBm_`eGbq) z4Vksyf%EV71g{a~?I1qbjj-tE5eJLn))K!rH*JPhT7MFYKwc%}$%Bcl1^aFxz=1I` zH)XQeXq8jafZ0$xu!Vf_l)zlqYOoevN?wR}24|45kZ$nn??nPuON~+5&9@1G_Qj!k z6cWVokfwzJ!fvxg>~pb73E}|D1`^5b_D#snKRRoXF3=@T;o>)Q({OO-_$gKzrje1- zOPLG|p9*Or)6_1lo5>{IGm@DG84}Mh8+I^;OQsrD0cjKU4$;1>nP2;}DU^dm_i!ML^NQhcL?InT(<(XbYL`oo#io+(Jan)NRkyq2B8|Ik>~*{U}{OL8L-pKb2|TCJ7ii zxZ6p08}6FY(PoYQN2c$bn^SDv47yBc6TRNS5F5(~IwGZD=5M(TF3*C-!xw$kRti1w z(!s`x=UQCc$~!&SWzGpt_dLUf`}YGmuXi1;mIrR9l7njOa?@1CpVmf4&yR!y708K` z4V9}UR(oT8oLH)92CoD^n~rDIL^HG+YS*SJeyJhTAEESv1s;^64dCa~OgD}>U4-E} zrbzDX&XVPXzALd5m8<81bF^ z;1$2K&1bn*Q}^L8D7s{61Zh82-+H>7Ea~q}F;C^YPodwN!JQIDzj)}NC1*f(%Rvr2 zC3ob5{f9=<+aQ!vZ>0;kbwyN=6r|zBouLvS+bB$G6mVtqoWH#-LP!-rvL4faU7u$L zRiX4&eQB3hjnORa;asou6O@hAuFs&b2j}&G<-kAgG@WP@iW;3a*a)9+d(vwhT}K<% z$=6FVQ@lbFl2^LZaSfhJLibC{`O$m?kxxne%D_8dH39qotEtJ)4yz7CB+S@TXdgJdRYK4jWo@GqZT>J&mHhH&f4lVe2hm>xh{~?S`4DVQLr~W@g3{P8w!r zW~LKnW;kKSHjE83Gbasm^5=X1d!hkcD%B+-qqS3+p`dJ0qx{rdpGOh)HhUW z;&k%8VL>1s_$>Xm=x0LH6A|0}pmSi&$1oL=E?mwZiiA>2IeJ5p9sa{&6r4RMmH0Uv zqegQxa&Uu6T`H~+9hupwIb^{Y#XvJo|2+&;m5A>@STbjt=<`bdQCM8!{a}O@Fqo`p zFohc$J+Z_z>OrC`E=L`W_M!j_G--R%xZS*CIH#JfdK;5FZz5R&hYAehQ18JMQDe|Q zcIB!i4Q>!}3@oNc2+bCsi6|qk_OMJVeQL&Y4O}mvHKn=A-`V>is#X|@#43y_!yqsc zEid}`Z5JnPT1hJmwlq_*lYA_CA?nDQHh@h)Jufj+2w=>3Q)oNjgobMieWsLDY6U2# z+=2W?L(|gfwJ6r8IjE(=5Qfd}V+DEQG84I%7Ji)i7cb_YHlVbg(uE*M8HOgK5#z8i+eU^y~KPrXbWdX+nF&=?(4SxWZ;bZ|@T+z!bc9X1Mo*r|DddCVwAA2vp zB|>I~Y#DwEjujZw`Z4N273;@F>gCK9VXqiqXx-$IyY5(`&_0)U9b}y z18Z{oGa@!SGdEi#RGNf;CsNqW{es!L!n2YonoWh#a(;P||LW7y$V&*teecoH7JuWdzn+QT~l2}_Qrz1Eb1A~b| z^{k(~YzlUGE2`?bvvMgB?93$AkXw&1#R<@8x6VS+1(cv#uL)_U*kDqmElIZ#wsE}9 zVL~2-GP_F!LnBnOxL*Q7}KE5C(!ANZcrZl@$F$0mqTlx2|Xk&VRu|AvDM& zN}vO`+fsxYNSm1pSGUVk2*8^|-;svLfg z#aZNG$7#TcHUHV6MFUgI*d@5C-x<)>iTI`+(Nwu4!UdoJP64Bw3PW4ArBa0Mw2>rB zAa4jqs*YqrjaA$Rf@Vt-m2Y&?I?x5@W@iqUb|+k=3x0lYAr15z>CzBwdJoi87bHNz!#i}!Mi-(9ryfMy=$JssrgCTb|gly*p& zIrSn=t}#=YOX(`slnL4&yIn48ge24TG0JG18QT~9QIlVcPzn_D6vxG7CId~H(-Ik+QpBn;ksxWK>Q7g(aSW;%XKYHxMfZ155 z*F2XLja%VW_E5h>Zh`MmzZ;;;eUE@T=aa$#Gv|!aZqZC#qo+7YSLO?b-pn7N4MSX} zG0k;?fZAO-!ELbYO&gTV^skN<%G^iG*X|&MNod}SdkcYFIDt9>TJXSzDdsp<0k^${ z_E;*4H%MUvfCHfgAlU=Cra0B;EHZiU8gR4f!Ctf1w?=Scey4@in}wS0uZOw*DI1=- z8R^SXCN8GCQ^6P7kl;QP-A1SWxQnK3?iWB1dkkU8C?)h_Wf@+_E}(xtJ|~dbvI{}= zxQh3)prm4NP(5GyjrPG}pfp?6K+wwDpIaX`s`IQ1->vln`+Bh-RIO9gx_Z^q&in83 zU=7pGyFK=_rAt=us}bFuIk0cshhr!WiQRHY1SW{@E2@7)BODAFMh?4Nk1)zU&2m3QyJdv zCU17lWo3J@YT9R>d01DxT21!GjE+fDl7ru#=C9Y+8Bti?98#J8Eek%5k{O;#oTA6( z9rjGjz90z-)>F0=-lXU*e)%yVc=6qce{CZbMZ?j!CbR2V`d2sjxL4k(un@c-=P|=3 z434@MGGs=EW)er4mF?vrIoK+pC(^5OI8xqklCd&czWm=xJ5g1(>DL*!uD`l}5eQ=! zF%hzn2KnT~`U+Mu9rnp+v1pSQgHb`X3@k0@?haB2a)g^ao68o(Vlo}qQLMRbiHt<2 zCgVq<_6h1-Uc%Y3k&m=70Ybebja@$usS2_7@V{AtAq$DS*dsU{zb zxV3O=7z4ILf?PD%Ju%nziua;Q%nB{c{E~>AidsFXs;>)a3uAWkQQp6zSmBg#9kz%( z^tj%Ss4~Z)XuLBr-YI>MkCz@6j*sQZIn|Sr&aOcIR~A0r@HDi^{V;%9I;-*_skwGx z%#IpsVU^)w$}gecn9WBq&(Bdbh}5^4ZE6G^A0lyJRUcWh0W{@XDZ*@jJ)_oZ1?eLU zff?hI!gc!V?A7I<=Q}46x#X2y_f(42 zYz|TT4ere?Gyi5BGDjMd`C$B9&oJ7aW3ewm318eLk>udi2HMny&eTT9)W+46EkWE< zZzU7gDMH*!P*Klz`TthePZ3&Q`anGnRZL%M+)JN9xxGKHe#DAX+mO}&Vc4qezfeiO z_4#MnDlb9NIBVI;CR_H9xh1MNFT7s;2a{k7X!l{;&;|<*1=+YNgV9*jEWdx5Ykb`&wmJXIJNJr>Xm`Juaa<7rgV`Y5CR(MHy?3fVYSUGWzdekv_ zpG&5LkYXb)(N0pjwWxUQf6OPfgr*$?Ur7H){zw0^{1-~dx3+l4M4eo6OC<7I9gorbvj}hHilB|{>S_t`|@=0K!mXd*+eJgvPutv=qw=Z9yK%P zlH8a)FL9Q!-r_E5zRI3p(9<|87~{BjA_bKy?JY%XytEeOTon z3?V|PRYvu2A$)O&JA1yi&26RT_CQ7xJ;F(?24!? zI&Td%SI52esh8S5)3ys$b;Igxik%?WdxY`N_%^+xSB^Ja%htDVe+KK80mYXHJhHTH)QAS)}lUy`=L z!a96N|HLJ!ZOPpV;kg0DR+)>R!VW)Ywb0>3X5Ey#DU^=9vjuqPwS>)hbhRqN*R7`$ z?a_-gA#=o6I?cjNm&1zN@Tm{cILn?!YPrnLY~5zo7^gRfYZUlp46_#LH*L25wdkSn zi&5;TxFzcIEaA-3>Yk(7D@(gu9<*5suve{Wtyox_Kee^GXKD7z(C(H4>6ZeSRIB{$ ztz5G3HcBO0D-w*Y_S5mWOC{p|w>tBmyT^MEt(Y`!TMIn=4`#!G|CPfv9k1yNp<4sq zX&>%spD+1e`AYgLhbv zmc6RP8X)WcvnqDu%}^VIS??l(z&zV+Vbu}<$^m>G)Y_^#tSc7ginaOaD{-2uV6Rm; zkea>HRjb0;8OYvQ>?a#`LzkxaLBH`w>24{p{se(uldLTk(A+T2q8fLMKTtn9x$roJ&v;NbwvA6 z^DO3J{_2WanNU4d#Zj0GENf9&rZ1X=_iu~q+>X?8 zvqg0~I>PQ=i3Ss&pwz!;r5FPh{K;%lpfNvPM!jRTC8T#Qc3$)V64Ipp1hY;yj}C!v zpc^hqy}#P3hbQXzUMQsKZkcObSfD$1t)SG|UKxm(Y)C_#z$-%jo;ew-iq_hK&1~UV ziMNn$$~tD2bEbhV9vLyuZTr}??!tVuFiD3|)Nf|ix#~n~JP8jOcGBa)Egv+ssEK{N z^24YiPu>PF*?1Cm|7ZhmLLpd{T0)iEOjq2Q3+ioC%mb;0$5>Ap7=0qxdM0z7bMh=3 zJx|%a6iuGNW)y-TEUkXw+lwl%)e*d`OI#_va*Rk`**O0>9`GFl_UKFIRF3~?0rNiD zZsi80wd-2))^*2TFTnPwrb^-6NSh+pQa-3{Y>)kMKSrhdbU5ZIrr;hrPC~8X`B-t6 zipY% zXI7yEwL+!zlB;V;Ah(_`Q`F}U5*_9*sQ^z*rQ7nkMuU<*&lJ$D_pd}+48om#mFRlF zM)nDED3mscmlS5{vI$j;;i3kKdmR}j;@{BLC1rvO+4xOpT#lhtfGil;AkdCa)J;>WD^N$%%idXTR|-o$&p4nOY5L zk)81;cYn4DGch`6;SY^jWQmxN*6X7ZRt4g(4xQ6HOvzf#Jnd?`hu}2MDo5iGcDHlQ z%p63c5W3a3O&jt>!w_P(a7`PAMSl{sbhJ$wPDeiy0D8HmEIAH&VH!qVrC!!Ne6qpX zc?oE42J(B3m|dtH++7O$Hb|q;-G^zc)(NYro!kcs$2JV3S=@&iPuFq26;AsWFduA` zX34_z*0?GP)+r=7r?inZB!Fxq40U0c$2x;h|nZ=<(>k%q2} zEZ#Om5yPv8rJ6O9X*r1>wfaU@ z94%?niH$*&Bm=bG|te2AtO|8NkQzovq5 z4{A#6C%fS$s?v<7XLsm8AE;fk&`K&+_dB^P^Ds*G)<8Iy8xv+&p;g6{E%(;WF?OzX z7&2OONMQ@=z%;0Cyl8cunj!B3IIGZlJMfkqs;iKYr#dn!+ZHDa2V3pJnEtxr6Zfap z^dDosrqS3v$abNgO@VmZK{|QSLRE$l^U?Q+3-pv6cde@h)Sm2slgNd_VRQ?2Z!Ny` z4Y`u+5i`58V!^HTqmW>G`!QXIb17!X$dp(j_(CHC5ex0u0N60XnF;(hfZQhuMoVtY zkhZeIBK``=4S+=c>e5{~D180m=l;>kq3AkDy@L=gjGjHnGS3}SBfKS5_VQ4@gP`-L zE#3&6VY3FqjTAz3igVa8hUROuDWwBfDAD3ng?r3wpjAd6?! zU5oSurN=qMbH07chy%xK7uDG5Ww%jYjiWDN7)w`Yq|HKdCMhf5Vt+u+MBDuryW=io z7)sy$Mn^i$DJ7CmPTW+ov4eU{NEVCqWkL%wC9a?i4U&< z&g-izxoP?!Hz}w|GK&#P3v6Lg4&g@FsDPjrdRV8&jp8w~6a?;z7rkl9_|g(d6zXaq z+)Grx<;e`%8y84|Ca845MSQvmuMIY{CE<@sJ8-UM3(D7{eCOP%=9`;XHo=g-$Qb@) z|A_PsYPm!srxSo{04vi^X|^v=CErh`;Ww$OI)6UrvY!mHrk#o9%*v3z`AhY#o~ho# zhJ44G@2Fd(zvZ#YZpl%jrQp;Pm=<{tZCTj0NDwIlW;(L*Ka02A%Yf3 ztlxGifKO6Ng*~>FjMAd^Y|iDHodkgwkmLMaZr>`NRFFv~a*n7qyO(AYELNZ*;$)Qy zS01o5Ow<=`Kdh_WzQb_3BQLm5P&~2sFet&OGN2)ESqhu+G+m-(M(MQDkFL#&*MnNc5bPdD$sp2=g7Q|H1l$z58@JCnGz4v3 z*0#(Pwm;4w;;F^FQr_~|{_kl_m7l_!o&G=*rS~bJnup{qQ93fBJI0N;5U6@Q1jn|6 z67^8vp^dHQABrdWj-Eq=t$q% zz{}Sc;Miy8x0sx4Q5k`W<(>|o z6JSvhM0ZbmBp&(^`h(19d+%z!^IS=@pwg93R2#)fpT3v(Y4eW{WstP1+#4?@hZHLj zWNxT`KsL^}!RdZRgE$NVehWOG%aze)=l!FA$oyM&v;VpIbROl=`L1?(b4mr!9r9ywKeG0y>82z{c-B{q_?t}u(_ou zyG6tKlP~Y1O8ZvXT3~F{`~M~qy=%wkR(eZr3Qm=MRswjeN{R?ptJ?i@6+gAD4ZzKO z!bS}jJIM{j*$yang_PWp#-e>uKMvkW?7QOE?ZwNG{K4zj4sA}` z{6dneECXlDrbpU0-HUMUR#j_-Ts^Hs##JHR%yj(3GkZTm>OV;0^fzaHXj2hhz=T+O z_e!8L#qsZ`V)UNWiDvP2QDl>DHBz;Ks-D6hAu;F>vYXqpLrEASshNb8TTsoFOdoKe z)}}B8R(mIF74`O}HMFk^SC!xX{AHvMsRCs1H*4d%=y#7+aB|;MmTjKTXFYn&Dc%Fh z^d$=lcW*G?hveJn>w{9|Bk>irK%J>f`HBtIS)?=HUCDz~p_ z!QA-fcb&(F?szknq_}pQnCVPXQY#OAWY@)xn*04KD7Ulti1D<2>;*$#4e!V2_ENYI zA<{>{qaOF3<*xIctx|j5OAR>ryq343n!x(>WreBG^2S$BvZic$0Rzu;MUEmi*HyzL zXH!QrT39p=Ds#3*iEA94TO}dnY@9yhG@v>Z-gikgx~Y+VZZxk=u3&u4zp#4Z^~!%S z;bk32G6nt~Nv|}JzOymvp#n4y&ArB49Ok{FU(Sm_d&4y0ylnYU_XXs~8*vo?Ys)&~ z`3qdq)Gr$4=3=1Hf`V_z|z&oq-)l7P^znImxTk+$^kUIiQPm5r#7 z2;tA$<8#W7@u*a!zOaH%L=hH<6$dSciY5t;B++EhUjt2&9oq1}PMhi zp`%Yeo-090tS{wn+y9z7JQQcb6!fCHQE8ernmDLrHu8iy$@qJFS{2xxE2COw@uVE` zA{|`TSdG0n#I!pE%bPD1hpqdT9-Yk;XIEQWGYuiURD*8Wgu8kjahGZ(8*) zZZ@n+woI7y{+nP)jKX&+$P+eO-(qaBwo+Y-#qdHaHSx4eDHaS)p+_*UW`YsjB+08L zvlg}=Xn^cT|CbX+ux|2CJiF6B0|rp8J(R6zV6%i)dfl19GQYWJ;+1PB8MUrS+l5#gJ}gl@a|7tr2=Y#_25AY8*&Oh$7pE6{K1}P1qc9z3gL@-=FBCl`SR2%!cNUVl#gB3; zx(EM3j(s`wjmKj_zWae2Pz&%`Z%=<*dEc~s)O<3gTZO5N_T4S4)5w}On zK$6_*NEV~Z;TrZBn>|-pYkO#$0+ku5^7`+iy2XK0h_2)Bx@nVbhh}r*MBu9<&}U@@ zxq27;VDYXZKEWjJx8JjWFG2=+4$9LXU8^i@G?^Gl^U+23T_(!AIb-vTvtqT?s=0T+ zpr?LS_neM_o!@!B^G#h%on!L3n`xF|Hmc|5!)T`{iW}@v%ID^X zEhc&4XG>AcWV+|;-tYy#g0;FUtcNG%jJ4v4HOf$(_`TYhCYpB?BVrL>PAa-R zGIl-93dEtl0SnCY(D+OYIH$0r{*+A{s@=~f-p;ep{(#Tqgf%JDC&>!O=G!RDV$>Kl zA)ItJdtuz5T;p6vxsc5MV13Q7n!b&6TyG_)dK2XP>{XJ5fW-Xv?Hla36QHkrLTS(P zw|?H#em<;iecpb)?fD~( ze(V^0t_i-M? zdUN!~ULQYCu0Dx~-zWV)I(uJ+S3i{SKVCZhpRC`u4Bp@UU*2EF{g?d5dqG=?Z%m(z zAeBeK52oi<6~Cnf*|EfNgZF!bqr2Cw*()n6Ito8J>d)F6amA-2;>I`5x7)YYo7b(= zD?nWNNAM4O)A+0i`oFLw@Miy6uA6zpU5S zqSwdXlKcsia}i@2LBf9qbldV-SeR+Xp+$DCoi)+IVuOdRJvwwL~ARMdb!Rw7TO#n#!*@IYqMKc{Y}jUh!0~dTv!~3 zFVKd>Y0T#p7VcqTiOya}*#L|7P#U_5zl0VYq5t%#^?cVVOv>mVwPCN9>->6e+uExk zg|nRJXa^cJ7SNckbV!WSp293Y(jpqEt=AgO^~#vKi4?+1RG_$6M7&ZBsff>;GhBiQ z(iv|JiLtzaXIlX5b#}WStfgU0!Nlw#hk4uARvM6ghX?Gn!O`kZz%5pQ>@bkV;$UZt z)Y$*I7*8e>10;TpHWJARSQRj=w?eE)eaFT@BIQQiXI(-rPMSCfd9HpVEBe}BR(qve z(kpS%GIZ3nrs@A(6g%9q9H;O-Mv=slE&rfG;v~q#jZ(4*6=Z5bS@<@OEQO~4WZ)MH z_FOP0hS1Kk@O;o5hHO4rDY}NdKOrtOg^a~9s;no^6k?6FuhbGGW*ikPriCiM!W$b@ zW4VAZs(h}#E}vdhW6f^~Flh;S7z;A1%$51zRlc<{9)-dy;Jxc#p6*u5N3Yy4RY9m6 zz3odxz+BaTAk0tgjuT%*73P0V2O|F%`yfr#&EGv3`%W2@%4s-T7-uFv*djikRrF_e zJe{QpUX}?Vw4naEAd*^$l}0YrQg~wBPT3`jqH*hZ4Rx!vV_n9tzR2 zeRh%j1GxYoPEJLfM6t5=5s(vq5JGmscM5WGtGclWhT=mm`zu$S1-M z638W16f9~DvxOHGW0-mCH#6n+vRf~#I&T)7^_6sywn=ET*mXs*OTg(pQ z0w_oCf3`e~lOv21jSd>76Hu8rOls#kA3Y^djQZ(GR5C^=W=NXC)#u`$$hs=!i;I`q&s8jG`Y}QcMs?k>8&{s@7j!gcLPh;f_#xwm_in z&n@{SX?G)e53=ai7t#F5r37iKc&D~NM`DWocn(KwN(b6lnsh_bOtFwaT7Q3dd;h6V81OwR>aGZiIo-rRX|9l!7!tAo*5a6)!u(eT6AF(SRPf}EMkQlQd3+LNXzW2jFW@92ePGHp_) zT1drYsEZki!+nF2cZ%FuV&?_^B9MBn5YRUO;iTuJCGoUie1;~acN;sUqT#gG%pXTc z=FC;;Cd{AYEHiJvKj@IHB&ZqaXdc=zP*!NwZklN}KbptM4QLFC6{#o>x5DY0;oK< zStF#-?6wzL{V){q9KI&dPP1~{Iit1X$+A3W}=ZH*JH zqi9$#`uIsq(#-hCIq@L_a?c0C1U_t7lf7^uH5h3HCHD;Kcn69f@`+_g;&_X-0JlCb z)1)vHx6!|v-1BkyO7&M_eTJGa9*`J$Jv|vtL&vo~CdF)(AB(JRs@Pz7`?xUaB-JN)sS?Fn42=h>RK2THMDz`B z(~BNs^lJr+E+|yaKt8a=*s1o+k% zkCQj^rHl&q#2kjn-|N^7^hn6cN@M~MsuAbrBvXh3kU#46v|z0|DlbGuIBtJN%I^d2 zZh|gnBBZLO4Hd>cT}w~qdh!1A7CS{bs0f>7=CL*Q^jLK_?}#b*ioB5j4gP`38>7(D z1=Ol8tzyo@J?-Hb$$Y?ClqBVCf_5w2RDIx(i#G8x__x$)X%_k;ao2ao8saBXA?i7{ zNaX^!xjyPAJUQ5Y#nQ<1P7|r*kh$cj-r{iB`_ruI3V)EmjvHPWsNWxZp1eJO(F zpp-DaNWpE)kzAzMNQ;k?+242WcBJe%smjUdFBicT2i+2BzgY=EZ;B>fw!c(m!nML} z)yN9D|Fo5b2KPN*=Von73qg~3#AdwELzOLeFM4bMhstQ8GNQC9I{yJ=qAjEalW0R6 z_`zwp2rR}OtWOY$Bm(W|ZQ^=FZUtpIlbHcga}EjjZ&V~jc9hIq9DLLfRYQKV%D7dk z6uAg(0a%q1lJjk*`J`;{u`|&GN819_AAM&yrm)XJ)gokj_V53+)&fleA@E4mYoh3D z;k(20Q>%5cRyp$zDVTKkUaG21i_l6hiDF z9^_w0jW^qzpoS!I0T-0Zc!5lWWb3}u+t+;YCm0e5cS)RaewqbE0_9^jnt~RF#=gED zN)sPG-w|fo=zLM<#j9HwnpygrR=astS1Wr+#w*NwbksAezx z=nR7@or+f~l4>E?Qh*#K6$fqxI zBrHd}mz;nOI#dMO_L5~-kZPk)W2ozlRuR15E3M@E1`beJc!kO+V+zW>6f8N)m*2Ex zgThF4UEv$D)Pz?7?^`zgvXWnM{Y6`V=TOAE4eu@mkAc`-v<*=Zo2jnuow2>2wXb>o!qls zUQcya`sB~yz@LF~e=E2ctlgi&r#rwrmqhY}O!`t0za!t6yyO`$Yws}G)sok!FjG$W zK$bfK*T9}}Y9zioEb+%b8<8pP7k*-tiCkNulwbVx>5hYF9sIs8U^p~wxnPbIkz`*? zfGWs_&qBjH&b$Y3On?~ynN6st2Ae)ltOXHn*OQtao+a61Bp@=lpdnpjRbOob(HZX} zIy5%Pw;!hte#AR!#5x;2aKSc|eL&<;a zQujPypI*A5jBlPqEcP`lVTFp6U)ptoD=&`s>APK*|FGMi9d#_&h}zA~$6~>H(;60U zX??}LgcGX@NBWg-zLA}nB~6iuW?D$ZfzdL6_Zl6a&4^ulMQDk=l#FpDWdNvRnj_gE0c$uUAv*?G>6ZlZT`41QG?Rqdzbqh#Zhmp=t2!9FiD3vfRg;ZnSdcDHV2)8Tf!%i*2r&d6 z5Jr1V%sn1)&<#9e9t3O>4ue7!w%2C8tpQG+xIbYlzo!Rf9;q+9<(p=WU~wkaDgSHN zbL@!^Lkya&H-SCa)y(Nme_}vVn(z6w`l6nSXZf^GXG*kBSL!ou5C#`Cb`UyUpEcYY4iP zgI2|-i_dSJX55v!!mHt|DT3b1QX0y5ygPt@Wscuoe-O|6AW zi_t6XV=YLQ+DeC)hedQZE#$At0*ch7t#ZWv_E9}_Yw&SUhEHTbI(+?|YI;vgwis+PS&ix~MI`^zvR8hc_A=Q)r9oaI#lw$^61+sT_1y=somPsy~ zqJfaNkdbMs@gTtH;7{P!3=qG(;K0{h2m=v&>fQPxy1+3ftPQU+&)9=8 zm_7eaLAW^QmQ6}h8;3b0Aenk#5*v;`;i{a#J2cM1jZyv}kxvE%aHADVhHE6TA@5V- zr#eNEdn{6=qk~nO2U2&U%d!3pip8d^))RefptxftdNkc88+zkp;@-rM+S7Uh|v-ycDe`&MyH>z8bC~a`r z?tFtz1Wm;D>dTMQhwwD=OhTeM{fx+g56yQC@sRgyh2J$mdLP3=eJ^tGh_EJrCs@{p z4+{$3kKWf(i?lc3A8^Ko3-(U^^!ju8+bg4<+>CRyu?oA|cok346CSyUgkv>|mRz)I zY{k9q0K_g+TjpNPr&k;1^dwW8ESHK=SX3fVNxTA$ach}S-aQB}cER@WQGBiZwaKV} zuc`U_1@0Ef`r~9M9EC|H(U_d4*)o48YFxeHsj%)+!9;&CvSoe6z^x?#gE7t(!bb=1 zuY8CUn==G7D50Bw0;VW^MDtP_=smkq>4Qxv<~br;J+ReYxq3xAX5gEFl&(^*iS#-h zCE!pdYo~FeiZ|J(CcWV%D(`o?j@EFAc^%_F0_KIY49Ne5R@%w^DDF=&T5i@EB>h&r zf=r?Jhs{k(ReaM}fE>NF9)TGsGyBRp`QpQ#wuGS3FUqmW(#0p$C?`oC!mj5g18JC< zm@Nd~7gDt;fKlwpdn6#0%dP2{fiz3pO!E?CfRrR>!W}SNhS*^V`Js;`@JnJjcwB+( z-^VY{i6p{YOpBxDs#qlI9U-~QM6kaGTa*-IVBieB7p0}U^a@6xsJ(3TaTq*k)TAKD z{0TA#t?uowSdeN|4#?g~KC^tj$|u+q17o@iu8p67ghRN zeRt*FbREEfVn;Uq$Y#=pMeIf&YA~{zfTS^f{ka<&@yII@^S8ES&6D&WzNf8^4K-sb zWFl!@^sNY`aY;C!d1(W4|R)TOF|MD&|bFrGmk7^Moy>)%9L1W4TLzx8oIvsRz$ zjXz}8*m`?;+tnHuz0rjq+>29mM#5)v1}0{eH-$npW-D!ol{nU-?o-kR0W}_8IfMzm ztss@;cN$jiP|_lmA(C(^TRO;Guz5HoPlvR(i%agMm`*Lgv=jWnYLAS<%nOAL!v$HL zM1N&h>590>)=*Z5+72}jpYW(uq%Y*RD6gh%RCT!TTyBLDYJFY`UEZMO_2pqw_$m;K zh+W98Fepb(*=-)PJrdqcMI0~;|4CXH|7Q)M!Zpi=)*zTO0ip-mp6kLN@ml{_bK{;k z%!5T>qtf{ocgk%MC#mjlpv)-{+=zB*u5U(Gm0j{*LO|}=6&y^?dFGp)RH(5CzTG}4 z%;>;*r;h7b+kSZe5P$Xp^faP)iDd-|X9@vV5rTGUXPT&mQTtmQ^4JIRzMG~)-fsAs zy)4zDKX+rP$w4O8qIQJZFL^HfXr9I_hp{-&2m=w-6mw7}j>v!}8K+*Z^I7;B8pq(* z)5Pj+N>R76qJ14qY5XWOm}Rt?7b8^{92sjKt5CW}Dc~1rz8DixGHrirkX2fGxB=+> z0~um?=^Hl^yN?j0=jUGa(b37i3U>N@W$e#&twE*hyNWLnPWDo?X&v^rAXG$rKjONj}91 z)FIpTift~-jo0`w*{w;}8C6j2Kx=NdtYWCf?dy!_WZw|b=tnu<(=%blz-iy6FUVeV zGsKbfQ9A8E3fII{WJ{@eXPw&hOw5l_3opuvOgx1rKgGK)hdk#17%O7U<(E^y@*N>% ze)WnIRj;QG>t+CSN49BwgN=ekgJLZPSYPrRK~HfNKgjQnY|VGZu8_Pjz*7nAyZEPW$yTZM@O`*SvOybdxjDho5|fNLmZ<_PzrxS*IF%9A^Xi&^ z7CcnU>u5IwYBO-synp-%9Sx>Eu|aTX7}B{EKgk6MM(46b{%Wj^n(Mw1@CiyTPZ>Tr zSAP&p3rcp&$39mN9no=f@&}!awzKoD;oF+aJ9b`(twt95ckH+zY zB0lA7kqFH`_=Agb$Hv-~ZYn$w8Du++ru9)jCUEg5lp-R$t%~YW#*eshp7qQcQszIz zs0Krv7LZj>WD0ew8*b>xGb!cmmF0$KAfnI%jk$j$um*dce_mykP?`2e)+2Sj68de( z;4`T^9^hqaB7=JA$q-l6fM^+7YOOh#^H0&jT$yZ=L8i%AMzdG#Zml^3KD*&|ypfUR zBM3j+!xoQy80M_N+AoUp2LVWlz+`P@RFO=;NPJQd3C5zsJUUy%x*NI$MEk`LHG>oj ziR4HdGKil{tvPI%Y|2$e^?l#no%JtjP6#Sz`Qro`MU}+%s!frR55oVsYo_a`|z;T?Fuw}rQuw7$J zou-&RtX)QP2mXA%KK=-Fdp?{4I?_j4M2kR^}=O&OZy}t>Cp?nwMCD$BjC6wbC zOH5rZ1+^nYNx?ws&0*Nk2BfD|&440GD0LEqg@!<%mld*kRqKCRC8(+h1Iq`|g8#Dc zexE)spN?NPMCBO{hZALjgEtOZV~Q~{`%X!pR#lfTnLcWe14fe@ zK~DxXS8VcxnlRHqzNgTpWrU8{z87*1z*Nz|nP;LpAdy@Q>Vu47>7OIYr?dQlHL|fP ztdpx|Sq6`i)B6kZ*&XDRdC>`;0FPjEgEs-dyABJUFH5XGpgWJZe*RY zcuMFrPE%Q|J&CnW<|_)9+jsPrG`d>Z3?yV5)cf?RfDi8<*L@|-kl(Hc&BBq^RKRM}AV-@hO91M*9iEdeXuxz2U} zAA4^d6le4Oi3STA26ra}4+M9Y1b26Lx4~@~Bv^0{4ub{_ZXvk4ySqEtdEfW<{mH#m zcdKsQ-P&8bf6R3EvHtWq{meYleIAS`tct$%eKl-C*=TIN-u?j%a&u5hP`jNLVKgABxo?P190S@r`j(w9N32m)MT`0H^m0xZm z_s9%vAfM~-F3MC@^`cXQ!PwAjrF;W&ru^%KuVQ-EeG@sCmt5QHiVM^{NArqEL>tsW z9p=f^mfOYOm^vHKeJSyL_4@o6@Pf|Kd?ZXxr6wtbvOn#M$|?WCB%6GOrfGV_5o5_T z25d7B#`q*o;^U+H?$7xB+mA5sH3EV=Tc1D5C(F@wFt(>&@VDC_{NNs8AnSlMa^mGz zBJz{4v=GR?FG0O@PSC~C-u}alYxoNT_JxhGmF(7=1yYeNA4-W?gWzOzqsGsAP9<+# zSPBtHML--Dl`39+q5Y&Y_=~7?z*~$rjIb9Oz^!1*_i^-`W^Ds>hiDF4s6{v;lutqX z@xjX=RMFEQ4Z0T-yHsgJR_g6tQbo!}*PnVlIRaaqJxoAF zqdi{b{8<{>zJNCq4W?thlp$nA# z6+H}jfHzGrHobY`iKGK0aD$Zya!RmWzLN?rRCu%!X=r#d!31J#j(0V3tO#J5qQ0Te zE(LE153sxzKYmivv=RnW%LqP$W`==)JLq5<2F`Vkj>{I&lh%4t(z{spF5mS1Y zk7l?r?NK#^lBD?=b#uY@&sKGVBi8e31gi=`R>rIY;k1$Bl|QcWDoL=@F4Qow_SzJN z>-{8TPrnB0Zm~&BCqGHCkQgzJ$_q(i!!2{2*;5o<^2>>$Z5#rkjW)!$hK*hW>oK}C zb)!Az2r*4FbkR^`hF2>Hw%4wE){g~g@szdS$muIr&to*D6p|dijklhZ#FPDccqG(s z9_fU>9rg|6Y!V0wKmySy-MTp6^p;7tui8==0%}ka(Jxz#j^5O@VnjH5WBQ+pUIzJV zk#yZWM(utv2X0j0Qn3gcm?=+_%1bgA972!s6SaPQQy_(S{YtTilaR^9yOOJ(g9?;0 z5qq(*sG-|(2Z$=)rfMEozy?*0GyHh@qm`9#bE7a-Irank74_v+RnA6kzzY)P%aL^A zfuS(9@}Eb-%12Flq%%!Sh0#F+w&3GiZGq-D`dCitMm}Q3Mx5VI$=L=QDRk9QUq%o( z&tAL3$EtxtG1XRZ4`44;n28|2x!(t2{XX#qCL%(mPP-JBBaO#-{lB>4K{``vr{;c; zm5^V*PEb4cE!F4au$t~XPl~Uz1s!k#ef1%NpPn#Iq}xpmMrcdW%ObEhMS(dM%y;fs|RtJ*}~!)%F! zva^nnc~zqQdgoO0WmrSkFEteHYAp|d9S#aE1|-B;i*_CKz~5v%t&LldUvMmwm#~pf zIg|jVL^uqG2vZ0{CPu#6718&$Z<@-n0#QP(hu3ucQboM~6ltGT6&W(DH@@w^p5^(4 zqfAE`K{!?hn2K{}c+m7p74N@p?!h(@Ycyr%`f{B1=EfM-xr0&2hW!F3;tt)_!?6Q4 z!#7TvK#BZ=o+jozxrFuRrp?)|+2po#elUWD~mOww;1=3E*eOcc>7H^5sC0nJX11~U9#f;1Ptiv}_cn9Q+RciYQr->`3`zC0uu1D!eVNONU+=j23SWl58a#PnT2J?=yIUN|&UHn<%bdPXiclX~%)7O) zi{2d>@5PMu98|O>M>URr8NW=n(-(#)?BIKRQ4Om7nwfGkBfpRNmb{uhil&u|QR4Sj zeqPD7Yy$mh)t0d%0g{)GKWP=&D~x95*k<2TW)Qa-`4~0d_RVQbm{+@$NMpPhMn>4! z%Q|Ltv0w}O7j|DJed&(2ZH;tvE_M)$yzU%4C$E_uDOHgl-`3%T&7f45@lF(m8uZv{ zf2E3bI8|cn!cEPgB>}_OiC8A9F&I%NxF2{d_rgiSTgVqBzzPK_-qp*D>$~^n)Q7l} z>2|pyrn-xHNo1kHX}nS8rt~KYY!lb~K`R&Qlw~WtK9D~Pm;Ehy4_lQ&|FzBtMbQP( zH{Y>4ewW_dWGi*WY1hwd8A>ApL%3wpoh=`6g5SMnHR0u zXG%zLX>i!Cn%7mKvBke|Bm^E<-l*k&&Da=N;ZI=kVyN z)`~^o<9tJ$A1z5vh9P1ZY}duL_LHSw!2hif>7U(d+nw52lU~+9sbt9=LCL;Mmg<%^ z(XuHLXCwpEuG_2PkGL&5@ME><$D6TMhmj#AC1X6Z>d2Jsa?XQEsDSr)M^5c6b8-a& zjO*Rg-c{e04Dft4CJz(`)}i^0an7^?7TA_F1N8G(c3_WqE(vcjkY^urE6krza(pv- zrm^PP=V$ONu%A#`dN)ms&y0oI2Md3>fKKt5~)@e`*pdM#0bx zc?d-e|1&G|eqpHggKfMY?W$gyl!9@r<>>2o4e^HiR@IW zK-XucDh~OhB)zwX%MswVJ5<7w*1c4wY#r2u$?L+;(?f%`b?PU~68&c4OMN>HdCa?$ zta-;FsmmH{DcQ<`A4MlLD!2qu{mLealWS!NPG8&=Pzi_|7VF%8$DYYAa~V09m}P6* z%BsxKSOL>~Xcj2ZJIA%-glc%QyFPW>qvJUNl<5P~)oZ>r4N9Lvr~4D_aF$^Q%{KMj zn7XiwaKG-~M3C>ce_Z1-z<%kUw&=6k+a|;Qe>6l_UH<4f^gvIqq0)%Jp%*9UMpo#K zgeLNB<~!62a2efQNb8ROx0GeTW%AP(1D8Mi@BTJ7mTCC6j%6COJi7Vzq5|Cd1IgEZiwTh|Aj3{*rkIBnY@V{bwKts#|xX^;m+&vp5{)r0}5_= zt8M#Lb4uj2_?Cb0#p*Hz+L`yj%Nv#^a=)i@ z1D65k|JeKw*?(F1zZ=6pPk!1v{P%wSVI2RxyMGh@OX4qtP;39Her$oZ9SWdM57t!H zWBA?&bs;KVAxl$0Wa3Nm(?%BbYiBHWIkp%H8b%3@*OX=9xySw&?74mDXrK|!@%sEg z^S7%hU(2a?{2P*YRDb4eU}~&E4-oREfH1G0|3t#=RQFW+Psfgb9NiszPVC>ohE5IP zw_E?rioYg==_B)9h(wd{fdtesP(qINU$a5pG~gz7S@H7QlcDQZXl#`O)lV(kptz4- zzdbDou{7n_@4E<_kG#e|E#O02FVH|N6#rF$@_D(Sf_<^}lF>_2fBIiYz)*La-Z0;p z3P7O@2Vckc_DP@iEkh@Tn2^gKv2y)b&IZQM<5|=eX>q42oZc8PN3EO`cPtV^E>w&} z;j-u9+P`5|TTkCFT?H-27Ea&#{APl?;79q~EH)e@X`0NO`WW`g@Sf|?OZ4-mq58|< z*|pJ!KZxe=q5ZRaY*LNZ-OgWI(U(=jt}vM z#RGCQ5P#2E%w5>3N3}6BV_5|E4zknpTUZ?!++EIYW|ll62YATs@kaaGIzgYW7NU%5 zx*9fA0ef(Yp|DLuVPB&|4Sz)`#(2|Q5_>Ex5XG-0c0Z-``yc_<;LJPrl19p~K_8a+ zvD1=d2$AAox4DPB2GaWBhNxLvss3P0WQLd1P<+8=R-B2-I{+FdS7SJ!1=E!;YIg0zTP)PQo!tq(qNi8%7}!=AVJeu?n!xIg;F`S1&qciYjzm*O{B z&fUvJ%kku$8m}7HNNi)yK6sZ=aC?=ouF<;ukwl3PM_UKno`0Fs?=cdy^WUu(Klcp< zBI;!OB}m$7mLG>1{b;n^w-+rp1-89qO=FmsF>kH>YO8kF?yJ&d-6c9Q(<>2Tm3+rS z)vhg)Q7XoIkLE0@6dn7{D6#=lPnGc8Q(WNIFjREpcT06{?hriDYmvrpadi>)?*pwx z!cOno>VpLG;@sXfb4lTdU2nqHiPvxVqi!oS1Ai>C6MVa9_eU&t5ONGW-q~Lx<@6`` zI%%e&NR?q7P8WePvT0Cf&L+ycZHyH6iOzfIN`Ri>f!Qy-Gu?P(__kaOV=Wl2JVSc{ zXLB-iWOP0kop-BPh%?o&`(Qz#mTC-3S88t!SG9;DVUbT4!+2D~Uc#4MpT3{JUFeqi zDBG%ytl2LR(23mj)y7z~E}}cc6uTvu#AW%L6IJD_lo#Wee`E<$$p@CuXPD_$XNhS` zgBMULBqdI87W%9i%rryi80vWhhn42P)%1j;gopy?>Vhs>yfyRNv?Mrq=^+%@^nHC_ z$ao`MGuPKcgn|YI*!Ai;MzmU(p^J)X0H2_r)&K6yC@UetW8dD*o&z)F$FAs+pj;6n zEkakfb&vSMRj?^hoMnfqIb^3IQqw%Vn>~nU2>Fr%&TG*T6XfJv{d;9!n|vZkQgWG4 zy!rVBb9f=-#~GQV@b$yb;ye-yqOaNEVvto_b?Ku7$bk29I}+yIeN>mBjhW=G zOPJMr9W_M|cX;6PNvmrR=C<<##(ZSIVBK3XUTN&nK#K6;^MXjJDKpPdrxYzs=QA=B z1hW(SCF7s+m`(h%R?L_;fm$c5a_I`5FqFO+b{@vgr?I?yAi)z5tJWI1N0N=QcPu=T zde`ryL44*Yfh%9St;HPQ*<;O%Jv#+T4d&OxGQ1m5o!CW>>Pgbd7$LtOjSS3yGCZi{ z2Y+(%(3O~;Yb*E8Y5Zb+@NyN_#b#izs!`umyhs;6dNVl%pdgUZjVd<%O@>e&k1uZY zdOc!*NggY7zcmMGv?7E79C#^O@0t~!!2#=l0Yr+?;pNX0P{vFpq-&ki_<1fVTHu18 z#oqLcAnT8oZ~{wH_Y~>dBaI_PVHh^J3C(Hm1sOvyp|*X*Fsduj?ysC9 zg0r2e-qF#YPaGE|gyjBiyMh6Z*1BF$y}L_9$xH1^V<4f1oB-w!^giRh$*r^WsFMOB zO3m4%A(JRiRs>LTA%zxYJ`Zt&gZ}d6lQ=C5NB;4U@ni_=7&fRMbtAXpH?!*~5rB{c zdjmAF{4;Bq?&(tElOP`V&XeT!X4ZEF4ikuIolqYl@8h#b%c+xwk>@dMtf)Ch0IZQX^l$c2| zN6U}mMTsyBh#)|Q|Gv9k2ykQ>HaN6(^Ij)mCw+$dpzvt!Iy?r=M1DHYP9;2e8YbUW zlvyBsIND_7p2vCD8vv)ABG19XjRU6WZtd1>_kBw3zsi&Q*guCXAT74dl5Ve8fPqjN zVlS*4S6-s}=CXA?VsvszCWZn=;xrLh|K6+N(V+hLP-h*ySu|AW*6V}Rqofjkq>AWu$Ujd zdYM%`i1VOAUJX8(Y+^JixGAL~Ubem+MG0PxhV?MP!3{b6kq3re6s>IUt!IoRN-Xut2%5Q76F5XcSv1yP z?s*eeZ^S~SnQ&XQa7Q*hDDKm5NU&{dFLHW0#hB8EaZ<8Q`Q=t@U) z3a!Ih!r2Xq1E+72gO9Ri#uN38b?o?lDhZ0oxT5kw&+DU zBb(Eb7l-dip8OehbqNGQk{Oz$53HK-V{lu6m2&c*UIKGUIVo&8lhOJ9e8^Swgg-wD z=tt6pZUttw8rSRZB#m-A50{aVaDsJJ7ck(H9n|xSTt_iGwKu@dMx}N=aS8qcr{!)O z_vx`S{mAm%N>ICzPNF(FV^EJU`}`-<{5kC&Hmqk5kb;VJ#8EPtV?`(4-n`53;S2ug z2Y~L7;0o6&VuE#CH-B5Ipb^deA;2!K+lIh6eCFO9L3evkmv?XX%<(-hAYkaS`jhXQ zEJw_PIzN9n!1A7=w%Ow&+@R9vA0wnPalB_?UBW?`pM*NJ9mfaIRE7grA>>3_HyO1- zOMYxQwWit6-9r#@qV!?)Y0yS^e=8HxfnsvVlH=qLjT+$#K4WFk-8)zI97p{>?~kXs z^_-osN4?ILlYaU3ATyCT-bZ4m$+r6TArG6v?#n8eouZ62W`@q3)Cd{6!d_9BK4xR5 zf+eQ$v-*7nuH2$To&Dt}j6qX2ty=wHIkk(0dx(kw?PXrQ+ z+(;(t>vnnO^t)gzJ{n&vLDn9TdCz_ex;?-#BYvT`72%QUa;!%TZ4qfa@3y_b5I=Y5 zN1?;q39Qan*8}i&`|D*q`R={*`6Md14XT8xnMiZqK%Y*}7YNtu13b|#dvf6~u^E(7 zp#YXt195SLkD*I8F)xIiq?^R1*H?iFyz-YLXv1MTz}s`54=7y$r9v1uVqFvsq?-pU zW7#(B0@FlFg8f&6$GMwJeio_Hq88;*-+5Yhnf+s=(6U3sp0^9EybMArbbQTv60I06 zQ~AA0x38kS#zOmh&M8s_BZ;W8x1!xNmQ*i@(8sKRlr_7Y-vat=vHJJ9G%nmqJo;Rw z>%an71Y!qRz)-I=*kN1Xer60U>^V~KTzuEN9pCLnYr+%@2_e>}z!LQ`Y5pPos-qqt z)s{9bRTUQ;#KB6fC0}ZKIk)3VDa5BdPSDM>2CWDWLzslO$fPMO){3VRW`w01p$xaa z!FB5Tb`MYaBWL3UldiIt`wtg;tZj$2q$OpA4+;=P?ujX^!|8V%Ik+Mx^?}L6{x=Yy z{axSbas<5mP`fMj;Dc!`LgEUguhYYC*)|py`#e%58i<4TZ2?M{edOR=2l4*aKFsyS z^_$0^-V{2+eRCoEC&y62EtKGc(e@%3D1=TfLLLjqYb@g)@7sgh-?|pPzJ2_QjotPl z6uue*WsgDGM~D+kcYm?vuWw(Ku3i36cs~WudGiMPs&v7H?w`QsEp+&b)akL%Vlcl9 zp-LRxyTDB(dp!hM`LvOU)~Oq2Q%E@CJDudFupmt=5r($C+`gUobm4+X>HQS4fA7s3 ziqR%0#>2Yyk&F$W{&sZkXw$Ov66cY;T?e+0rf7)6lJ{vet z9p9$pgvWf}r|XWtyTqXcHbw4#j%}x^4)f=aife6^{A_SaMd28L8Q0i@G%#cezkR|c zc6mt%1LTi}vZbIVsNb`6V17&4g0fvDzPOPpWBExZ{%q_&Ict3C&*%&Y-QE#_s!%{} z$Hle!e*SEr@Sehv1?yW1G4jArEY#v>DEmDOu;m++{Y7MT^t~4U+UooFES^vqVdVa$ zT&Rq)*rf|SCGa6Jur*6YWYvJ?Jxe2$Z3t!m2sLZ}^7J#&QV9HFAgSZTV3-z36yG*0 zE~Rlvf;nd~#O?WIfxc|9NceJW6msmOT9UOVp3T7*d*RfRP~_q+ojw&t%$1aGA#9dq z7@#K6G6xoT`0C+*go#2KkgX%oA#_(d$IeAi6SJLmqn8I$*|pT_WI0uw1~lZ#p3YRrRg0hp zOC><;I0v-*hIx1^1s ztedOAer<$7<8^&_TU9TtPQ7K}ZLTx)M*8AJ=c26MBt$1XzLi|vF|^O8BBl`XICB@T zRtF9f7C-=s#s`$RpqTjO-+5-eEGyN9<_k5&8( z+SjW@V~RrU(|e_|(pF<1!KLyo-6asJCmZ`gvJEWFMj}fg`*?|y*Fq#59TKc9AUW3* zwq|4zV<0+_`S|^OV;o?KMi4dI_4T|ZxyJ|_|KobK9feS<;ch3hoPzVnSQWx2?jSKG zO@VfqZOC%4JTsU=vBSwtXYFCaTX8GKa#*8CO94z!i^Ft z#GkconWNu%(}XYBKN;+av4s@wDqETV)PiqF>U9rcV6OhzYScG&;eAe5-zo4~Zd1>e zNXccYR*|$}xJjMk&20RtaHeb*1eDO4u`_R#+UUrwkDe=%ZcN&s!cHqfooG;RN$NQz zoGiR}X=sKfJIWs!{CPqstaZy;3)#d<7IRWM{ORJpwrMCmkhE21DLn+z-0-p5E46#X zs__2E+F_$AsVT)1Mf#``kl(TahBS9RtLVAw^R^p%BQ*gPaAP zq-6C8e*2*wWIt?B725Q4imiAZ{wBE|LYe_bv|3=k@h1goIgrt=&rO8y>`uV@lO{o% zuFz)0&Tc%iKWQPf*#T`vesPI%IhUO=Y4V{9HT2FKSs5$pxSr2w0ju2?T5c^19(RWr z$V;yf=*+{N-?IPoVNKz6g*-IRjmF)~H$upJ!ktXP9(eBq*wr}A2r?XMRmT#MhA7<4 zGBJ%kjSNQ2ab~{l9O@hzLO#G<(toSJ9?5C(!Amtt$xUaY0MPjr>AJ?k&LqL4IX5#5 zv2DUVovaD^&5QFyZtGQk5&mdw~*S{>GMdWo}Ynj3K5pW@Kdy5E)y1af;Doa zR9)MV8+x6~OA>I+aS!Q3aUV&r9BOWldpHT`*~(G1=c!v}=khaOCdWUTQIqJnBfH42 zvAjF$HYOcJytApHFtyVs6|7uld3Tvt`)jATmP(U5c0z=OuS-Dxz;g12!g9tvbx0BE z?oxhE!LNrth0^faGoM7Oy*Aa@u#T*~s@&}mZ@bfd(Z8v+XPsryUo@M!SU#lGSf#18 znJ_3U(6|FsE5U0o-0j@F5Bwh1=tkD0_ggk@M*ZX6y=w;t!Pgk!HK_~-x73_bg@@qL zg&uC4SK!+YY~bakETdCln>st<>*#sxC7@gr_0`&u9Y@N2#aZbT~~p^O{@4+@lQ zce1YuB}e8PkT%_GZu#C!+gd=@FWz2>UyHqqd67yk8W{WGu3;cRxxe7wpB^y2%4?n- zjZfg^d`W2op(;+3PES9-_yC;#L1pA#0;D;&W^_@PMy}^H5yQ~6mBNiNi4YMaU6(Vv$yD+wz^B8(JCh0 z;4?F&QYai`4@-T4KPvP%_BaVnLFZ)A9Sh<}lH>}Os08kis9bE?=zgac&CIK2xHTk6 zx7i8w65S^IPS@toBL?Demc0B?%&vK+8?V2{lBHnZveU_zlDCA8Gf7JOG)a0unsE&M zWzgniNmw0^-4iD^bitTF0bX+p<%jY2y_So|I%6w%8-}W$?THhn2(?c$2rX!&$Hupj zFlM)!S+OVeGiunkCg$}+1gDL};|Se5EhUw?e#zrF`U~&DdJ&PCg^=hnjW51Nc@Lm$ zms%*Bg<*=HIbB$^6+-ld>+lZ|)JOb*%I*$ZBi^z}jk`NzzK4GJaT(Ann_PE9lBRmS z5d%k3Yik3KJorg5wJ}C{Npqe0se#qt1#=##i>0|#F7Pd_NLD%_!d+G!;hnV_YC6}` zylr4Md2b0Z04_uNpc{r2^2lo9_QTKEb$iYy$;c_MoiU4(@gtxRo5g2-dkx1Y2(|QX zG-drWWG(KI_44u8EY9^i2!J?H(M?`-t@=?U=RSQr(jV3itBu+Y)=%uHY^9&^_lF7o z{twJbtIAwKLdIMXtIk~3d*|qNU&>NF3*_@W!~MQ1mP5;G=%-FT{jjyi9;x^-Pl+)xE*>hw28X`t0KdsyN4b%YC2mCh|r zkBv^ycD*rey+Qsp;QS}%*8uVrdT*)gzUiEPyXbw8&;*bBnU|1+0I!{V@3rbqd0E9h z$u};|yDDq0&D~y$K}}Hxyng2mdcn0Y#Kl>Id=E|%nH95PzUfqkj4!FzUl&~#-o;(Z zsT|QGb+iLa_)+9#X)^eVwZ5fhx2=z zW3J0kQ5m?zB(oC-Va8Na4Px`>nKt4uGb}z8&&3FX=vOR&7gkMuYVcv6()txC&lU1X zJExbfK^nZ@x@!wI9xjUZn?5J;#U!Mx#1H8>Db=*{jiH1GU8wPwI#+zRIVmi2bk9%m zmV;m(9hG`8+)%JcI5a0^D3TfY2~vfvoDIR0LIf_5ik09G!MEMn6ct-Uw`x(J^O}Dw zy6%~m4rpgBj7{6Lhq0n0LughCDx6WuV}JfwjDQ97GwQrXN!~3Qb6=+#>Bi@@M-j*$d>q}ArY1N zC`aXO;6iBCT?@p%cRWYmnh0CmL9`EzboCd*9>r=Kxj#*aKjtnVZ*tnlJRK_%QKeT? z>7HrMRldt+r7E^*I&QDe#0D`LHcVHRDikgR*CXrbAg!U9w>nBzN=?+Z;B?8&-x0RI z($%!v%U@?ons?+AfROD`QWvkqJt6bzJKgv?ijZQk$6go)*pRKX!7^KI zP}nKy(o)$GCEPwCuOFG{ub6e;({KH93YZSkfWxI4!$TX|&Fy>x_V?H$<3&7r#+nv% zwvf2C-*TPmKvy>vb!k<=T#+29ZY<}_S`?<5qHl<060z>eHiDqfA`johqMUiq&v!&$ zI~@*wYg{?#1#25fdbZna@V^ysj2QNEA_;iAY)2!`VEQO~fP|`rqdn&!h_S_RW!)`U zU&4yZHVs|FFR#wfwAMRsd&H97t|XHmt$Gq|9$Mrz&cQ*hMy{sz`?{=GTpj0^}3->6LKM{^vFyxu_tUoS&aO`rsn6`meFA#3V~Ul~ttgMh)Z+#}xO>%)8UIL6!Z|Iw{%nm0=enQwrf#*T04P^J%gBOx{?ox* zX=4@U>i+S&+$R*kF`l^EEGomT@#b>&>vt9Y4314wA#$#nB5H~aj$g#d=WjWey{_?@ z;eT+f4-D~Da3*z#8Ci;wxQHZcE+OI$$tt>jHV0E-E5tSB8X~O z_EZN!u(S92bXFId>wc*-20I0@G2Vc`#1YeopCVnEuzn&`F=q}vC|{>8E*U4Mvn_stzdjZl`)K-c{0p~Ut}{J7*b^krK7Pf4X(y0-+D zS>=;>FI|a^e01SxK>j1php7@2JPamq2$z^b`DAqjm?m*%=nr)@*W8m4>XwZaUy%d1 z>?FAY*dc;>N(yGV%EK)XiuqM?FLj6Lgd3Fi-+fy#dcfLd7tS(&mL1;Rbp9S%HVo|x z5h8a$^}8_>t_92UfZ|q`VuFfGFtbp40Xa5jCn$n#__$_}^<2#%)0Sj%$K-4mZG$M; z#rewnfM$=Sdd5?1jwfSCVp>TXw%KBEX(Qm=--*<4QVdP?U`*zdj1s{FV%nRJCEFna z>J^Cc;#&zQd}R-k!0W514LzU19im1Z1yX&QBL4%rww;;X2d8wI@*|@~Q5r4VHN{0wmb`eAx+_D; z0*k}xDHWTKf$q!e^DfcnE4Cb;%n**M_4D!?60)W%!(*LFrIQs7mMb{;$<|{COrd6- z+!8YVKA@UhW))IKY9<)EpB^c|k`>Fia8Te7y-w@XVr}evps3b%qD~U#9EmGdb#ozR z1iZVI!F$4fYegrBuE>>oJ>1yPqD+&7I_Dt7%AdkPK|EoTNKvu~<}-#zD8wQr!t~J( zQ6o14;(p8v*1Jhewpe3EiFHHFU}YlJRfiKPqFFi+EuzT~XEd_}1zT74<9zoifF>7c zCo|&{5-dipp|LNs<$|1~i=6b?e^_VD(??tYrzJQ7nVhZYIG@r_dZwXk2~j>}8P=ur zN#s?grnva=C8bo4Iv zkA$g1&_{tOmQ*(OW7)K%qLm%OJ)UWPzS=}a$YTo4I@-~98ZSZ3yw|we0jYkq(zNT? zkpB8vBql{OV_JlZ^6K<9dX1vDTY9JNv9w`05AM}S_e?gNK7DLA3Wxx%rH>FuB7!NJ zINwSS+^j0;#l>t*fEH&JbiYB37OIGRy!}o#gP-tlkt)WQpedWMl^0BjP1)7kNsx_H^X2rVlo9;#9cO)b`_3~ z^bSW%RYEuTf|s9xMe58NNNt*0Wx#_ydI;c68Y&`?a(dN$<3&~+N7IbTM}SkX+6bXq ztR&mFso)Jjt0E3?nfKJd#7gEbS6@AJQXbgw(VA-tvTDW@is@Y7lTNQ1G+AWr!x~AbdZc}<~)@ASmzmwNWa2UuP%5#s#0}3U*BxbHrcf16=RutZ!z!2%Grpi zIp%@ZR845Z;A-E;RSet-=TN^zRljjK>*W@g-BC3rWeKsS;=ESR*?uW*hOu zm7GzlnzUuW=AXcFvpYw888^7bbq={OeSz7Y$vyJg8v0Ax62r5zv$?o*8C|NNFT`%v z;U*aar!oY3&LJtw?EC9$|EyTN&5~&LVd9W{m4w(w+9X3nz>B0XRpFM&$9|QaYYP0` z92Agg?c)y-QClnPChx|G<;jO}5`fxJ?1yrHDGf)_SWAQ{0_HZ*n=;5f;-%^Xp<&P0 zzOgTeZCUnN^M@#meNC2GfuyK$jz;6tJAicEm$q)O%evhenN8`wS{&JH-e&O!-foK;-i(W@XEjFWv?9+e85oShxn60_T22U+FWgn}G{kn{Thl4o(h_9#|83WRqOO^h>NPo+V| zX_wdquU>p$i5Daca*frA|}U~uG!cqCHdAKqRJ+Jv9w!REKA5NPS*l{5 zA>7g)2a~do99(`G?Mr^`^{!lVyb>U~HaUCj$~PR+YpuA{TO{vo5Ha>{qdi`L>r%T@ zX^kzQgM4H8KxHPd#FM1Gv{y{l&D2o{a2hrl)9Z4pI&z%eJ{X;0nuhtU)`!}VH3J6v zZ!Mdr<%CsZXH_RE?W+HdU7NYV7`{?vtk51Fr0k(}&fy{$b#q8chUI^#KVHLY!~bZJ z9gKv!$1RY@?n|fGvA{FZlC^e|ngaA}Lx_9V-!Y?g9FA#mvjVxq-k9l@MN#$B(w+%0 z-PchWM#61KY*oxUP8$u zYVgu_=K`t`h`Y{Pra!vheIM2xaXEiu1dyB3CXkyIp8{Q=P2tpViTSyMkBZi%Gh76Q zvQu2GEMKBAmtK8sbEzj%n(;kUwSSBi*Bm_5S3G`Bfc+GvHn!%u&s{H>qWXOPJl!Wg zf9*I!Ytobg=;Psb3)qvLp8!U0`nBJBr;as_l$jVCpB|C?GG3ia`7zeW$U3vrp&c-( z-N9Vni@SRhIC{&~mrJbqk(I>|c&PEL+cu+Lo%zl-FL?~7L$Bs$>G(uoCL6>4 z@aS|IoYbk7&-blL{)$fag@&t|{dL*+YymDb?TEQmLVI`jleH^L=3d!HL({U;71V}% z06%7)X4+~8*m&Ry#yv(ZP6`(F6TRr&M(+ZRU;E z+!`yGk#$w&*e*F%Kwhop#8kYe=mWumPg?D()IfU-e)KBK@EvzadH?bTPY&Hi%TFdy z#6V5r;gLg8GSYCXX^xQ7KE%)=?4qH?JFB3^1 zXC;NS6J@4b7VR5a3@4(IL2r~T(2H^pnbI^pj^i1QrDU4!2GUgXfC^^&%xlTW-B2DP znm{4ZF5(_9nQ^q-6|rDVmOB{ZGg9pVIYzR0AYD*Sy_<+9 zeIWVqDT(v~$7va#Y}dABFvh68q3k%kFRX_wNkLmI&3(=L&nd5LRkbJig0j}Kxci8< zM+4`Ab5(U1=>q0h+Cw7)zYIVAT8p|zqW={TXeLb%e(zsdlV82*(>NI81(@qlUvNId z+9pXN1w9D)nMu#XA`q(f4ONOZ{KX(M7aNS}79%b>rem7cG?y+x)%RCkaCY=D?=q7v z8451fywQz%V-B^4o3`87@%6{d<{6uq&S|@6*>S&TO7Jiwe%eLrNX^5{tG<%}6b_rn ze#r5|;4N=_x)@JUg(tu3yXea{|089ZnB&j5dZ>Ov12&h>bo^|g8O2jZ!4G~Oxk6aY zA}LAq{J{v?X*H(1^=brL`r7+LZi51?Yib_wS2t?V7pk+~5pE(em9r$0UhJ!*+ZFXZ zGw~`s6jenq@Uvz8`DiZ9n!>H-@gDWyu*a8~UU4PtrV8pyArEyA_@SVgEBm-Vbo_ZQ zz5t~Kd&E))APfjlGppkeJJx0OYViG2hPX3Jpj(`*wg=~NyHPytg3*Y zo|vCC?YIrS@fvs;9q-n#QnTA;JVY+l$QXe!$FF+bgN~Kx)A~m%u+ZchLGMzU0>CIY zpa~#0n3ElIr`nx76vGyF&8;#1G=5prnZK1U4s*pzhp3cl!f}_jPB1q3JWxO42g9__ z{1aee4)94_yhXZdu5iB`Y7e92NvJtJkP<%Vb{ro~9KNeC7;Rkr=<;iRu=`cxh~99% z1$g?_r@~k<4mY_H z7!ps#ku}CG5jVN!qz_a1Es`w&U1pZYyw+P=W$HP3ZEM=izo#-9^P7x3`1fT?d5xmr zFrV1`y-Qd1G7{dI`V;F8xrW>>0XNbf^_-2(Z%=j>-OHW ztOrRq`bQFV=zQw>*p@6Gd$Q_X2Ukz{T=h*Yy-u#Rqc((3j@ZTJ*V8Ohs)}MLjdxmB zho;Fz*V?a_HeBYX1#vw4$kWJ~P6bsv-ZfA5zIk_T==S>#@t}Oy$6jcy0r!-|YCMA9 zDP_re_6^>Ro;f}09>U68$OruRjedJY{HLh(m?s6PnvNzmxRQk;BLfM z*0A7L5Swu7oaYf4>y<8T&$G*@sAv8bij0rt;@hTBu2hcX?54MAH+8PJ52z2?0dq_$ zWNGBCl>0|&QaQk5Kv~!L<%Hn{ZbzWv}?z2zBigkC|5IvGZ+a2{`tk^kBqI= z&}Q30sqXWwHJm`g>xAJak9A8H@i&?Of%UK9{0j^e0K)Bz;YLsn6!UR@2i4O*o`6C) zylR;?jQ9Ho=+b(AhnOyO1b?9p0r=gr-A+jVt@@Xx{VOQqP295BSDAUh-=m^A2EU?A zaQ&N;RCmidx)T(js&alEk7bd4bHe}fUK!S{cmSxc+y6LZYfmtyI_GKq9vBOEYf4Tc zeCB-kf32(TlK&Th@9&;|0Ah0Pc#MQ^yDqNCivLp`@ffcwmLKgIu(3q15rr~7sk{Qmz`>?vfOfbXAzoXe42oBUj=-q_~6xagg( z_U)WP$MHYExI6WuTe?^R&fmvZf?wqCW`^2cel9P?{-5GMx#0c}ww#1RT( zjm-b)_I#-k8e+lcTjo_yy8nXmzq0?gXX!ogygC>UFWiM({o>G6|911e?x=Uf{&PUv z6KBcSca681fbU(u+<+yi_(-l>*n;6EX$polnG!p6#6y||9mg(T{H}o<5h}P9{Pry^X9#v1Q4hc8~WOsu$MA?tU>Wq1`(u%Wc1%_GU+Wa0o=0t0cdo zup53E?=}!#OC{{Y~+(=M%A_woP6^Sr=;@TNMcyxgzTtj#ce6XXabF%Z9?$Ca=8tAb+2RZZzh*~ zPv$=4uRAa{V%~C(WjTF9UCHI9$8ngb)UuMYY?Y30Ty58A0?Wqdow4am>152fcF@@Ah{O_MNU2;ub%t7e{A_gDlN_s& z;6qdTChOw99xU-ZpCQ)oosl3(TiT|x_IYqmcX#I3xSFKU1DzX{U9I5yjECfXVlJUd zCHUd}I@*CQoO%;JVIntsZlxxWaaen)&0j^IT4uI}T*{_+R6#jk50l56w#`rX}( zL4^AMjk&iDiZkfe2XU9dT?Th|cb6f--GjTkyA19G2qd_>YjBsKA-F?uCp+K0wNktH z_wVjjbg}FBvTQaPV5W(8J_X@>TEI_lpMJ4uSGM+itt{yH1-8|I zZ<>KJ>y_4oi)>5;yW-cw=jo${tllXMypvN`~akh|oQ1+}Q6~ zs`=+OZTR4^?7n4Eji6r=j=ROqjYogU^`Fs>KMG~5y}+e}2ChysPxB=4$|-cS3VIVc z(H|UNQSqa*u=MKB_a!qw%Kp^xlvmd5!b^(GD;!+R9j_))kF;y-i?x`t6gw z)Mg_hbBt}aNtN5YQm;_6e_7pjseMJ9@r~~Yj9L~nN%Q2cBfcz~YE_V25B!cOpKGzQ z=~&%WWqMFgkMmlW&k815d`qgQZmhO-Yn|mE%~Fw{?zZEU&0}9n;>w&Wc&EROQPV-I zZ7O^Uu_wGm!yudKO%+Z4orx{XlKU@_(^f~_pKHf>X+`P0k5E~RL(EIiAo&ai4asUq zV3a3Eb0#RW6Y86XcpLD-&ziv)1SD1711>WFDkHGZLeZKi8f{^;ldb;KmDgJ6T z7X9G(kJOswsaW;w8qv4B{;^WyZcyB@+`hZf1y>H!LBC5&;76uhhA;Y*^9!t2s8_kV z0VW!7<>1Ltwr`Z*Zq7fH7oUr&u{F=)cRervzl|0Iyx0iGn(S>P?m)pam?d=!?0R`a zf+BrGRE}CyP@mbGFl1hbGXjt}Si+9)HgvP+qn6+)r{-(_^JzI=jVht*-pRr?^`9nC z*}@dAMo@z)zh@yc3A`kySw8FfkNKY76WXTt5<}t?#uR-s5c$^QozxlffPMV-?nr*Y zmkW$tbfWn$70i&hZ*Lk&=4?A&wn6&+x_B_EK$VC|EBMc2IKNUZ75CQ8^MpQ5zx0H< z^?grW9ES)T!NH(Nu7bX*&2MGA?{s~wVj)J8f3^G$Cz+3Uq_zy)EssI~z$uytR-j{#Ok#Yz({$1v`phK{1PQWve15sIQsoqs3RQT^l*Y$?x{1?Ex z=ONlzR&U%4io#6Ql0Kd0ae5}d{ioYV4GKdlWOZfb!gT+SrOni7$~H-Mi&{M3o>jNu zdO&n=wcZh}apsWxd8f*GpmM14ZKamr>C}KjD=pxDI=fRo=s%=qX0PfhRxj8k8R`42 zl}?OlQy)FtQA~iA*KzPs)W6Dq8d+yI?cYh_{%O#k4cr*ZK`! z?e81B8nrp1>Lh|7`D&peYE3fe8~IWp$uC7hN=T%xry)rko?$Z#_nCE+o)Yp2kQOop zMch(72h0*=b68(7j*--zpX1b=pHbAl*d(hWdPID03y}Q|D+IHsewdNP<1$S}2&0Ulv9ND#kJ5Emc$Mci-Q8O$%Fb65cw$1$R#Lw~97@(GU< zMC6IGsQ*ID-jMluK_nsP0~AP&6NChr-{vNp4+(Mxn(X>8B+11+Y{tf2LcU(Gh}q-ij(2*f}JF(grA_G1Y`rY-<6kU$KHkU2mqLL#h?`A=vv;9iX()*fUI zdm%|at`hR?0!7qZkg4l-Z(Ut%yl?hy)j?pjcgq<9OTAm{5SZ)Tx;o!@pMq!*7=vgK z7=~yN=!a-$8}Ho^4Fc^D4Fb&&4FdHL?R4Y48lpj<9HK#>6rw?(2%?>AyyrtS2xLPv z2&6+a2!J8l@y2^X&}*@bYuhVUVAu9s+beQlmk9)*A#Aqo^*Nx+1OnFqUE4EluO|=& zfgK2&ZhKvWFbK>;*i_r=ID|o90Kz8QUOONR0u2y0(e_#aVGt;Wu<^Fn90-Fz3WSZd zy~aWq1VSNfwC&Xw!XV%ZVL#hmZ6OQ-W)L>g_No`)o^|pzg215j3uRxpbpz&mtQlKQ zSq;*9CFU22mJY$N#h<@6UCH^6&}R_)Dr14(gLPanJGJ62?!RrmzD>hsCqFQfucPo6 z@BugTVco=sm%ZFEGJym~GpO9}XfryCKVE+tl_j6_xDs48x#I?Tq$~%xVmJuAm?sm~ zUmm?fZ!i(>UFJ7j>S%D(&8$(&WpA4peuceVCwV+d5bWKFd51cRi9c6gxU?^fDD7MK zYBYE7spdRn(y?r4}1TPLH6ALzZD+~V1tM5O!o;=%F}9IvG%PnIF{w1k!9iSA2yQj$F-H83nC zm3ovv4{0CRE)r=O1X5Wv^?s)*M-NR+`oM`zYvB`eU<&Q6Gnzx`g2jc4tIY_|fK5P} z;Hpi(h}Y9+tb8Ua6zaK0}Q|GtQQp( zyRE+_ein%vKaAdBe%fwih3S?u+A4SS-4;8eZ+z{sXQ2X~<6fSUJC9)_(j=R9jf(zo zQoxoOflm>5h5bCw7b&_|dVY6CAzabvI`+i$_|5gO-4=(&KJTZA^XYoYzfm?j;;v5^ zv1pPWyngWsEbsHM{!TH;D?&K0_3_Oo%y$^?j4>@c*F5)}L&VR7dQ$a^*UhM@cmsiy zK&_2{+cT}v&uIyQHnWL=J*wZfkh&4>eh1br5&|2zOWd7fjA&%G**x0Sf_T%H7O+~`6jq#f7!~$DnZ>z45n^Qq*}FVp z7AUXONuVUKOh$sk{(LQFhxPyR0xT^bvvih}OL&zM;DVK0kxZHDd-1>@evZW2ivQ61 z$V36fKEX9o#Q+F|urB0x`=bg$01F|k9y+xP8JdU0UM&JDPIm!G#!o)zT77P*Q;t*l zRG+P1SY-y`V=7DY*oM;_;c%yCX`5R(u|Y}Iw)mnl{%md{p2BAPW1kf-KXJv=s88$T{Z6$`p}Lb?nO({fc2yZ8 zV{<_gj9Ry>I44d{;>n55tty-v1juSham9q+0Qg!cbq z|9)h7&wV{Aebs;00Mjp>!$rUKH(nH#nTy=Msa9~}XKd@C}YA7P#^+KmG zDA*)-im_-u0_n99|;<;0~K&oCtBhE^Miy6B`VQrD~X zCAWXQ>sX(P4r3x-_3A>>1Wn5zpYDNe&G<69`yocrQ)UKq?J?`>$H|ZBYVv$LH#+wq zeaYMcd&4=IMiFRvyRT32PsDdaUU5+}682BZ{a6tT=k=)!z2XLq+wTYl@)!5jwx~;u zS9V30cE#_U(i>Zu` zU0i%UcATBbIos5l%UU7kxMN1?2R^NF*eX}ADA;aSy@W35o1?Ob?nGJFUVj7#rsgZs zews}A#jAl;gmd1om-j916+_2KEx6Hd;ny&z>4c7X*5vIYDPey*{*XNw8LKBlx$%I( z{6IH{#TFD%QN&DIcK+^R6W`9x>jh=yi<(M`OVsFQXbD@7r)X%kg=n15o@a+bS`p9B zbQw)Mv6ty4{TxLuo`5JLQi6cSrH55gXZv>o_Z3H3<2E+2HsNe0_AUW@rIOdYen+FA z=R{%1BrjJ2$xXE(u67T zM~@i&b`p=o7`AVNlni2@;6#PoC6qgC9YYSpt3`y{MCVA|wEJ^rs=fd)_ zAq^vKs|RL>-_8yOW77hU)MSkHhht&CqTu5+t!@J=nc^!7HVY z+a}?SU40i6LN9NKmc5h^mm{6B1j;x+FpZ26C4DP4a{DiBU>CBD${5<)0L@u3ZYSDc zzC5E>&?j57Ct!mN-B`6R%L1ogwgZ>_;(=_TCI*SlE-E=`9eQP>fVAi(+&zP^S-1@c z1K4$>!oRB`d(s|DMJFT?M}%1s_(Kaj~Rq zB&Jze`|<`z99K|9ViXbos!_D+Dk%EyEN+jQ|A?^r5yF*U@`}lA{H691hkhsoe1dXK zaGl+9LMdRR_Q7L?_j4pLkT_jWKJ**XT%&ZQ%qQ&9M?_DTiFTx~X?VE=hT>rReY6_-2w{LUahAcmvaPZlIKCN#dUub6O{E& z+zG-en-`AQLaeK1i^ddv&09to^D$!y8P+R*(dxuB2LBdFAd7Ai{F;zit0{y zI)^w+D6~X9)?cKxXr4>x?x>pnq*2%zsfM{_Xbjp0s25poH8tUZ8ntbu zG+uM>GE&*pnB9|zjf~C+B6?F%2%l!5Bdg704z)3m_#0m_bzT~07f`4yaO&V53 zOKidfM%SX1GEv4cv;t$~K^fXL1}0zcmW>`8H?*AV#d~ihE1A(#rG8c*>zPP0{1|DO zQ>Y&dr&M$Tvv@3ee2WQGfMJ#U`16!I-jXXNTeKULy&ui=gmOdoE~Sc{*pUZ%FP|LO z_JJSs?e^8g#8`YfytKFpghfhd76BL|z5dy&ivuCcn2 z?J;ON0IhNtvf0Y#rt1LkWT1ABrpzv_ZCOw*)nMffJzgsDx8tcI2GF=pmDfl8*|Jat zS4EQoY9l3AP=|=w(r7hBq5j)$T->CAjA+1p$a?k2agadiUB*@6`J@+`xuljf@-Iwe zji{WhJuj-u@N&f__Mn_JtE;)n;!0!-v0+N2=5vCu-SaBDs>aTL&2@%Hn7_oRjxaEn z7qqc?AQxy$HO!O@Oazpo5yttw%LdF^HCC2Yez+7`DqQ&>@0QrXu}(e?#eOO>2T!Hk z?UlU#B-${`X4^>FVnNIB%#_X@X9F#1f5JGg(qkmn`3}Q+fuR#GG2WZqVLUG{U#ecr z5NNxO>gA4<-uyZoFHI$8`>42DfMNPDG#Hpd>IQDM8;~?adRzpt+H0MC451}DgonIM zfav*R=xTUmFr+iIi?ze8t3El>p0c+>f0H!zmcd{`6agHk3TEU9Fi3k>jN!`vI@zIJr4a&Dw9@A{XGp-6_X-cFWuAUkG=;U@D$hH z1kGnLt%OxqHnzlXjT5KebLqeTC26-DnDBb^PF=xzz=lnRt&z684erI8f0V@^6i zOmC^f2t_Mr;0Z&MddH#}%cdL4*N=#uE(FVgaoO;pY&g**rC*qoe?Jb&^M~EpsA_Uq z-c3l4-LibEBewoL@n*j46F*3+?ULBD(n}y_fVD)1dk{~3ifNzes>h;eJB7k~`i@;8 zdlJ92slO~_c~_=eKE{9+mm}#(xnF1x66(+nz|eB1aY6*xL*YNK-g^Xp?(zaw=g0rsbd-$c=rMznDM!u9L-_+`do)-w$B6>Z)Jxar3i23i`Q_=SMs2hTA14G%T0z(k(mjaI4Zs@slRBX*U5dY7>$5(piNso3 z+|-VeqD-6EK|86SZ!18&%UD{+PPDYbn|VKS7HR3ke3)8&*kBS11W4EZS`Z2y*d{x? z&z01oY-t@YKe(S5i!1@u5lG_W|I2_s0rlxW+KYFilf52Laz?Tw83EbGjCg7(I?oh{ znYJ0*l_%+<(Sloix4mkW7lQ9n@1hCQSBTmAOZMLdRm}@qf}3x z8_ho|D%>(jJC`!0wv2t3j*dyj9$LXWr6_`(s@9ZH=1{cAL40@*S3-ZBI@AFA-a1gW zgjNA}x(uV7E4?HfMmiTEvuI3wDUCsr1{KQcQQA-+^8SlvF|2sEmvDl82KTsYG~&Fp zf6nySeNRb*4Sf}6iSTqlRrn@??p6H3C$IuTi`q&PWqh^GH8@CMkQBLnzAps zm@~b4c{Ds^L#=osoKkot3Z-HitV)uL3~KGwAM#tfrYk!4q?v6AqZOXLj|AEK;0cyWq}Oy@hjpFZ z0J%%hsCgxCgNgfo(l7m4<&LRlpdkS$%EnmzzJ z8TWsdchf!!!vIOAaxH{nXFlk}e(=0qbQdg`E_!AR3&|$C_Cgwe)GgUv%_ho0iaG%j zB0xN0qFv4naOE`*hL^CAS|Nycl=v!n7F|`x#eV_qxu`7=YD(MLJM=7xE3jg3V~8!; zPFsc(mss_OjG9tZ4l5mgfdBz6rC}K44OmNqv#n<@>aXExjVw}-*9cSDN#l*3-?N(f z0@`JNn3V+Mz^z3bFAW6heYy<`0nmxv8Vl%g)uBBX4L@ zWD_R#D7|XJAukqQKj(to!EQ~iGgB_P&p^kbEAP;Z7m9HlHjj=QVvip zI|u`T_s0zMT$WaBk}A(Sk_lh)yjamZ&k7y5B<8UMFFXHE&8+p&0gjdD9ARF&uLBes5wf|;aCO$clV z;V_XT$5oL|;Xtj$NrNU!@~YzPLWOf23O~zYx1mERpifA-lYXGtngq}XNm%m1yg1OJ z+++hv8AXClqoWN%9NPLQaVlG}P0&Oxtncn6cVIuIRS!OnfD5@!ShRfsexXm{*s3D( zZ7mcR#S$wzDaiM;Q7_j{1oBW3ni4O)grHmyN4d%v!o0A0xxFuE1pOGLW`9wMwotF` zHDMHxjNnXOLZ9dRE^mm+hQ1Xr#M;21>2A659iZ;t@W8~0r8I!*s5OqI1T?7n$rwiS z6tDdQ8ya;AWn`sLE%a^V{18bP5!6x~$1`BT79IuiXw2x(V9*`VZb|x?jl z8{0WkU{*7HN@SFWE&om4a=mQLbCHBNiMF=$B_Nia^&61_Y=@!CfnC&?L=;VLq!tO( zeZs!nFZ~hZ950INJg~jiK9jC97%=0}EXCFrAT>&MLoMcQSpm(i6|#UX8zu0pRH^Z~ zis}&>Wu1y~%I#G)$y=e>HJ6sMAv`WU-Z=#??P$|xzViToI_Pp}kz*%)Kg z0@&K|&!@^KJt=ylJ(hPjSk^HVxOwTBF8@@CpELdBM}ttz8oDD zkLL0Y@-h$Qpb%D+tonGTylYx6qv}juV@%eL!glx78KpkZ~Upj5m&;6#cA|%m1XcI$Z$hFRv$+QY|Bqrl?bc-7q1Uuot>?7Dg1PdRcaUcCCG|?U zfpwS;Fn5NP^r%JO?pPr(j!X9Cc)u2 zeE6@O-H&>q-thj@CyW=N@7_~S>SwZYcOAy4ZfDCcBRL*iBEL}Agp%&V%si`gNCD3=x8fjE-bnD2~q9UB_OzJHBMic%qOxblJsc^DGK!If?V4l#7AO*`ygUDQl z%}jez_De)Tgxs8>EnGn_h|F6ZRCU;)Yjwdf@BtV+*_+2_<>0e((-~XqcTY9@I!oIbmf@&rHrwKZQ5Hh|*2d0>f??~kgl*yzlk>nTEfus; zNZWsoKU?Zg>~+E+yNVupwK#9I_-}ES_sRQV!~ks2jo;^fO&ojYey#8DYqv$9cEq01 zIDS-5F==FIvDN+hFU+N($jq)g3;C$r1@nEFi^D3xtm?nyFWx8YMloqUy z6!v$z6QgnBe22e#{ga)g+Oy7buPIo;9@Uxjhlu0i0(%1zm}36*d*SvJQ}1Btw$k>I zaaMPynQrU1(Y&Oz)(KUEgYPwNi`pHwRh!LPPU}qkjv0Ba6S4*eB{gpI+8s7khRs?Z zVXZ+Amka2EoZ3#D+T`ZdBE!1AF%35Wiv!@#3F(B4XhLR8h?a972GKwC6At1zZ>O`L zt)~LGt$T^Mw-a)le@^jR9OiM}j$}WZPX%yV_Y!iOB;@=H;|%_fXJ3nBKK`4b%`r@O zYVoPWH;(@saWv>I+SnWmHgjV6_LOdSo%z2gsdm@@*K$>+->%5IS&HxU2^I5yQTUB| zit?5QO$=HYxZ3Krq#RB_NUkP=`_^LkwadyRMW3!49BPa@p~{StW$iB(;A)KGpepW? z@$BEzpD{}dmHMZjFsnv7s9KlxV`}g}nVeTef~qZAV(4a;EAOcq_1THPMT+a75}73Z zhu5#Oy;NS5mLc903?Ep(Z7eexmLh!eD){A1L!8Q@81($4ff!JkI}h_r!c8uww*oti zKy9$&S4R9~WoD+n^xQ10GD-88;sl6A}M_AE1-!v2Gf^1p zDQW2ac|B?Q=ZyL!DkOBY8|_(Cb(-Qw?WBi<2IYqh@yq;I!A1vP&Y;7;p*0t|?h(lW z7bk{DOW+o;p)sq7Tzxye@q&nlV(?*S7KkNDpYMkZ!90zyg5!B~Bk71d?`*;Lp^wU| zi4^t|i8y4C(2kw=^SwR2H-|;=_=iJN2JiD4L1fnD7ZYLQov(qhzSKbv1%KWl2XGVG z?vGY=ScYa>c^dVd9SoN3(LeeN#rcCje58!S*C>f@e?oEb&eFQWi!e_|-n{YsTI4=3 z^qTE(_a^nPQ}y67y=Wo8brKbQmG{62nS)($;zQzZV{}Ql$oou+FMi?BBdN&IF_8~j#L;#KBm>e>s^4Y7*=3HmQ_|5^ zM|h#<5ug7|0rOq<-u4*J!K)$9)M>w0PUz!Uw1Z;tSy$UgXoErdqq$_nAK zOX7G0r@fn5Mhd4EK^BvP!eLJo2D_LHC#-)cbwUh3*~`X=7cgi$^x{_Z*PmG~)>CY9 z@ZKX&HP0$3#R6;H^|URZbTPY0HjZ~1g^K`Q@Iogjy~C>ASr%*TyGPeW4wz(6{A>1?(^oq*C^_SCF}M;*GWZT~o!otr})x|92F2aJ;%O zDa-Z(i?dJknOnn$9Bbk_foA`pL)B)ksT5FoWKe89x+!&I41;9iU>;UslSV62r}`(K z`~8BcCi3gL&=#2SdHP9D_)Ila5$d;bw#RNloUGc+jjra=bedxR@2DJ}bMykm(>z`p z(jAp}Ssu)>Jept4{~SUEj5?+B27gm(oT=rj99%`t@L+Y@7K^rK-v@~&|`U#08&JK~<#Vj*JlMLFl6b??#8cp*|$HexrCc7|!r zof7%c$ap(461DY*00F^%?p+$f+1Rvd5oV_AXTie74^&x~ly#?{$6wxltHk|ob#c3C zT};d156iA_X)zT~PIH`A0!H9&;el9$M5u_j@Vs#QpNqm{f`6v{< zKFr6*^^73!zXaWZ>M2RyHnt@c?N6!34WCp^P~Kf#TCx|-qXVM1e;io+`Xb7MsFSA6 zrG0!kS+tFjbjxyVxfm%Ey-Ez^V){U62JP9E z%*L?$5%tzSQ~cpo(ln!5{mrE}@24=@%oV!P>#}qo*0pf37n-oJW7N-F>D6MGi^H-IJ09M@RGbEM~6%%lyQCm`~w8 z1IZROnty9C^UQg4lkM(1)gf|dNd8~uzTBpOXde1sS}K@R$qf%xt5jwNEwaTIYUdf4 z-t5~xxs?H!SUjKK)!IPcf3*+mTOYzy2y1EaXp~a|E7npRf-P;P`$#=$RPbK^lkLlcRXKIJ zUbh0PjY&Be{@5Nx!x^pO;`iT@Twu*bXxqa=k2bx7tB@nhIDuq%arrVTahyJP>BJA< z7eQ&yH4vQEC3)lzeB6UhoLO@MnLbN}Nf`i5JWfkCL0ph_M+@3I!6);bRB7LyGIrdK zN5U6rTrud<6K8!Yvk{PyHL$V}<&J1c{KI@MAkL66v$&g$5Imgz>vyF^5#3cpn3TdN zWQ9aUOM{(zl-%@8pR${aWkO~h=!Gg6$e1I;bJQ%fxI6Q zXDMhC(Ws?(n;I;}LFMqjiRVE88(I+upTQ~6N;*D|50Q+vKI@sWUeg(v97(GvV+7%T zjJ}yA?m_lCBk{O#GTB$YC$$7i^b3)W;Yk;GL=?3&{*F8%)wu zZ2J}5>#SmfUP9NgN1_kgDlL{jm%ULeer`3b(KUMU!5O1=%lHcNm%Q0_lw$bntwORa zv(PJGNid;R%xcDNpVT?`EzEM+o&l6Lp z7Ua@7lgut?VsYNzNXd1kzez&T6lV4)C0r*$STn+CJuS~{2`C(;>hh+ z9^k_8w=GT!oZ{a^WXq*owXRh<1MZ~fn&;qQ|2{qstW1C2TIgib^`YlOKlU3J_G&K8{}z(s{#8Eb-BZ{Ky1Q%5-OHw2e&zn3Dv{H&co#xAf%p?Hv4 zn}*@#obnN<#=wlc3WrmuW#5+*?US3MG=w0k6?` zD;%YxuKJ!`vPz`3dW}+Y9=oa7N&$SW_`-ydI?_;D93Kq>T<>wwrxdhc5!#2`6i8?| zX`kHvHnG7~yObCWazq91U5qV66lIJjm5z^Y(TnDO#?%Tb_ry`;YM{X!hf{GxU4SuE zXcz;nxE4o|OY6JCbtG8NB*q7w;66!Hfou5D4AbzdwmJ#TGB;hdXr5hMUee4e@|^-U_Jry9*9Ub z8ZYBVKSdm^PWc?$azHm*a?v9jw3xp!zzFh8`=R3>xbmvHs=hIVQ+{65)wF@hh)Jgy zIoPZr;ViDO#C}T$-UJ#5KpY#CmI3 zxMeT(Cusv#qTQZHZI41OLnZ$d96VMb`wVzh4Ns+P`6jD~qj`tRkt%bg=S#nzh|f?l zZZi62Hb)Vp+N*m{8EKO(C@(5z$=S-XC|1Bw;!$VDNW(EQSLSIfJ(Achp;*i&kM&8% z?@3S6LpJ$ON6>=O=i!A4#d9s}1Fu%5#s|gOF~K7?Fgc@pZk{#o_nWworGOQ5B}Gc3 zd>)y0%pPCbcPd;_O|Igwa*&7p%g}lRN{bkeTJ=|nfdc!o*IA5Vw-?&DW#LF)IXYBI z`$xA_x+uoWZq{l*JO(ccb+Rc4?0T=Ui5%Qm?nN;|`m>3-$C?tsT7j4A61P$TO7zc2 zMM{@8?C5($vyz|M5RMZT2V}nrRr9A+bfd1U1`n%V`=u&n-?><{>gt?+eIBtM={nwf z$>vgs$@%y1F^0=98D}fePqb^8YuT97m-L6|pCq_`f77}+fov;l&;@8vPKPJBj$^in zz{r_=7izea{S~Bd|7}N7xOH|3m8B0BelDMK0;L% zFQAlbSd)h{eeBpup~{AmIy5_O&8G{$;mLeCzKD(|h4(o~I)}5>z4|x#nl6m(`)|2J zunQvnlse3HY=(d%(X`{*<7H6E?0j;&2eBl!mxxN~y{!dCm;bqYkKA)JyP#nj4D7IeNVwii7$vl|lUe#$rU`V&yaw>MM3Y$1yZ!q-BKg5?`o)7EoAYxZ=>|ps`3y zPt)CN$R@ohqt{DjOIc71O|)xq$GkB^Kbdg?ltxzj8QWfX?Hw-87q#@uzNVP1m5#dY zgjOot%SdO@eE?1wbWVxlfL)dG!QZsIziCnBQ7h%(@SvlVkusv%}1lOLT4}KQp0{_y4{OqF9}R3Q<^e5 z?82#?M-v0okCZidxpR zfcV?DdM+9TW@x?+Ea+06(9{l?nn|Qar>Hj$VQ1CU%cc%rP*);Q>%c=Lt#``zVYx#f zS2#btcrH+})0jb>4NN@EAjb|aGy`)eQht8&otc+BH(LuHLk#cn*L>bW)bLjv1)Y_$ z^B2=O&%QNFK8@}IGy+Dk7aCVAib=K^9X96I^S?RjpY3g~lkW;36{*X4Sq|Pid7Gc6 zjggHHeDMHS%U_=t1o-F0Tgd$G0)$vZCb=vWnEj-28`Y+MaO2okNPA9@mB(lDND!e5 z6!fB~HsdSi0NHvFkbOgj7J%mNoa@1nwn%p-(55-GqE#uKUx;=l2pEgP^1hCFYl;S3 zm~l16>W94m!NRrs-VNxpQ>&4}jgIL?@I@lKZOm~P!k#+xqAH#IWzrx)O&rNa>F@nQ z7#Cf+(u47x%tPdzEX@@vVLyv?HLe6MPYEJZCu>VJ7&^`?Nxd`8be=*MobXx3)^H|= zZ5Tc^ZQ$U%s8+!*V_k50N|^&+dwaiYw(AEnNPK@FxXb}L0jD1EP%Gzmp%MJij{gpL zdZdN}94gUpvIDLoIEXZf7KkTJ#X`?P2qrv5wFGPEk=}%-ez_!^*92Xep;SnhxM98O zM0C5=U=X!xaQ5oyn5F1hgn%wpvBxRMW(+g@H}_5|Drk|!C2SR8j0RT~rha&8`clY7 zgG$nX)JUbGR?nM0Zn&sjGe+6mY$nYrBcUZq1cy$pv&*!h5ND3Q8qcd4aWhZ_i%U47i{bs0$zF;nU zk?RCGvhy6kltg^%`p6(42;6Mp(p-kemK`c$YA$?E1swk14<*Z}CuEW>?vOUoex^lK zmzWq!aV7_mYSztsv&mLbXWDK7Pn4pxJBh5F#tj#+v1fFynca*L%*_e>tNr4ur1>(Yx zmo5ky-0uJ;gO1`XDK!||fjh$4jsQ!pWs7pFg{(Y)O1#B-S&O@?f_$e;^8qL`bkD|O<9_O7}B4-g!8feJ*3LS>|WV@IXB+)007-u_BEvmSJbKGJ})Y? zfOdI6Ss$m0k&Kgr)&b#hPV!9Lv+2B01PU2-o1#h5mcf2g1_27|$Q6LhgR(L@#8&V4 zcPuc~e-EH-2<=H=>xOd-Poal&8-I9wfKr|Zp#3IExF*9|aIgRbBb!$Z#X8EM?;Eub zxvqKR%?ZmN`^rkr2`nKSmbpTX2l@(VejbgSsqF`dV|nvNGsM?3OY>QKWyHoLN;k~3 zW7Gxh$X|A)7IIP!mP57Kd1Y`Fx68}Jpfy%X8qV!~X+|<4Yz*0` zj+8$AzEk*z5G&z*XbK~}iHZG63&wY4&prUGVQYolykoec( zK^U%4pEqmf0*e$_SGmDHcvX_yMS4@3>Tq2Yee`y`juFV|KLR#KeQCM*GMy7;=R+(* z%^xWBx~r5-63kK9=m#9Y9;I<}9)BApsDDGwhFfi`Nsx7r!aXeT}AZc_Tgl;_)+YpI3&0t&5I>U(Az2Q6~N?p z@sXM5W!jSt_Fw|(e!#qBi}T3NMxjJ5lh=ZQJ=7Of=FO%lZLb_AcQ{M$5)r>Jk8+cPa9p@f8|l3?TlA5O0yVngqb%&3C|~t<2F*MVDXug*L@ndqgbUqN$WbhtgbExU=<{Tu7g+7 zp=>fz>Rh>?6i!NVTE%Ut0ZS`$B6hMt9D#sjxgPfofE?#XE!EAaOn4qR`Z!J*rqp4$ z`ZMBC6MaZS9nSXpDs#;jVYKu`7DNN#2}apqCWF#1yT`ZQ@FFKOMYqRnmXeeRB$HQ-tOpNzND?5USejp3 zE)A!YFsP4rYh^yTywQFwcA^-1b?nDmeBEgw6Cj;{d>P};c#>4)j7lt|^6@b+ynu`# zAgy43*EE9TM8dIGuvdy^X#369oSRnJcaG|dp(2=UJ!`X0%CeJzoLr`s%`#e+m7)w! zX@QBrKBKe_cL*6iZ|}{F_|PGL>s##1m7SopcGOnw56Ela<(Fuks zWbs1sRE*%ndSXGvUi$zmsn-fNW{_TCy2}kF9t^!mg3xhui4R2+Ou+ zi{kN4WY2AkQ!0u)gn!1r+gjCsG*Ck|s#Y%{2Wg+KZU4O|6b5zk~*NIepD2 zgI#QcLy^1wVG@+!uyK>Hcsnhc5Fc!&;N(l2^??}bQjMAjO#U7eq`DXWwEUip=9d~S zMQNfy!<@QMOn%2jniXhHSr%W3(Sv*kn8j zzSYe+d->51j3qSN1rW|Piq>>fk&It{Js`OPCiPjVRjQ+m2d zWC3m9bibjefoGk5<23r0G|b=bO(l#|iN@(L9yf;kt>{8&wnmX-CB4(4=4mhw3ZwCU z^oX=^Q}>Nh*(qGxbR|y_BgIMdm2^_G$eYsrsl~c|VO8LVu$k36xIlQZ )mAG&< zAtYBIfy9kfMT}o*2th`sE`H_(DCh;A!c8A2iye7r2k3pNA93wrWP;I7LeQ9S);qLf z-GxD!Tub6tyl5V&`j@47KLQz8LR8#k$`LPxR z!~OGIkqs?HU5{i-Q z#b+B~D+{-EhI)OJLCZr=gG?Y%9?m3rB}uHBY-WW|A*Wu9`Wb&J5RsGUC)pD;ud))` zwyY?sg#>v)fRN@yG_BkGff9alY7jAnylCNtZ`f1t(TsGAi(8^@=2iqgWw|LC&rTo| zhv^`M8;bHJ(4-?T>lQKPI)Vi_LIX~>eVj#a(zYLv(%AuN7Lep204V{;c-;eZl5cPX zkW${IGe8pM2P9gcr(;ZjR67Gm|2P0C0x*sZyh~4jB&`2Y#$$pRin7%&6*55w5lBH* zrrME*ZceK=3EzgvbkMW)DaA?H2}Xun5m-Tnpblh$oK+=&Evt3p{eT3pui*eTJqTb@ zrT{im2Vft7Hp-2Z`$-lezGJBXwr7$8XfG9jmiMmhyj!0!2@d{!2>R!y5E|^kJ7t$^ zD6G&$Yj|D9P5L1wafQqcuM+8Kb?!0e48b_a)Mb4uWVA@AVhDWKw)@#zH?$XiDg8Cn zRL#W+>T2Va^$WD3w1R^WKJg`7z;v%O@L&C)Y&%NiZwYjVlHcr6j5y@on*4uqbMg1P@uyKEwl}s`M^toEd4}S;lr`$yHhzyl?E43Th8$bQY9#nwHPcD zQ;ar=i!?h>O*e`6rzWH+k^RF1jO+&*s(D~=uv2?INAc9t*x}d-&!y-OKQHJ;Bpx)G z$A<-!sorFc%HTsgkNhG|<%F}q((WPT6~tAhNaeH}ser{A>_N>bJ>fSM?sc%5eh`t4 zA_u4;DN5j6V>4HPle4lJmgq?3gjo2?37jdGGXoGGY-ql(2)>XU9k|#rsne8SkOl!@ z?I)}1@WAiRbKr=WXGDx7A;l znoq!*!wMop-q)k?NA-Or95z69+AzyID}`K$Mky|UusIj91z1{snV0a#8_G zA}PtnZth(#r%);HdGAvV5C34#FFMGT3c5VcFE~TyS%THvz-yG0NitX7^p8XO!#$7^ z1j#^d5oWL$O+4WP*y;HzK+G$Cm0_g8nA{a`rl%mGL+?7+ z1355>68+FSriAMNwC`3Tn#CTXhAsr??$X93Hr7L~*zEfzsM(nZ{4Mpn{8{NBIw?9i z>Jmd>yRGx9VT*sWhxlfzmn;O0FbK3JTiEkK*LcdG>lodiq5hmL!$4;}m3&h$IEA zwpczkJ@9)TN_`_|%osIR1|Nk91RcO)?>F`=8|5|eFvNupJIsi^lqxpVc#S0st;^?7 zAk3TgIg2PyTr?Rcu~4i2uHMTO=3t4SXEW~j#;cB0$me#4RBPLWmXv*zp}F96fI(d) z5gAQ!9zQ=R;{n)k%2QPo&(JkJJivxBDcs>O|Mr-cv<*!BlXL8Kq(q)i0W}87>s2wI zATgV6h7M>ObNtMW6L9pGqD20ZxM0&@B%HH$vW)T3EMQi`5ZmT{?ND=029 zkoacbpEiZFq;O-4%W$z_?V9yCNuuTWrM#qmC1C+(e66?BX#Nt&x1cgC?3+z>= z+A2Av7$v^z#(Bx+%m@CV&k3GSnW0DrIueWSQA(Z4Nvszy^aF>z)eI=?m*@Z_-cBa$ z1XAXKTWNOgJqLs{8jLm}@`Q!H*$Y;btZ4(k9xc8E0-6zXBJi&suT}4FwCUHPHVEuI z2y^l<;NY}se1ftDWv>9n9t*OFa=5w^m}-QW!Yz=i6>R2uEO25>P28L!}Sot_l2h%`B4*Ma_cIvkcSk=0^M_>9JQ#P56NOXhP z_^h1sngH=P74X1;3|8DrHQE%6vB(F~?UHyOk}FHZa(e&^nU^XT2Y`l}mM@ZJ*1Uhz zQFM*Art?gV08v6d)qH5C%+?urDgdWlpA^3@)%5+r^CN-Khn$@twl!`u-96OpZq-6= zU@{SS&f}LThX+dVOPJpx#-VdcnBM`fL@w+crD(D)S*i{8bi#hMW}8JFG+@pp%)1Uv zoV6e3>qOJo|EzT7(?ygQ81iZt+f+OrAsz)ny`&(O@mzKKb{cHc&zsmv{Iuck;LKHn zu(?c@cQ^48*g_*Nce#Q5N?q~*$&iBaS zGEFvR+<#@A8OiYOu8<5pLMI_vRs&(~3~fUP{I}UpAx(q0I0i~M;BW26K-O%Rw0;{5 z?4$yiU-X89&O7b?@*rYZKpNa(N)(7oC>h6e6{ldgXdsxQpa#fbw_cRDU>7$c=;|;l z2mFM??&`KQ@-&ZN8I!>Mx+da(AdWV%I)1gnGvs~r}}`+r{lJ+0)o0Smy$q5Wk|4E#ea318>4Wn|2rj z#5H&~MQni{B7gcfpLISgaE%OhJQ0>5cdl2}qn06d>cK7(^9cF&3L!$t!HRwIrByEp zg2SAVR9~!hU4ETF?GIWu^nNS?)&7c+Bd77NFJ7i>c7-6iGko0*N)hAoof#?R@jNY9 z-kWRg?HWUE0zPLQVZ%soRIt+Svp3VxD!-&!=E|~Zq=H(c)R0v8qbW1X$n_lhYRRQ4 zVP~yDn=*geRce1krx&h1HZ|2X{BT5(@dtqJJj zvkVsKQ+`Nv6D_yP3vsbUv?{}=P^bku%cR3un*}${MxK^WrV{apx?wGi@HZD%@ovNduJE{*M`UqgK1dYL1C_Ns)DNw(f|TvL zXt?Wl%EGzNZPLq$g-Gxfm)B&d>Y07yGQ(3jJr3@gNq&s-V`!MW<~qXtyt)!zse1(Q z!dK4}aTb}d6yTAo;+__F9Z(17*clq%p0R)6yn7>wy_-&hL{(<80NTW!abn;L7Z-kIj7F#N>|2#L4v!M1 zC$3vHNYam1q+{$@wM#mW@`Do(tOg`oM@PXJr&be#Frzvd;7zN=LD|()%;>9zYPp41*yCy0pGCgJ5$yP8Om3SVG&Vm&{4$@plud#lq9z$lr`(%5 zT+JQ&Q_@(Cn-v{~O=oQsS6f)WLHm8$yaoZijZea*8(e#?H=Cd=7uRmXwiX)ejs-eF zk<>_)r$WV7Ao>|Z>~TL6VbMS z62SWOFQSjzh?a!m^E-$~u>))2x3TO4ZpY=?_!(oF-DpLZR~M*S46~y(4D;o7$6>xZ zm(c@~yk580N^UqC^5LP|V_1~hg>6jzikRN0*NK7BKC?UwL7%d0f`Kmb{Z`Iw5c; zmuR|3zmZ?|5yNO%74g91RwYPQ=}*!b=TF<_0EOR8C&ZFi*w-WM>7ITJiQ{oihQG0> z=^gzszyG@;Q=a=-w{BA)qYP7v$lzsB`ui9|ZnElF%Woeh-*gwlR|m_6G~f8ue3uiA zYL~>)pwq-NXb8Ndy#cXd(}SuZ!I6bhO3{G+RcN+mvP1$+SPMp^fkr^BJeC6OLzHr~ zw?pvBt#T#>o;acP=}_a%VH^-PFZQ^w7MMtcMLTT(XViKDnwM%APtXY3Cvp zu|U;!19J^^9;conYurGT2ppox+Exc_S|2rwMHZ_9RMU@(fA3Lpa7FLpC5zm6P5k|qvqwOl zy8Ix$*~Rzy_6suqNi|W+GS^AYo+~`+)i=l_1((KOSFa2|W4Dcx1u_i3wnQF2_bd-H zUpEeOKfbvqhh!v7xuq^}sjm&I#6N@_Q^?v6n3{pLgI&4GdAQ^k%g>th;L5qZnK`pZ zjxZ+6Lsm&%e!8sG+1UJUKFj#ie3}XJ-ML;rUN{(fa4wYJgUcArsI~KOADuq1(LkzP zGwy9cA}&2Vf!1)hl^!^C^AvFzUm~v=UbR7$I&X+li1_0hnA;LXuDo|bog-sw34i0^ zDMP)yWYq<;T7fQi(U4`A@aJ>TRtwTst%DPp4K3S_Hd^z4un{O^w`u$0>_qrChnyue#Zq{}TE+zWgraI)!Z^->Q?Q7IfhfdQ!2nR0u ze;X`6r%fQ|%t1X~Lh4bKn0Tgvd{Ely^Dld}l3?BLCX+R1@nu6LoL>Q6ftwmznHigz z6R0*6s*Y~wg@0a-B^Fpnxa|n#!lLd+Qyu=`!Yl88Th&T2Vm@UThMt5xPxJGzvb+-git_@J zX4pMN+>9&Slx;sxn!YhKkccGD(c?x862(5Y9{l-zA>!QKf^v}b@w716h@m?h4^`^2 zJpsNH3|wBbgVK7zQCmy0%6Xhb-0UIXWRIq6i()S$y8B~C zcRZpd_o=QX0S`wqv|+~YW$c5M?@q=U?AprP-Oa%#YR_w9FEL|CsL(TNZPw*0L$3+p zHd19-iRasEpu_TndmFZ#`S9T3VO25Faa$v&^@rE*I^7T7^`(z2`;|#2H_xu3;EvY# z$p`laP7$1soHy3)U#ElMQc_9{^_(VBy`1ze2#$h{y+4Ib>gkt&JZ5XoqG}ZV7@bB>pGH^sM`SG7BnFS_djf1$@C|7zeuBC5R9IV z7v z-lBlgDL`rw%aR&J_MEFi^NC^kR0jsPeok!2Q8Y{2>e#R@hXpCNYS6e!81ivlD zXECxNjjdc3h%T|&C+ybWE-?jC%swhd>Uc1X*FdrHxOq+o_S#s<7KgN&;Ql-!IcgPh8;B%wsSSf6 z#jF0pV%Sw*cgq+>sD092o4Kx_1WySaI*>0CJ*twK?)vHbBb8}F~XxkZ37co z&k>K0j1i_!KekoMm}(q)&1bm*{-3g;w6(YzHXgi%!qy=Ix4MX zSZr4I`xM}=hu+0N_brqVBubiQJp)`i()6TMJN3qG+r33jmOk!hXv@*vS}fPYR${1# zwrpHTYnywfR(eKwR;dGp?&qQLg!Z65B|eA7?;okadKF*hvoEEz10HF-wfL?i?cbT%b;riJDUj^UC?Ycf0ltmHZ;5@l31dNrZA7LCP7XMpQvB70>Xe z;Rr|}gQ*!1AA!>(aB2OH_}G%)Y39^S?GlTLpMTAqGkWOJCFg5Jl2t?VS!-PV+=O=i zF$0Equl$FGrEN7`*V5Lf5gGjh`Wwp(Ng5@-Kh>lf*cic!J^~5p7qLD+_|da+R}L1M zTgQ{ZTr09`Z@pD-0t(Vl&=?R95O5ItvEH(J+ndGKA0Qw?K0-jC0jjODlby4ZBcqXn zxs{b8gPWC&wsNNh9y3bok$}Tj+TW>htV#}_el9^g-AAUlR5G?4yNu#9pGYiKCZm;nn{sY(^9iu~r&B^q3Ar&fsE|pCBbuj)lm5kH4 zBT7S{N~p1i*tvS2=D<$K5hIC;@dhCrA9ua3%&*%Relz^N2O7x?5uKc1P3gpl)DWHLIc6qPJCl3&Idn5Zc{va8Fvc$Nf;>% zk)l=y!cuQTh~?@pupm#0&r%%J=0+lfH&5P72SLk6!R79DcZR)erByxg1wP%Y^L!9<&PC&2m)Qss{&dHhN?seT#Dw9_iDO3 zkH;Yp0vs@qK^MQ@q#n70N87e^#?QuoHgJb)ZBYk&KQ)0XW9)mf8~UfwUheh$LyF5n zsf^FYM%~`VhED^NIG~vSId@9yLxmSg``Hdhf?~ zN*yR>O2xQHgp5zyDli9$1pZ|q+#F!A3`Zx1Mbmd;@>$n|0HeIO-+i;`bdb9Wp7E?} zrix~j>i=)f17LG1s0e+!12&}=ByfHD|Jt0U#tsI?4!Rb$1`MVK4!|$t7)AL2 zCY1iQ7mSwUpu~c5+=kvS95~S5dII^181o`Unw`tPTC?9B7#nrMo*kUNJ!vx}$MP^j z{s_2mX}Z-Ufqddfkd54Gi^^Ek%)uOT$|zBNN`R!u?l?!FcD9UJhS20J#oNC%tCyIi zW$lKZDt)oivm>*CWEL)j%!f?3Hshq)0LuwftkQB|B?)^={Uvvg+k+ZBuc3*45liIy z`uCFb)Z(1b<;7|9^q?cC><0cP_O-1Q(f42Z^+8TA!a!fWy0(2`@C{1H>M2d2bfpZRr4 zlclcfHGaeB`+-T7K*vlvf-QotdWYeh-wZ+NHFddO&2mS?%dj~kvnnPcnl_+iM^gVl zbS7-?bvlVd9`eYCIA=&!26GSz9sE7rC&&)Fe&!xWi*UWM%wX?-emM8Z8&M+xke?XL z_=UabwmadpyKE`C{z4+3m^};NSsW;%b2I-_;oacSij+GRc;tbSe)_fcf4h1#>3W`M z00F_83kgB+zq;yZZe{6c=wNJYqibO6hs$wbJoCJGlkm}`{KQXzslY6-XKRvD7wfl`U8jY^FsU6 zaQnlO@13dd)sw)heEZv0)=R_7!r9x{+sS9&=c%l>-L>bC_ScB^w|;@wgF@f?Lf;4Z zx92B;HxB>j8-bUrv$x0g=i#^KLf^;k_UG$A?QcMq*VDDVUr)YcpAz zPZ5LViBjdyJB;nqmudovuu|Ij1I^GXzfAD_7T<^!a^9XBp2hZj`_`Oad4k%WSJn%5 zp=8Zljm?;+rELA!MT|jE`{!q1z8lxviM8k8j~9FG4=1^EV`moD(*cjt<~TIJBX!*d z5NAjg7}3YkiYSU?e_3ciI$M_}qK|JE9=6s)*Ch)UvWIvSe>lOlzHx!kEdN+{UA*8T zsJ4X5=ONJaYCtscJTIQj4wY7ppsd!y`A67T{7Sk{eXNx)`v+#1pG$H@<`fh+H?d~K zeQ#}EscDY;;csE)J933jBgkg9zxpl*amYPBVP=7aB4|)#!$ewXrsa6W1d0`|obkKU zDwp~>cPHgy^EiR~d=1~`6L@}^{$2hXYVq#7n(fjj`m-Loa+>Lu(%Nt@R1Dx8q^_Q< zY%Lf4Zc|?)97FHXrdxF#v8<1JzUyRH8V5 znm)MI)jKSPm;hzpK4z*hzBosrkx36SM^^;ti_pYV&d11X5HY2zzX4@1E|b4O zTQF{w15@eyB=g<-SS|eD82vu#cf2#g|B=ED|HS|gD4#;!N7WF(OFRLPB41)9NQ80- z-c5Mz)Ig1(-CjRt497|!9AA7dI(`C-B+20Scs=ZW)Ju3*_Wo1!3V=8VYrIAm5rk*a z@#S!0b^`JjGf*ZNtoGmN{XQB9T6DmDq9mI72;D{mU=F2B(?A(RWvD)dfn;2!UtSZy z@%AQ<3X!e^qPmZL3FPpIJeyu4`VS=a(?ew_5G?DA-;O>YxjPi#R`CV_dggxdM>@uS zU=rIJ;H7zH{EQ(}EIMjZWZ3jHQcV6p3T*={T?)WrtpO~;1Hh{21MDXjfUQph*vk?9 zX-LcrAR+~lYpfLFR>^z<3I5kl|{(3Uucvs82iq16>9y)xhW8p;`EI1R0q;Ub(#WXkaLtGyzt-O1=wQ>*jG zg1ilnEj&qB0>gsH=tLu!+3f>^pwTGVqpMJq`x*>L#sb5V$hxr%#^#H|^Q*(E(6FR> zhVu;rDwrGc#uOyGdZw9Ga~Um;;L`c@%d`TK${IC!`l{M2PT>$#No4*(=?n2ku2iT; z+^9tKlPxpru(?OF=?(jEgvK#3dqg_dxjI>8YjpJ-GdBQP?!H(~n6i0A>RVYnz#*Uu zrbHou{F$n~BX9Pza0U99bn1N~gZ)2)sFG?Pte}%z#t(IGS$XYA1fXZr9 zX7iWND%I>XwNe>bXMt5YS!YpGLE2y`RH5Hsxl@tdsQs>Dy-^ENiQ1^$RVm*f!&4dA zAQMqJ*&ws5Uz(4XQLPV+IaXy0i-}aL4~tu<>khF z3H#;7X36)LUDB{0R=8a=+v(>Q&1@^l=7Sl=J?J_IihDGNmWe)9 zp|itSO2+h|Rq&Jx7lygsG&WR!uN^vT$lUgU6POB@tK$$|3)TL}^exc8Jlb_Bjw?H> z!!7-0Cyy_Bxm!op!M42APY( z;xJra=kafNRFCuT{br-U4ohxEK6~f~uE%8=@0>UI2HZ?qXX=kH)^k-z3l!W_uC6g_ z*3F0b$C%zWrTcDS1NzwE1G>J};ry=Idq$phtpV;fD$Y4X`yYl=48~#PZoh!GU*~Sh zW7)k9f09r>PIG(%!~gIm+aozBImF|#IpCV%`1Teyr@SWB7&ZqiX)vD9`r_u6kJi3$ zAFrN?se$cgCFq9Od&<=mYHp++1~2TW;IYF<_@Y^)ufeu;JXNttZ$}Sb`#DGU7o5-U zFr;}5zYPw}IR31E6e`|_wUfD;keZEA0kbmfkPy^Xdvv=LIh5%Q?y39nts@ddRKj`{7;fD7 zSvu1-5uSHM!^{yxFILYtb!YKlX}bRP?k7D$K08;}TR~MPiYVpr8Zp#&4w3etD>*&a zih-FSKt8QbY3lyWkEP`LcetPQ&}Y(by79!WEv9#hfd8@DuBkgo087@@?YZCoAi85+ zoo)qH03RWr$Lva-d<8WMA0dy&A7p6nByQzM7kLWzD_U%VAa1gnxQQ&A8%xBsN4sBm zKl=Pw9eM?|mjEG~$G_F^!ckntjn3;7jvz*C4EV~E>h(r46h15-*PhaT;l1dpTlMW_ z)E7boFpvMXrTrC@`8-&s1&gh4w-RQg7=6xQcY4N>#1VJAK9mdTNwWh?xu@9zE;!fx z3#NS3tOJ9#G^@Z^Cz@qo(5+?>7=B4)^r17JZL(Br(ZPfz!DppR^Xy7O*1guuBH*# zY+q9kJaDL~wTz0bix7c+p&b#9&ZmPAjvl5H5r#gagAj&Jq8ky4ZmsK>h~B7sRhR(( zch`kV1QjJ$KZFwOXlqFdd`mqTE~wId7d`Hrt>23jrEND^zNd3;`7(^@K241u6-L)_ zh{}K6lKET|(QAYv3+`8?SO+@lc|C+1DMTCAn_N%(T-RFI`JLu959*5!f)ADdnq~Ew z=&>jLbq1VwrC1w!+*y5xE9rSF)}7qF_gvR1{GT(;X&%%Y9Rv?5|5Z!oGtqyZ^xGM5 z?v-LK=%{D)ey*hJtypJrJbGay^Z6UCRqZ=-KjOiJtTW8E_7jy?@b}PwP=!Nqbs%H)P$+-_npt+K>o@ z-SD^r_t~A`I<5Cn43@@80vmEfLanqz`eYY#>`w%>j<{J-W_2PgU~?BOg-!$&Jn0Jv zLd`3K>YzwY>O)Vjws|m-0(xnrj2TM9{ z33)6C;Ysg95UN`lR0*|lRKM>`?9qzVf(%_bXE66`{zh}38>LAnLJO7@WcX`DRL_yl zM)uj6&gy-z$OzS}gegZCI--{&K&{n5(4Z2YwM0D@7vVIIOpGCe>=i zDwh*2o%5dw)4S0u<3=sjK~SL*p0SKO6wULXSIB@%{m0iezZdx9`d$Fx{JntO#(xER|0@vvpMmPXGcwS5FL2y4^)c}S?|aDI z`tI%ac=wXszI%O60Pl|VN-oI}EG6@iM?)B!nf91V&G+)71((?>XTGH=+&>kjct4@$ zZme7_x0^Dp?UA(SYP~C}0eFGU4S-v|R~{`i%$)=96K;FO-WR`*RYzP5*{H`J(U?wn zKly#KnSt=D8A|i&7Gkdt8IdP-6XDoJ=wVt?v?8k-J^r6@2^YTpZ^8Cb{B1ea0PAkX z)SS6Rj5|`XHy;{Yo2qs{AZp3@!qmX89v-7u^t@w8-~E@u`UWe?e9lqkd%)rie&IwB zki&+xOndACKgx2>F;X0A=?4E!k;t8|-+wwuXY7LH=C9i7A<{7{2(~a6pPTc;PG9JD zwf7gY$pK`RDGX9dc(Z{BRtQnS<71RSg@nin%p!t$42_TBb`04S<8*$YhI$$$f!j@+ zDqfKssL#&$FJg};RlM4Z7GI-S#opvgQ5)hdmtZX1EFw}##=cme>7-^=p6!W)qy^VjQ-oc__)OA~g)r4{jl^pdw@H zY3@s?TuAT?vSFPwml;z0PFyOnb9iBey6B)<$WC>kNe%DpEMhJ1Ow@)~{TNLatO_-0 zt>i|cFmpCnp^J0B!@qjOt_V*&3{no22+oNU>vAQhPpFucLyCkV-Fp!pMcP4XjgLhi zdm~uOKR(Em6_;jYk={I5Sw=LUKj(^?$hS+tjftPRT4xz<$7u1J_=7GS+wP@9V>DI{ zqqZB@ER$m}b3>0E3EXvW4C}ul3J`s>59fm!Btd#jtel!H#JH^V9iWM`e2O(2E%qJY zn>cwKN3IFY;uigNQ&>RYOj66ASz4M=2Wknp%~`_0O#1vWTf)TW9GXg*e?E|O@}ZMU zW|HWiXxpviYu#13=cK)9gPWv99`5lt=B$`V`t*j# z;?C@eZu!_{48|`1m*j1X%9%i-TIbAxX;WR%AV~3w@ZCan!H>_m`K%HS&{=xLBb4^t z_%bua?6jHbCc3JfoWbxL+~@MsXH+WlUw#sWXTV3kW``#|H=B5=#M}Oe3Lom52jg72 zNh^N0Vk6jM^R}su(s4TydoUn>n-8_pvs#gK)jMtOLke*Obws*QHB^M{WB!C`pGXJ3 zulFL6=1G+LE8CJm^s!^;!^8w`;@aux=Yubm5G>#&ULB$}dVYk#>PjYQyisLM9 z5n+CQ=TfIyT1i;<2?6JN<(;OoDOQcAA9v=&F9~Gfec@r!3>R0hJ1Emn!!oQHf7+p4 z*r?Lnqlr~$$4LrL_J-4-i+_G_ppbBf!Ix2Z%eL@OjO*C9(i)Y1My#LX4}@1@*$h5x z1NG*38_1jf%u5=rfKKG^t6QhF*0Mopo4nm^kkMO&UID?&{LEka_RCzv`6nflb+gSd zbiv4ib!talP%}Mx%4mfZAe^kf3+63=&;a^l{9)?j^}D-Z6>z67yt{`z-`$b6@9@<- zJlIB$K7S=XkOqJJ@WYr*g3ounEETvfmglUD9ye(fkO>*>J@wW{z)}| zP-vVo66w@T>+)|JrM7#a459fx{7u|>xy+%Fe&Q3a3}?$iHZz|*x0%XJKLWgwxra{?=r!kclqDD%;fYg ztFOGv=KslSfV`mOjVOC23q7$k>4~0m+i<-qD%eKf%7NNoD-FnZ@A6l_cfV-o`y{vi zdzOPL0EtVR2Xaa1Spi@k*L(eVlK=38cf4ol9e*@_$0cju@u7ux{Qf^Y=s#TiKfK_- z?9=aflf_RDMgk82ln&8(N6?G_$IZ!x?>Ra=+O@D=#`|b@<8{2ugv#(e|LVMHmQ05${y86bED^89lE z0xbakmjVPWK*E1*K!E@5+6DqIAhQ)FU2C#+Nd8?-Q1!J=g9z473d2SO;6gQMzQ-)lvVZ^uUQnsYi ze4vSRxX>7iYs#)&!-tlz^+$DXTT*BN&`5gdbPUB6<%dVZ#+Ivs*hJhP4?9fH%H@E2K{*_$uiB8p zy3kp3#L@78>eB~`2&5Nf$ycLyjwf;Q48JzRelr$el@sVnA^FA^Ake^aSJClYV9TC$e0u~QNyazfXYM0A~k{VyipR;KfW1}|$ zKY&Gf;yIQ%Udjp_70rCXo6UPFFn7_+4Ca56mIJN-iFNKRD%_TxX zDgF)5%l~ixw!~{dcCBGOg>;uf?+>sviCLw(_~2hAWrN80m8sO#Fu< z63aMP$sFjMNCy^NRZY@bsT3MPmuHsTI%A>_3>1-sJC*l|VO%P!)ZtPXp zyG(n5+`Jqk$K5$y!<8Cpy|-~`82$1fwg#R%Cn13|q`eK7k!5ht2zW~;Zd+9YxwTM$ z20K?*`(xslS_ej5o$?y`%PK}8?{<9IShQ~`;0U#U$!G91U2PXLk%CY41S&Ol$o0^v z6Yj_3Eo}lAC^+-a%KH1uMm#B0kF7YH`UytMM!WOKQA&(Os>djb2eKa{L-?cwh+V5i ze)kl9unGB|iWf*1&ocC3uZX}POzf-|InF;obOsr<_p_iu$j7spb{Dg0iST9uTrJnF zdJ*V+$S@#;-K!VD_>-rL!PD~?yxWV6nz*ZTjPfStuRb6iRf)Tdj8rlAw3ySGMbzRF z`uOuAah}BArR?`&&V-a%+5(Bc-p~xPqSdT{`3&-fxQm(e@wi!eb}3Nr>eVs?Zn5f< zK?q!z-!$?>8JjvSfx>Wu2tW|Dg)Nf!GkpinLa1#TsA-ipPZFFvdWMf3Y#jD7-#uK;C8jD(jAN#p5`VeX*(+L?p0!_Z!{*-c4! zLB8?Dd?mzmvuImH|KTw(?Aj!I7h*^~kw{sy0s z*f>68K5Cv3%;s+<*^i0)al^W6$)fORnDp*~+t4k6GO>}&6YOepZH$d9)4J%yY=3n{ zHpU~PhMBl^bHqd1wsPi>z57MhF2-GXuL(YL0Y5Onk1-E0{68H7nA;xrt-Tm$OuC@C zt9^;yO|l)Vt_;CXZ*IQ2=-yjYE?aNR8ccumznIfISbad%1%HA?-?yxA5b)pK(-U(BmP1S4z%m`$I$q~*NW;Fm{CCARGh zHV^+Tt!#6+1-7`H0W(IDmD#K_rAcD>2Jlwj1q{L9A0fs4qkk1w^%p|F@jY#eX)Y)T zW_>cKyB%8YINBKb_J$(LOpvv;ktyK=Y$n9;sA;<}RWTfTo7JM-QKo;l%vh^s;VLE^ zyI9itHxJhzL2F*X?<5^+M2&Sp_lS0l+sE8q7B+lXNdeO?oo@$Xc}Wjg^(lz8Z@Wk%VR(sd48+{$1n%)-OZ#86(%ze>?*wzb8w;{MuxK7KzY0RD^*&k1!)75>qh#|pZt$; z_XhJ=sq!DdY8d-O2cFaU3Nf1ul&Z;OOHb)EgE1P#mUkytBo@9QiaD=<1*R&I@9mIb%dVPrLrZ1WuIIP@6ldS z;+eO3Z#Syw&vg;;L(Qz5Q(Vl^)(UMW@d3s-0aZS#Kz`-kAn+_yg+0trbNh|ZFyV{B zj|-S|1qG%^Sqom3$ka_@rUe+|##V*)xoykerB~CrRv*kbf^1}1aj0f;Hkm)AG9~T* zP`sbR#3_|6Ez?|*a}thjU`hWwL%~y$;7IyNY+1S}6w@C!^AjX8VY&|-S$@<&EFV0SP*6XP){Ghrc7ge zc~Xydh-#=d+qoXgr(eoL`5>5cRhW`kEf7@fRDUYDEp?RTuTdiBgtn%nOhmE`T&eX* z1oOUVE2PU#)uq*{L$!d*TcGv6xEOPz7OUb19XOWCPE;Q85xy<(16KOUwExGOy6P z-BoC;XO=$j-x6@Xr{%n+IRw)n`UJ=STxTRa7IM2H->PrVAig`F{!_Yr9`%GAiq(4R zI&al}$HSG!c;TENyPVbh?;|H8c?f%*FO*s6*AfJvt zDNmTQa-1O#{MYIEB*s&(-sINJ{p3$vu*uBCDnS_jO6dXCk(K$f zVh>Z%oI2&$0=cq$gD*LR3hul4vw9QqLN$EqWH9TRE}=g8?jU)3N=Dx+1Bl% zB@OTFrK-UD7I21|RzKsxoBuI#_^-#6$*%gp~k!J))AU zNn@aJk0$5SE-O`#{Tz3363@Ei!?LVA&Ecq*l9B5}LX)RntVCybh$sP}5xM_`0{KKN zEL~U`Z<%D`Zl^pc|K~U~J`ds~iRtcg(R#u}3gO#v`iTZux3FbCL>bDCfL=%kfFmPyK4|&fIj9?>JU*~|!85G8pLR5D z4b?V*)UVEx%U_ne@F9~wyvUq}aE4|E@<(qk5`~KC`IoBK#eb zdX)1Rb;XbqT2Wn7;;haWOrhVEWQ+0ov4Jrie4`EXcPen9p)1T9rprnfGNcc#HYx}m z44}{$d1B_3U-I8n|@8k{q>HJIUU`NHz!*@6~k|zt_yUPbG zpX9n_>n*)YH@??oGF`pch_^DVS^b5&Y4`fGAvf?pY`t@IWM32|9CmEmR>!t&t7F?8 zc9QPcPRF*5O2@WsvtnoJH?!tjGwYjwcAdA+zURGHb=P{Y?mhROKTg~mD~>-Do(Qg# z(j9mo5PMe+#q7w`@Ef z8xN>yND?U_9LcoWlD8N9UVm3a zjU=1(_ovubqyRPGwvP$CiL z-(ejC6T6m#x>)=xTk%c<@vk+#-hye{BxvyunQn7hljT-YM|)AIg+9@jlv0jaoYk1h zPZU+r1Cg4avIYaRkq;Ftk}3!4H9rlYB$o~=jHOf#ENgx`O~}-L6bcbz%nhhlG*UH) zE|=D8VTNGWCT75N<7_v&{Tf~Qob7ay8|0)v8)my4pdvcEWILDGHGYW5a5wE#5VSfX z%&J5E%A|uCrW-)O8Hxbs#Ii)4(u~V_q4s21yte9yXavJ2`o6xi_6?)qPmOI+uK}dY z9$HCCx^;|Rx~71e4`+*sTCYD!&AN_5Oj5e#otj5jKNs=yPnvQJr7;5J7IdcTN0ACyaHCw3u zgc3V;?*p^`I(~w1555U|XeAOAvK2n8?xJ-znMO@odQLu`vg`p%)?WM(3~t|{wEm&p zfKuP0K}fuqK(RKZMb1puAcCytzJER6sl;6-oey9BOLA#triahBqV^1{mCRlrYUw+H zX-r$LlML%gJi+n&jAE-tU94EkhlW1F$q$QWzD%--F)xm|bj^F~7FLGa*(`Idr^1YJ1$gPZzZrb7mZI#v zgp(DG0`%wN>41VMfBYh^yEM!V z{Q^tKx%WGHufzN8@1)240{~a?tfRxb{P8x&?nJ4#5~Wjy?bS*0&`jV|3e z4jNe_FvcM2w1I!Uj3+@K0BS@I=?{`3aySuSmG*nCNDW40J%PetWB|_zuxM_Nm$~Vc z`VD`!fRs3aAf?36=PO9m_4%J@^$R3|Ij;39+ynI+5aV80=%hhf>g8WZF5aS=VB8cF zxzXjIQKa-NC3yzAup;mmDM|bmkTD93iRAbVP)(p-=mK{0hk< zBAhfRO>Sc%DP2J#sCjPs#OGHjNpx3`sA7izOC=qoRH^(A0RO=(2u^3RoHRr>Yn?QL zFl#`-_aFTD5B~fIRsX?UP3{~7&`@|B`e#?bUS(0gpC>b-hVREcF6_%I9{bGWLy|S= z)A{k@4~Uvv+mQUWfJdYwN@Tpg69Zvsn0fb)CL+X@2X>$DZp+G7y|_lg+b~H!ADEh7G4@qemHHR9CG3p*AnX=F+%b;OXLhC*CjNo`j-_vS>>};N@)Cd2j(>$ zh}B?@X%n=DgX*iQ;lXWwiUe+oB26i+h))G{CNWRw1}I)6nKVzwxKBhMyEHWaqe#Ed z1w28*lt8=TtD*D(*XVn^%lk32Z5Qb0bOWeN_8;DV5OAFU(cEk0hB>PgKV_~MD2CYs zLosu5iR?LtQdF~M@_W;8+0mh!V478+BOdS#`ZMBNAapgi&M3Arvjelwb@}>!7vr@9 z&o59>+03A2zZD(AYW(rT91TC;$a78@4rr+yJ@LAuPrC5oZ`!(5(0C*8x8om932=5X zl5(ul&TTM~2>&&Mh$gnB(A3`?i5HQPW}C{t$t38Vo0|~M&*Q5D1#J8A{Stm}mg4uG z6+HUeFNaZh^j4_8!%XOiR_iNh;CdOIP8#Rrbd#3QTDe6}50%l_eC}BJd+BtFaG(E> zWYit2C!NB}gfdZqiw*M2{+U+}Ltx}*m81pEsV)mZ&nvy^CKq}=>^FC$G!&rO=rk(S|WFq z?beH*NB3WY9H7uDe}e5wD~ZTlaFfZC6J&qO&>oj5_@x?dvBC5RHpzCC$~ZgI+ULSG z-u7Z}YKF%htDj*}gv!t)%S2%uger(RKEh2fz;24?MPe<@NCEd8NgQc$kcd&w2coun zg>CV;C6`UA2iP3p$NYvC*lw%2O!f!Z&|M+W#z(x?2J}twUgQX#)E3yT&~&*PqeBPa zD=dmWfA{34CdB`cX^y6x*`+D7Fdw|}TwrS$d#SCoFn>TMph-=L=s#9rqEJ^OHO0&L zEFh|nR;U6->qwP6hzzjZHuC~Ok>P*}^}14G!7+<$=zG8*kl7bpWLCid0F(}!zPS*PRlh6KWF@$Ljbq+Nb0Yi*j|P*(|v z=l~H7AYvS(U^KJEYb2}y5fvb!5k%a92%|EKiH^cl*3&fIp`s8=yri5=3xy7Q5YeeS zGyrFbhvAfNp|D|^$_k7F5vm{}F2h2>zzRg_(DIMb ztN{lUP?442TBad-lPoMKAlA;LuoA4&imp7_joG=?(m zhg+{Y7mm`T#*sFdj;{8@?$2cn1)93*jIlqZAwmBDWP&N?;n=|zHzD&^H%0>2{d;&d!g4aw z{C)yq!if5_;;xr?y@LMP)0Dva#J0z@I4(By2WynTooN|^>QQY0x7^Xfa9bijLmmnm zR6t0iuxNj4bLCy__1WzNNf5BMQ02+SkA{|_J~*ZajFb}IGH1#B=ta*(TIK~R0!5GD z<2wbA7BP~|I&vTsz`FAa&i>cZ177mR>jNE(nzyqAR!Y$SzoYA%tH z!9@Fe|J_h)sI`_g*cBgh5H`3L&|0-4#El9#P(fXI#+^Gp2O@ijFL4O>FIxaEoqz8> zwT=-f2Rln!lJPbTkSq!S5&d&%a!$*MVu;tF}EGl}|v+0L!QiVq~i~U*eqqK>weN?*%l) zVLe^+f{32jj|jpH*JDTdM>}ckJ=_6REkmFF=%Mc+#sRzArVoaIlia3P#mcJ}9`b=- zutDD{I~A}=dLg^!jx9+9UI!1X?n-SjQt)4Z_RGIrirojgo+aq=At!M&!%XGk>@Tm@ zno;VKTdLNC5cGKHGMxAR(z}%_K1{u$u8z!u6<;J?szc7kj!ZzOiSbRVzka5TWnj5+ za=_%<;TwsjBnd)zX{a6*0{h|v>fB-+WT4R`jHaO$_3za(J5iAYp;!a;_L+p{6l7L! z0@KMxfAle+ewEf>EHxs8X>Tz72*8wNz_<**Pb~QNhJI>D!C3fepYmP+1S(YKUMAN` zJnFOisa{WqRyPSvw-u}pbGn?@$7GLKcHOb(@@7R39A^kkV+=381ZzmiohTja%gY8b z3k=miw+_+SfF}K{tGUfXq**PWW&9ktDImR`5^1Jo!b+tJ`ephvm-++=ZBkwsOfCO- zN7)IX^|>gY4DNcq@{U^V6F-)XD!vZ3B*x=sj+cVH#Ogi=n8Mh_=_9RJC0XqyqCKt_ zWfM!EkGhYux36VdgCp_8lUL5~&s#jMmXMM$`C-vhKr_mfoOn$n0e8V~Vl@CwLYCEp1b6H3UQAE=x*6Xlw+D_cn8 z-4=tBz0b$&;}u0&3)vR0LY3Dit|TrAZv__Fq|Aq5R2PRN7YleNkpBo8swX_7R?^aJ zj0n^G?F{hfUQj#c{%E$A-hLEx<@;U?f<8go>^l*T zg~HRZmXQ~;EiYL8Pd7Sv0V?7TUcu%;V^I<E{v&Z1PVqK~XkRt&t$= zB;*IIH1I^9qb+qa>j3Uwj*#vvHFv!>U9@|Fj({3qgSMYDTT*Sp1_UP~Q^qf{cwccp z`C`W5%TxN(DZo@a$>H^2p3i-4wPN_y`A7r0e{4?Xl4p>7)CW4CBZ?OgU=ulIn7sF(4mfwCzeeA#$bUpS` zOK(huxwVOtObjppdyV~eUP2CKEQQ+R5y}I;Q|nGV^i~p@`qy1o8}qy_y^J2TMn+l! zXh`w`b$&B|ubA;WV%ViHA?hxFnuM+F1n4ltvP0V9jipZKZSE19x5nv8avRS~7CXD3 zG>Fn{4vsHFeQ$C;W#wfJ$kM)h`4IG;JCpf~$hNx&jFp)zge#++KsfV{F8-`Et{+CAjPAtu-@|WifZtaFe z8s-svC^)eCH=^c7h(c_!z~UTBLzJ|N^5k9fF`~&8N|-{LyaQEudPLr8l4pDgYv^B> zc3gCEgeTG@de|lxl-olCaBU^i}+u8B*ssg&=C; zM2z$}U=vl$w~oRu&sE{y-_p9STVUPpZsFqQl zj6^l>Ns_~=9>gkLP(xuDFMW6a{inc{OVr>ca@9QFrzY0zU`a-#l#YE+A$qR$RvUbv zhI1PxqSO|r!PYv;$p>{PG#+KOi_~b451F}Y@PdCPRSiEa30}rj5YS66DsJuD48>%_ zuxIwidp`t|6H!RiUUGg@!`?ko1WTTO0HZsrQVDe$D$yOdAf)497ZrCB@V*x-_ApyZ zL|1(BaaHOc?Xth;oEu?SAIoLjIq}L`c`hdDaD`(ZRy(uS?N_xQ$)Q;F*q6P@jKM%} z6n4Of3!hfIIFl;7TcIPJw1~BMFJ3^ye4^EU%;%FwHj7;I2c-d>h>x+`+Q<_g7D72( zNKUXu+YSz2$6?kX*x9O;4NP1|Zx=2Y^`7A`A>b9BgHnlIlt`JCyg2^5a72 zM*Kz_MnYXD`(6C&p%btFE&Zsa{b>=8jFmoG0ChpKyEnd#p? z!%_q(v|eM)q%o8?I_^!?QMz)P8CmAvwRc&T$8L8bG&QbE{{ua^M2Qv2F`eL*l0=N| z+(caS7ddbjP1uB~P_p3-IaE$-sP zk|#BOE2%RkdrIkJA~yx)v-i|plf9?hf*IaYzk|a!2&sFU;)LoCC@5MJFf-n@#Pd^k zc-h#6XcMp^%1^~+EB!J5{n&R99}ADUoFTJkU(q~v$_W=RhiQu*D_kFe^CBnCngKZ~ zDtofYj(Tsm7Po?8TRbYtHWzNf@q1)fE7<(EqiZ!Mk&mjwY*OlhX;bngrb!2d1#Rn) zIKzX{XrxoCQv84x-O-!U1jMV-1mAsjx(CKhb~@(?KkNC7mnm1?^*|NEyqD=x^FBW- z!QNYTy5|4s65ozkwYuuTXas#;4_ttB|1}WZj?fMJ49rdcC`Rsk86UdkZ%4_nl7XL8 z>V2Ug0C2ZqMj7%48bFnOj)5MPG+#i>`Z+88Z~r|wt1l@V_(<3Sa`eu9>Md!z+W_v1 z>vE8+pPshSdOdct6-$u~3n3rH!xeW~1n%g0AwnnbwG%y=qBRv&W8^kytm6HkHFXH?m{>k_QT8)d z%x}Yq%Bx_R@&q4MAT-z@Qr>lZux0@G4YK?>#{KA^V)l93+TZWO-H)kUF{Qq;elr7% zD6Wt+{@j;pPWL6>pT_EN5x1+_k6H5qtR0}$yo^D~j_TDO#53S2XZR&Z<2qzuCZff8 zaOhIK36LF-&vr_8A=mR@rleh(#ZAh4 z>#aQ48wiT_e|ZxM9OKE%^PWx5f(S$9-S-U^lbob?Ztt!8Zp#k~-@t|-J_9Fni7E~( z&|~;BrEOiQ=*DiPGC7k1+en4QDYMpbp((7)2~Yy8hdXcNySoAyQPdHtddiiVZLwW#2jJ2JCL#5C2X2rCGWKZhZ zOe`UFSf6@6UXOPN7YXmgP}&pS!MEsu(i7ZDb}&({%0(uH!pG@jb%)96me+e@MC<#H z+1jB|gUfD|vg$pvXk&xpHHBwCPBV;KaX;4)BJPoX+1vfj>29wSC(#zHHE<$*y;3rG zY7Ktt=6yQbNpn+Z_gdLl7JM2<1%TfQ`coO^W008EetG$TG&+$36O~sD0c!li`JQC6 zR+ac{ZT0JjCL%;fgdhyz+*XtV@CCoFg8xF08o@pRDH-h zPy7ISJZS37dYrGGAxDi!O-9B9GbI8e7FJYlBA^CT$B>d)KdNf1c#y%y?;F4>)P|!BuS}ajQ5EtMnK+1l24yHHE=<1&qa^Wd)IFH02CI_E- zkAqgK+NL=<7?fT%T9g}o#00TUM{Il4r&Okydt@((C@#p6W-rk*q^e#2JAI;@c_`L7 zCrboto9ThZ716E!W^!An=e4_dW#`Ua5>u;WF2!;f(?<3;^F?^+=b<&7Vs?C7A!mii{G1NO_O zS@c|D$@Aq`Z_qO-fXfNPZ={4eml&ArZo_F*-D z;kYp!H2@3<6GOtnx4@Qc)FrgUq7ndvnXGL@=vZTO|9mJ7sAIlOeqv~TxIdRx{#Xmj zG44{Pq0b|k9Dww{{D{N;2)JB{I~Y7Nr7QZl#(P~XMYKIF|FoMSJin6+alNDPFLq%0 zgtay1V_i$DdHg8G5Dr@Vn5*^dxvz|2$$kdc=uuMo;QRe>e((-PZ+u+om%+lj^Z|Gl zKNt<|CUre)H8w$jA&4Zlk#729UU3_)Km2=k9mROyF?}nE}0I zyY?yA0-wTk0+#C!rzTh)!kIB$t4>-y;C+KjrX>Ene%xxT8|PFQuhSc^-F85J^z72T zU*cv&xn2nr#VQ6^ zpx36DQ%^YNe6pm*Fqo4lJ9bzO|LZ7jsrz!QbK1d6=nht?7-yc1nydj1Lc9)=K2Ii*nyb2 z0k4jd)yIJ^>>K#>f-Q+86va`a4VE3~gj54M@JF(=#kX$Gc2z)ZGu-8W=a8Hs)2wWv zahFM{CEIewa#(?MmUQG7N_F?3u@39Nu@mxi%jScH|IHe_i^8ohGMI7rQB2f)y#>+ksRzNcU%RdZ}i}LxFOHUZH!S zo2*c#Zu@zOBFugH7dPcQPFTr_Ufd)$dPEJ2miCk2A9!^qraavivH!A}&$^{)mbhpg z#R%2|Tn2)M>W}(iR^G8%#F%-zSPD?DE;A(uTb-84g58PUfP}*(T9slh52FiJhGxRd zq@#o`!JES)B=?{taemqj1=i$ztmeYMdJ1EV3FX(%xbx^<#<`>rt(8XMfRcQ(@5vmL zlE^OW<5_%p_2Pgd+qI<#{hcGVW_%lwgPm|qS~7s!DXvwvwfrH%_7+CbIgjt3rs`kq z+Tk3gRZo(p1FYLeqr@~ae4-5;L+guEydPd7eyS=yVTzBnxn8b(8I?dK6Q=~~+lVVB z12$u1wJlU${iZGX_+Ta`(Wfp55pqy+FLn3u@f@>4_b_u_l)<%)2z~m!%7(u6-gxf! zVU~(`uPuHb&HN`pASfZdlB1By_Bdw`=D=0!#G2%b)nN!JcEQ^0uQzW7i zUaJbDJqjxW_lNYWYs022&Zv-yiXm7G5Ixs6Bwd$C;zgO8Jz5I)ml>8C2h$7Z@YhJbnfi%a+I1xlA`59^cEv(qAdPN17c84b@s)Bmfqv*n}r6P zFHvL<_cP+Y*WQs`p9ho;bdcyZj)$3EzUKS-i5;s>ZwhgwJ9YUbXQ5OG3Dmv8XwF1- z+lyh3!P3}o!q8j-MfJ_wj4df@cc_Ft6^H{i?n1<#-hZqL3Wui$rBx!O*N=ZSCSzt6 zOI8@cyMEu17#P-qcJ8(+ocsqrW~NI6R_xMd3A-%kT9BA2+9&~Wcw8Ll*?uVRa~j7J z#nkh?OHD=p7P6n@c<$G`6$v!SNTIND9O_Z()4uKU;PT;|sdt6V`F3sFf!6l+RiV77 zIrG;6L6~VJZ1`pmA9Of`sOA`?;#>g_<^*Q~>_2elngn)+DXyDcj45oBO1Du4qA=*T z(6NKNhHv(O&g>wb%AUA)`()K>wP*KRx^Ix7YgU82UhOb$OC$I#|3PP8kSFT{7RtBKBSVaO2{1 z-*iK$HCNg=TnH!8M9+CLX&A?A*kg%D88$27X8C~DzI-U9%2lXbc4noJmJo!>Ge3n3 zzGXfnBZ~P9^)1{-d+uBmO~J5A`&&k5jlNBs>KtZ!?4>?djl&*qXgL~KQS0lg4c=CL zM*j_06tcvG<$^1ajbY~@H#@aMO%dDpZRaZY4RPC|j&lBMpBDVd-O-POY%Lzptm04h z&6hKh9DCbHJ6oS8t6HzUf+e})vcg5ZN&#rwMwS&8smzd!t(7IFy_VP8wz>QiW>+;g z1+S&8x96nK<^Y7Dj946b@~pv{(??h*)d$yL!V=X-SUe5Y#d*g$+`^*y9+M3e3s&k`OehcT@3w-i@JKoVn+OsjfLL!rm#M( z_sXou*dExi;C%Z63vA>$kUG1K)A(tGQ&ouhFk{6xc3n{>CetQm;6D(~;2I}A(k0Xp?l{}5}e!kF=pTE*!+s}7vau1&!O1Q<$k9va$ zH>$%ZdBLhs;#}ibNGc9R8U*YodXKze*CFNxPO+P)*INH^>HhJij+So1c%%woP*Az2 zsY-sr`!g{Nt$%D5#(V$bBW9Q(j;on#wPI+DkZx(rqT^(&j_Ymg^$f;L;EZ7qup`?R z-Mi|{8!Eil0G~E^h-VZtE>rTGyYcE|@yGx5+=Bo?nAN*x%Hzf%8)f%{&#F(@(}>AN-msm!ePw8R6+s(W zIkp4ncY8RAP3dJkDG3kjI+ACp6dIpAXbStfTpdKPbQZ)Z*O3=Qf||V<?L#3Qw4#`L04tjkSk!!`#v0Wf02&2I#C`KK z{4F%sAYT(`b?VV7M!$KxY($JSP4Q1eNXh>OPFX_m<8)L~jqdc-70rMvHQZwv%d2cC z4X0CMab@HLhlok-RI@`#DPOuDv`XQ9B>a!0v7QF?rrKWODb%`(G_Dm4=@prcjQvBn zQOmPTkk`lW5mVnEgf<*iTdA*25@C>VE*1(G5Y9*e)Qe3pq+JLiucCwyB8GurQa9Eb zzu-iSbx-F#$1U&C9Pk6P zmS<5Z9ml>u{5Vj}!z&h79JI_T;G)V}LD1&RUVp+?NU-vzD>-AYU?#x30X~N@TWUmc zie)TIuG5Ag=3_)A&e30K<2qEYT(O%{NW*4`LK`f`5CY5FW@(UhaeHq)c)k(7t$Ldh z>^MYQ1bvO&(+Q#vbXvwmKX4h-U1(|xA2hA&h$TkYjZvJ(^gxWP&D6wl z-4_#`@^M5?D+%x|dsi~rStQx{C2n!Gr_kDX>hJv(eOg~w5UmscJEwjFL)Y(*TY?n9 z*eg1a_+(N}%{wt*=FkNEPIO%=V6d{#45|Oq(V{?AzJ>CKK-NVJ7wD&W-kavr0+U%b zA+UF@Bj)m3!TC$7L;6>2h4!zx4y*pR6<&Q>9Wi~m6*+x-9d%G87<~*}9diu26?+Um z9S;oo6@Lr^?{Cy=H$)20yN2mM|9yRj4h}P=|3)&DjA|5HPWMi#Ztg8ta&}W3jkx20 zXZVkVUF_GeWin*0W1I1b(f0E_LfG5y8YC(I8>Se-U4MN4K4E_W9bKFVnb+*i%5vH| z$G8hZX&?Vxis7ND0BOD7QKsy5VsM8`{6f~~#tYa!5F}Re=vB3`*Q!1a{JO0p6t~!@ zft$E}#)lDmys<-zD73fTK&;CjBt6qSpOQHOPiA`z_oM4FjF1UVPVk|W<6Ks`KnK)O z=r2b4ZjSmCOf)`O?sArGD?g6WFmkAHDVBA8{QPN~W5~hxW{LFRu|$QSuz%ey<&Uul z*gwsN!w>NKdN5(%*m#~x@E$@%)8xBotqQLR#yQ zZane_N#G^$HJO=x;#s%SY_s)J_xJNn;^;41vy)+o$F zPn>q=SBx~m#57(Cw;yEK>nm+VBqjfr(4+FH9-8e}aWxqf?9MP6{d=P|E_GuasrN`!9xRHCA^0Hxg83J9o^EZ-2u4^V8Dfw=~Imi2$x5V*4&o{ zHArmZLN=)jh8vm`!~t!}H+N`LW&LO9m;+4e&t9tkviYef{RU94%tLef_`RGtwu635ncvqQ-q`76WxLl4l%X;_go zMdu6^X<1ZBh}Asu#-|KmXt`hAJ~2lwG`2{9JZvFlQu{pyV`JcG^amKPe@ zq<_`*{&_7a+n=sa^`esb8(A09nZd7EbH_;R5*4t@HNx(*(ax*U-#X6j_kXLK<5+9gW1Vjp4>e86?vvbdY7BheL;vq^)$edeBTY z-7HkeVrAIqckf{YXS)A2l5TXET2vmi$7Q=}*2QXbGcy#wM*@!Q@70;wGj}8~9 z5(0(!q=n{uni_}zV+^O&Tk^>qe{11<-FuiGRWSJD=i5j=ts8p~#l?Mi%VJPcZCwdW z_E;MfS>~HRdw>5lrL3od4tv{G|18=!F#v?0?wTWsV%XWmXL=je3q(g~Z+d^s4@GR)-)G^H&JvQ4V-O@+n~#)4bfXSxM{7 zibCV=e8yG(xw@xJK>n@tEn$jDqEk6xa+k72`9Usy92JUI2J=hX*zZvUtrmrVhFhE4 zq7;{;+Ul&VZWoiwi&}(Qt2m*zcSIf933f|Wu&_RTG?c$>nK9(VdM>&oqI>QbzxyHw z%K5u165?T7+ANc+tO*DA)`Ej2z!oYx`VoGWY_uz7N9suz88m>^^BTUG3W_2_Y}3o5 zR*3#`O0Y8J&z0Ad%iVvIaYZ=b0E&oCP=~>NTj!K^)P`D=je4EKg4T)ZxS;*HaCoq` zgMk`*(&nnNKG?i_NBWCVwJKt*QhX@3R-Xapuwak&g3m&WCQ|pYd;;yogt=iYMZO@L z%Cs9^ZqD|-Rn23aX?N2$VPa>xH*{Gg{o&?{go$nrOd8j7cf#^`E@mPPcaX`Dj`Mfl ztv)~5T5b}W&k?o6=3}CsRuOekWi3gHO7-C;M#0pFO!$3#^mKr9J&xW|!WGi$%<77$ zpOD$R;u(KsX&zL~)O!Tz&j25dAC+s>XEjlR@&DCY*G+@N%%e%B42v;gDiujUsmos=>aa_?TSt?U0pXO?%tkYV+HW z$xo{w0;Q=7-{^X$EX#xSjpJeVMbsvB#E5PBC9C^Vzf-q*Au|Ju$=lfOk;lNC zTyoiUfv|(VXs?_X^;<6{IYE+h%?XmB%v80b#w$$MEoqLc)7twDL1Xa3-V5n(*YvgN zTjls@iGCg;sE_M|;Gse?z@RF&)^e^;tpsjflEF?*OY{z(c18!SZT$i1;6HbRmnd*I znhhw*S#~c_rHO6}7RW8Uu1L1zl;rh~&P%*|RY6O!Mf@0dv)_lsV=)z)!i1-_jsaA8 z0*n_Tvj4o>Ht?Z0*Qfi)b%uX%RGrr`aPg!Q69`o$DWW2#E0Wn~DY8xEF+nmGGhs%T zGf`bsGjS<2L_k)xz#$@bMqt|az!7agV#s@=Qnxv?DKzbdrQh^xvOWZHo54ec4QnvI zNR<2@bz)Og1fb8ha*W(uh9C2g|A{v&owNVZ8;o1(4c{Lq$xA0S40(p5gbMC(p;XEuh6z zD@bAVqs`6mA`E@Y`^I2n&7EK45@4%PhPy&{<`I~A=tiPFy#sI~TDbx>fBLWpqwZ0Q zl1pr6QBhIa=Br$66o=6^kCS+^tC8z%iLXZ(-n6t^BFGx~6%Q3lb5W@F09*uZMFlkM z{xZN}pnD0Zx5*3PlQ94SbhXa{4E;13lrSje99>PYeC#9`0oX6rp?R+y3DNIA#fg8P z2N~2%$HcYB^uf`&m^$i)X+_4|;2q2b1!@=e3Pg;LIgoO4NNa1BzgEj|o z9o#%2GiGyc?{E}QH^(fMoCIoi2&g9z)4yu<%A&<4_-H`FF1J+>Y(pvn;C`W+6H}L} zIMG{3$Y4=Ue51J4I>3XY6L{PtciEd>&{21SIX1ay#ReobeBK;lJLPISN5vs5^$i2Q zEy*8S6^no83#;7Cik`ZwI5%U`ih$T@F=}HUT*l<;TlU}cP8c0B@RmBXQfew>2COVeVzCre;K|a$F^|z!X!TDB#BbF&9wX2 z6mwTOJs@+wMslaV{GQ%l=1zDQoz+w;IRH^_w0rSkt>~~>xS>AsEpeS$7^v7jj?3o9 z97craoU|A)Wv9#=bF-!{6aoSz{I17jWp2Nf`Pe&!k`SVlFGc0I6_O5x>wuMcVy_M! z8uy~l7;ZkHR8GfJ7v!mzQfV@_Q6Gj?-@wQyWd)}3++3=laE#-Z+>~EU;)(Pu8Q|?`! z@kVcTrJPw_t)&OKfh+1Y>mMy4ddQ#p&~JE4B)9Cs7dF47&G+}{<*!%dFG(Jof)d=3 zN6!|PbJSopx0`n;;%fz&a7fh8GjGdBmU9v(HkWhe%r};ETwTJKbFg#bq>;y&9-2!J zcWMYytSnnVTus)~3G_?wIf$INTT`zgct88GYllHB$OQ9f=#lY$`nIMn_G+ix{`n39 zToAnQ*MZt${$r0#^yMKY-oHJr6--$dB^Ek3mof4kOUnj*n+OR#kI7N7J&#RA2s+9A z?SN-HWdwT5OeWF;kT)Kb@B#YS51LE=Q2J)(xcI`qS+pcVbbhSO+xGy33Lh8Z&6Ve! zc+q=`o2OSV{VJ6S63^1U*4W#rTPVs5lzzTdqT1pTfVRu_KLtl+3JxHOjomD*Cv^jyFL6(32jQ9`_lE&0>MwdR=2X{giHZ5?8CgB@wu@FnCp{VZMTWy$i7lu)ut2 zy}CQ+j3 z04u-TUv~TLEbaN>caAVOBpv9w=qi16TpK9)dTf6nclIZGdi5FYmRGpFqm%4z+}=-e zDeXnq_{hpXBj!PMG7CZXh8RB3q0!K~BYA3%pv{y*H2*!hJ3W^z{I2+g4*S;@PK%bg z)$wBj!wSc*f+|k&E;|X}W$%^OAq57-%2&DV>s-(Zvb-fN!|hjx9Q%$c!~K z3gd-7Zd_$rMHQAs&O$7>j%0MXY(yPHUtyo|Wzgd$*)PVMw}&L2@EOp>i#F2%F_=O7 z$NTtpD%ih-%=q^AG z2>B$jgZcz45$bbrI3%T2qEiH;hqf3ER!lLCTKbjQr(1^qF2x&BlF_g|xItva-TYXS zbi(#8`9Y=F$lr@=HcWZ;e=T`w3qw5?4)gaxEDkm zByT46-59+vFaV595H4p0LX)85q9Uw3Nf%w5?6v3Maz-m%nl_yWm?-l0dzAh%CeVzKXV zGSdQB1Hsn{{K^e9m>SrJ-?93cZ|Pv~w4>{>e>3y-+7*EqYWTJ>}HzjmaahqdW%V_uBxm?R7d_$0r-wX7EfP~G7uu`PfSpvE-+HjGBvwq^8O z)z%jBqu4YfK>$y&sE(_i0I1@(#pHjA{z@atE+^s6^)#{fG=;3I0Eh~l!cNx7UOmJA z5A(CfBm6U#G|r<{Stq@+x{~Cx-|a08SZ&*47|(zd}aCiB>B1- z-hLkrd_DfUH4gm3`I3t7`7Hf@V*V67+SBk<__ zvqY2lWcwqm=Z$~ke)$XN^mRt!`eMlZyuAJS;RO8pJiGqv?>P?yT0L+Bxj(pnf$oCs zK6zO9T^Yzt_hB)pE_P7*zUUN4(BJchghDC-FM4G`3n52F6Ot&>3>DI_p`*@P2AiDO zSpfmh>Hh2UV)_~8Yj659UzOn0i|G(`&q>@>hBp5lI|PFgY&4&K4k7*^UhCI@NMyVK zO=ykGuH7CkD{UftJn_7gH6kg~nOm78X>tL%ZcTYP9r^(;)p|28=U-w~l`B!6 zyA^av?&mvEHZj6p8nYB4iOvz5oW_$#-80FZd%K=H2$xQ@T6bS<(_P`+RVKQQ69tF39fhe9eTftYX+VZChg3k*q{c zaxp2N=}20^n6Y>iXA!Zw7R@6s={}(O6Elx+?~FLC19@7K%84;by&s7p$>uqVdbkm# z5@_Rh$sG;HVKj_HiLxFXd_`#q@hEVmYlln<2xVNe2J@IwV(Dv5(mFJdbwj64i^L^b z_~aX=nexp|9L=2!lb-Db*U**x~MozB2*1G2i8 z+W43Z#%TNUq=&r|5OPh)H0%|b^7LN_-ZzpXBe7)6bKUzP;A= z@l3tSP`=Iu!v2?ytJ=vvaP_@_f#*N06!;GlzyF7xR^gi_5|>k`o&Di*oB!e3e=$2` zuTeW82eu$U;hXuzFOVrF0}w!V15N)G*sKpWNN0QapW5~cq%$8M`u|#@SwxU|!i-oZ z{&!{ngT1%ximM44wG#-z3GNUG?krx1b1@ge%|*t zob%=R(7U>-uCCtGyJxMQHPyY%v!QkAAC$8@KLklkJb#(_DWUBBM~Ojhs5PKK)tgzP_JcA^ww3?;_$^I4#dRsmEN;~ z-vATZo`os*P&Y%|y@5ZW)86wx12lSE`Zlzk+)rq`mfz5JSqsA#5!~k(E8`z5{$u84 z#X@xDU7@|qU(`le1+smwbLF18aBJWnpp24_WN$q&@f4td#>{Jh(#G7~)JSa^TTo*N z`5iOVFkc`|pKN^&Xi(%{@e13zirE^zK&av`W|*Z3|Now63I8BuMqGy#$Wgk5XyI9^ zu#QmsG)`=Ix09Mdt z#Haq-BJ?j<=)FJ@>kl@%;U5$AhDkF;#PWtARm!tC%GUQkHGIE!5PeFrj0iVY&Q@L; zZPF#5jjAA8GDp7%{V|14Kbb2cV-S&ALLg3=;3H6+Q()mb8(95a9L?nX1GbwBCT&TX_>IZ;UkQ2Uw0OU{eI|)8U>v(MJLB%f9XRr*{UU zZO9<~DfajQuf>}CX%JnXQ_ThY$;E6qK^b0EhqHV*`4K+}&*fGtLvcc;5G6I*hG5U> z51Comh6D&jq(`8GgOeHS18r`YiP&O}Jc-HGenI2E9ac2(?#iFk1edVL z2#3j%EE}vj$|uyfqyxDm^0>Tt5Q_VLY~E>CN06WkPyPO;NoATm;_#8Ir5mLo7_l5* zu#rE?xkLv=v|WQN-@|kgro}9t#!E^AWTBiS(vD}Molp>WNajUu@a;vy-~9W$pinS2 z*>`RP1FP@j-O54de?q6Y0ZvgLGX3m6y3D?(PuN&UV3m&;D>K4y=}Vp7T&3KS0O(Ui z5(umdpQ4#g7Gwnw$50r;<6TU@@Tqz59b9cy)3VtjEZLQ-958tLkRyqa3MUCw)#ABm z6nJ15rfr)kCs?BTNO`0uf2TGi{--du(y#(}7#wP=7xopiuPp*L{3X(lXMwcMh~TTO zu<%XNM8)9!$ozFbwaRVEyBtL`I>j>D50jZu-v%gS&8{)eOvZ8HiNZoaVl6-PO634c zsHJFb<)x-)WCRbrhv=zSMajh7Xb-O>!s&!f2pf^c(6YzR<}jfdeL|WM!E6coYT=M_ zY#LVzs!;rhu=Ql#BWJm@EVcs8Sg(vv6i~l_@I}6MQfk)V7RZAu_m4b%=&F> zH6f#jAFLU>DW_VRf6c{4_`ol*MYby%7oqp~K{JtrQFnu&zMe=!9|g8IA-6^JK|ST> zjS&s2&hP-CMt>h^95P4f7a^#Bi7yJY2)fJI0~kKVxUp8v?fcFMo;mkuM6|GnyOL

GbTQukJIIB3+~~nbj`X>4!+`>S`l;Qn|z) zq-T2eFA{cSqSTC=d+i)b;|-5&GeqG+RrNr=0%K(iHsgTRJar8G?}f`?%fxaR)L<<{ zael@=WYY=1R;|Eb!;Z?eCXQFRLz=-7nZDr(R?S9i6#fS-lejlH zyNJ|gKn&3B?{f=H^#&06nm7$&t#(_IL>SW`{Gaa={wf~GKLPeG8P%V@;mO2XXJv)z z%2!=8@`MtP%4lIhB+*7IGvx1R@RJH8x46@2IijCpng6EDH>EqyM%_rV)0ugs8$yH* zvFc#IUZ50`F-u;nl#263>M`=|7wkz2=Ps)G>G2Q6esi=9u-9==eYah7A$m2;P6Pe< zx6!=Wy1!ZqZYAmEX6gn!wOBvdE?z?obqaDVV^AC3t?lBE0x0ZH?QgI1zR~>pKZuJM z)W-w${Z9pzkDDogD6lC+45H~k#Y!xUf>XmuP^q{$YiEOkfupsiBTh>>P45Uz086FrR>28a?OnE#s4 zu$qO+6fO@5N)Zgm9L*2BwI^DCIsnv0PA|XL^aV1YbMdTc_36{u_b85$eir3#;=T)c zGA`;KgoFe!Nv>%y&08c--bY}>4q-}&kToG!NL;`?Fcvq5Tw!mJBOxJz31fAVBP2ro znFypSOf)cf94SRj@vU{Wv_a8&9Ic3d@KS80d2IGFX33}Vz}>OlYbaZSV_SZOB)ZIZPNy(>2IZ`?Rh$5~Z$%nc?E;;5KAXLguqYF~Ty|s>60K z9RyjAz#v6RIQNyjA2kax_1P^KO!#0;>Vx|$mDf*X7x}S&tkS}Jtbt=lI6wXc zfnk0DHmZ3Nh6?)S#A82D9O5?|Ano0Ah<@7RQ7(_Rz%IIERIx5HuQCU)P%C?GWZAlU-3ml%hgZSeI{kn$w$qlphiX# zh#Ncha-f6}XP=(>xpU0gO-1=X1tj-Cn{|P|gL?W`j|(a1+L=k;Tgh=Vo7rydUUXh> zLqu5J&ziJ&zxz~ANs#*%d^hR!eyHYFZp9DNArFc8vy{N;V(AVmQGZ!FgVc*S#C7Ws zZ1nl^S0SOi0gphQLb>c`UG@k`qc1L4!THu!Xm7$I*;Nn}X7QurB>sKxswdi#Rz%yAOq2DNnL@ zYKX8>?$~^uh~(i6+LYcJ`ecszD@ZVU2OfPidAGAt&E5LGX-%#tfDKThw{JpIZBP_H zoY%6=rYvX)V^%b?l~Wfan&CV}U!`9AmhlqAUglP<9B4@x=Wa&J_p#3g&(}L5Tt3C% zI4Nggx0dvJSebJigN~5|#bb<~rA1?gDbj*gjU;5uuOFTyRGeRnXnaWAErPrzS37IO zL2AW5wjw?>HaF>TNhd4_Rn!gmn^pfS3yQMNIi3=Bh~YD%adJ?Wb*|4Yao8H0a7G0u z^)f1=Ujy4Y%`jVGsC4pYWScOYM5ccukj6_)J|TOXD6f#+T zLkHgq!oJQUBc-%$g`b;!munvC#7%idvkX8UEy=bX62*ID8D4k#eENB4g5x(=$sRoG zZxo6=7+4X0_%UWAuyJUp$}fl1c&)D!Hl?ML0|@q-?wwpQOZJatXRu~OpcB%MDi6|n zv?BM#5!rBNO~Y=(e|1k0qJW>xhz?E`j6?WyvK_t}m`G(Vat-;QNn};4L~5shVy32$ z(YJIKBcKCeR@RrIPSG6~7$EMyJ`Skw= zWj&wMMrY4RZ)rGpUdP;a4=ir6{QcR+!9*^Ss0c@ts6_ggw(VBNsy{2SZD{5%G+ovO zxj2Nj+SM9Z$q{2*Y!8!Npct6H$sObrfwA=~lN`(S`{tR@Aibm&JSNBO9^L$<4u=54 z50xH_5T_{_YhWP9l&QGfn+5HdT4_F-MY~r0QOl@6?dSC@x!2c zSmZiNN>_xnt5D4WTMK`R*2q8QoK5gG@a-H0>*~aEFyFS)|4_v`jnr#aDM#%woya#u z;v>-2*OlPX8XaW|*8TQrwxitD535wWf))BWwENs+V;nnGrzvq zboKA#fF&nOArJ2?MC)F#pj(`5(BrpH0$W_cj7aj1iGrrLB>}_U`YC-q_#@27hBOYm zu#NP;!Fh((&q=Xm-$2DCM;1Hk;H~xFAa0{@4&tWsuj$}%V6MYE2ARXG+Bc!&eg8&E zZYSx4yM0S~O#ONccBLQOsy~O_agar=e9z|z&M*TwLBO>6`l_}fp$K$-1<-VgebCyi z=A%0p=1nUe6$dd?x(ye`;e_i%F?@4iduL^XUPW0mc%qIWo6#Cb`{(0&_&WbK*CcP4 zL#!I2<~sVNf`26@T5JKTbKy{MH7BqMl~9!I5hTA22G3htYqC?6$+vnm?|w+5hTFQe zxQY`=P?(1pns-RP!KYC~&IXqb{MBirj>rO#e;q3AN3ohX;_`ApZHNAX>9}d5|M4^K zsy>`|hD|OX@t@A)LTVh3QXUB{{#OgUSpzNbZ64(l24HfB{cGB>GZ}Ak7{j8 z&m~EZX}*kzk`@aU@6yzKbTEf6#Td}d;FaTSdTuGE-L%MGiIsG+Wn%N5jrNqh z4~%vTm3xi~*JJ7k;c+Y};Yr-49r`3rGJ`9V?_Fclt;e_w4};U+*x4YJ1|AW);ged< ze?7E0J!qJlMlpuXiCmG>KTb0lXrzhNPGY;h`mNh~$T1hqpk0_hU3p!*ec>hT^o>aU z3?`0E6#{o9rh0(&aDDgq-?G5i9d99i3iFitZ#kGYfB=SU%IYi1bG^pVsTl)-9b~%r zBVc$z64}k5H1qPT@yY$2?08u_lf}MrtOWb6m>iCTd_QXaX!+-r-fvf!zE-%HzJ!;w0kPGZ1GEL!vPINCrV`mAnTkfrg~5qEZNOt?!qc zoe`pMed5qQ| z@4>PrwZ6&=iwp?9Wx*H*C9aOx<_IB%$9DGfzTuLcmP9zhiuX=T{Xrh{N4`po zvmJ`(%cJO7xzgQ>f4Yj8JPc=4%nhg#@?3xn53}96Qq&gZ3pq2tp+vu&;1wuVw76s9 zt+15vcKEjpqY8b3iMuA}a4PB6iflTkQrdK8$!Wa&Go*>IfcU7?2H8vXswGstK<+U0I^l$VKY1~OWU`H=%=0fR45y^LL_PGY$5K?K>jadi zaXb{#O-9-0wHSzBp6?2V$u5Tnk)jfO&1yHy2-QA|M-$C0D(B@eT7H{|32k50&Y~P+ zD>NpgD5MihjwS5<#_4Ibz)5j^<$VmVCW$T%j}SsG)8kd<@=bmL+=VPbc$6$B`}BHe z($p!b#ffLsEislA(y+~0|2NH)Ta?W zNP{5vT~Vg5E!9_APMW()wQz0sTen;>Gv(HXP7*ezPxWlrMnUUiF~dC3z^ zR!G3$4Q6i}EdHqC)rb*igq=GD2?hc-M7(KKmEJX`bF^b=U)JvtI@7IMFg=Hdoqyn0%BTB>0wHU_+O(8(rVP0V}J#>itS-{6-T?Nm+S&cp3> z#vYh0m3+_uFSZt!FZqN+qfviW?v`odij{p0I6nHD-D;vNojx&WkuA}^=r#CTip+~$ zaNqV09Zn;h336X|pwfR{tQPJK6n38u8$&`VO8LcJod5BoyELQugO}|BI!l^gNfafI z4jdUi^Xw;59)$IbLDHCx$hEQ|?zUirgp!qyNyE1DLcn2io>sF=g8IT=jjk>#A0vjo z$*mZL8|IY|xc@Tauf+h+-~ktiLprM{Gg+N7jAsiOH31K)ZyEws@Ny}}OsT}>Rw6jF zsGs{|jKxwfzeHG1*H}DgkWKDH$k4XwDzc+AY-*h&lP`9li0adee~|dR+k+lzKw~C{ zJgd@b%_R0Mfl;dMnVox-GP`4N(`LntErxj~)IPxu2LAdAIgd-e&1o%kZPw}xUo=WX$kY@DZay8SJjdxl3MY!yIM zG0O&uDbd&mR7HwQZ%&n2=WSj))f>)m$uEv|hAPs^3Ko+~bc{rzoVj%z*!U0K53HJN zbceLcrsGfIm58lWQC@q6(iV0a*dC6W)ai5-%l%7gmkCcoIw8(tWH??Hl=pYeLAS`T z7-F;NEJ~Ea3m?Q#&L1+NTpl{$`)1py76-|ykD(Ax+T~x!nF*)RNYW*K(nzF`np3x$ zOZ>@%H@_-g##X-92?%COogqlI&db?-TB}k4-{9OYDY}NHA4L`k}S#IWk{c4X}ca3n9cQED(T(%Ej=9dDCx`~V0@l(&E4}qUny#?%TsnkSFIfv zjdxL&oqNPj$f+BM2B6r$Xs?j*O-#m$mE;u!!Lk*COITB!I#EHiMB)jsYP=~P2|2J> zOmsoyfDH&iy)>6Cs#92TgZCYY2s@k4Czy+McqcJ!%-yd>SR(|Yjxq-0XTe8rDx<&{s$mE1em0YnQ<(W`a!rqv$OvyEf&(=zK`OsV!Gm)k z+Fk~!K-6#3mN@1}?}9n!LkTq$aN47G+L<*2F0hSwm8@I8>;Uw3JYok9#hm0=9XjAo zS$22+H3Pn|3tL?p#=Rd;EXcC~+8cI~u<-OTh}w+L%Zk(oZ$YEKL9xW;>6tRfZM@9B&RC&=v60(rUVmm6xsiU1;J!eE! zx2|rafa~e%Kx;hh_+(Uon9-Y0paS0pnE|}QWY@>u!C`r6K=&hZ>QB5$nU}m|Qy_7?bFQ25FNuP&c|z;bO~XxDD~R zGqpIZP_?D16mX)k&=`w06W1ZH+Lnh2`qjY<3z|Z$a@`tn3UQd@%p}&@3Uk-OSmy2> z?7_3An7*}~;{+EGmM{g+UgZ&bbaj>mETSmisBi2Ww(lOUVqf#Q%M>BWnwoI$0=A7iEBGl$d z-=yIT;BBF3pz9@;4I2R~7aER&m8sn{d0WzGSPjw4Cn)sLO6r#N1QM%r%$g9z_FZUI zuN=x)vDgim!;{E6i6_*m(XE`x#qOYv@%_E!kk3LiFNFksU}bhrC{aRbfJP+-cA+Jx zgx-%bkXV)z1A6%m&EB{2EvS9zFge7JRz{8`iYNq^F!TA<5iIMT5%4 zi<}=jQPwu}uPhYn*iFl4*^?`Jt-{>nCo^{hy)BC$`1w?OEC&c)1r2AI)|yUp!UZ2@ zhSwMlh!eZxX7shI&U3S*<{3E|!3{L-kSqkZcWOZIc+-?d2X01GZZXrVdE{4jXPO7&0E^ zJ`IO%gAHR&V1tLNgnYFiXTdSU^Fr9#@)xja!w*8$+8X`v!jm44=+@f!U!|G&4+d*E z6mPOdcHLr*gbsrW!z;UrdZSGJE05IW4=B#=D+K&SMp?ckLptdf+WnnHM%xc#K}^3M zab+LW$1s4~S09%t{Yv6QrYv_W2l8b8k+|7bN8=6{OyOQ#azXU}K&C>)MdqS(6LQ@M zf4_Y9U`OcbqZGNXjrEnrGXrTA-*bv^Gkm+Vet+K1uTW7mO&!GN`Ee>PjdA+`mvjdh z%d;p3>7$l4B$ceG9RLt9@7g5OkDBcx=j6%|8?hK{qHYK`?0%FOV^gRn?jhc`;AlkADy^hwNsfpIeJdK*@3&c*YYJ2z>Io2} zK!Cx!6epLg4^sA*z+}LqNVA=y4EDTywk|JZAxS7a32|carx~h4950!y)14^r^)aK| zaILJylhWrCjryKdTE_Oxv0uJ&mORr7&CD&te!IloI_7&}Mtd?jeK#Pelm{y7e zVm7OE;Sxm5cG^rEqvn$wnC(iLELp_ecZF6onKk^xRDQF%YQIzo$tz=Pw$+Oxvj#HlT)eTNOz3Jlz<+8kTc;o4Q7 zc`Of0IeLFC(?8)puoq%-JrOVw+yVx6V`!7*^DM>JEjC~zgT9%t{5sY*QT-M21@jB2 z8NK5(Dl$Rj!VNcI$XYL*W2{@lqnL*@3zXayy6E6*B~0+)Tw5_Mp}8?w<_}k{-Qrcv zo>6fgLvHo*O?yU-DaE0Ya4~WYO5|Xg67IRW)&QIRkvU+!ebRa_ufdu3W&D&E_QlNK zWB$yVf`9?C{> z?lxMLJW;rmcoNE4H+2;6mp&hjkmmNm7Zb%%0C+~MD5CZ2b~J?rLuakX^uQDGJx$%t zKmpF^Ph~=nIKc4F?sT^gLfe91-|6_~C4s|){eQN3SVT>(Oh1ZjyPa+aJ4&tRPKFCg zW=@7N6bk?c;qDcA?2^2W2MJ`9*)=p)v`loo*Z~xFro{pP_Fm(l=t-T)p zk9{U&UdRi&$4a0hea_+dkEJL@aq!?ST8;s6f!v}o@-Jv50ov~Zjtp9)*^R&@JE%FI zxT<^g^{za>?*XC6!vDN2Vk6eI*P2sMzo}p4lGl>atw)29w_vm#|*r&uqV))G`b z@umm<9b45(8Ddt&Q39vEUM3!zpj2UcK2c`Iu3$-0AkC5mIhl$M;n=Erm1iM;vP)*}k}2dgHyl5f3?kgiThE#qrCWl1(^5aW=zMECs;^#lj@4@32bkQwIx0*a zk8;$MeZ6U}fA22ExxW-*YRS*Ho_-@%jJ`bb@`m$gDn0tMYU_>XppXu~x8mnTr^uZ~ zvwvXe#jiM$CUtP&HFPxXx?ivovA~I^(Vd<#k-`-fzcpw1qo%bryZt z-zK5M7rwL_;PsUv9=YMCXVa~xz-z*@?1B~VK`pKJ+YGu89==NA3>!g=enI# zyG`gW+&G`^@PmDWO9sS_)h=nt3$B2qB%kS~g9C#za+!|RP8p4hmY$?EpYgy0u)+1W z$c}V98H)?5fTS#+@rr{(gKNg|j`U4AkBgG8NqIgei3djp&(w&W=?1c47bU$(g+3=8 z2ge4!WHOz2j?!rt!z@XqK353`CkDP$k)3#TGNl*80ZElUSH%aX2EO#;op_hBtrx?y zNwq##?FVNDzAT8qbp3>wtx13Bi3@W}O3Q~vsibC~b*Ax+Zb)|Vxq*jrk{ga3X;uD| z{sG)h1u!bN^PkK%oQLHll1B-&fT4xzFOr8jw3PgX0lNN#113RBn`Z{bV0|* zpubk$z{r!n&PbD)rJg?%Z!LG4LF?aImy%H3fvX{6cZ?sqH*|cxq2t@#cTo&wHK1G# zlv{;94hXcndl;aqPvBNZH-?6mr^`vq=QKn;3^MxKq(#-Q{DrB0~afd=^tohXmc2mT8E0RDknGQE8l z)lh7MrCy}X5hN4|)u*8PDHMNz;;&Hr9mj67$NuHuA9Q~F3fv;->$|`<>Oa1>2hkvE z)jPkmo+p1sfy}_-#{5s`fpAs-Me2ZJX8%7%g1?sgg;A@+4|G3{fLp!wU$jq3kpChu z{4}xDsW-VAnHlIfkf#xFx3D0| z>*PwtQX4vV!k3 zeJ5$Y@806W{N0-wc76}^$ie3Ws9aAIem29&@7YeXd_I86{gp4zX1Mu1$16O~2T*yw zV)$%^m)~=J2>W~hl@Et_&t~}fJ+Frhmp6_EMM_Cm1Y&l1#Y)iQ0g~;uOOy()2=?tr z%akgw2srG4-}BA(IX;6t!h}vnEaltIas4pkX7R70SiW>a@^egw_X7PItmBf7SQrq0UzI=mGngdiYEq8-AWTuj?nksqXYM&tZj)(bH2|)yldVy`sa*a3?hX z>Nn#GVSZOIjdM)sW_f-usOK}oGqv<;!wxpy1HriZbQFzuQt=zc``rQ#58~Ef`VrD{ zFPVJU+1hV(oTXi|Glr#jN2TitH~qzPW?f&LQcN>5Ko5$@6m97ktKDV|=%Ktvzoy_V zHnK0PI=&0Lq^u7$-pr47&g{{8&>h}D_v2dxS0Jw?tD&6y4$5R+YCdd!!oN41Z#y)3 zA;9|LNqH3)IiEW*3Q=KgyKFKs;Z9%HPd~gIvCBBt{XQG8k2>GR+PO%v(nha!<{xi@ zAnE-H{nmc_?4DF>=dgSDyw|OvVDQp#SK^c0_<`3*u=EZ4x;ZKOl((SB&cT*bW&Vjq z@=o6$RAlzU!}d=^H$jO&p5&<}eg|TaOaxc^n;SfDB6{v&7w&~!TDb1~L7xlghS?FtWCU5T^`e$f!rd9~b;dEP_d|C?yq z5vu;6Kv(T^Ze+_ToS&n=#Z%J!a}}*vN`N2tpSGQ$m_8IvvFdho-AQr6J~$Be`@)&4 z_i9PA6xaAPd*7ZIB@7j#>03(E=Ti9pzyaod%K^?V_4UF0PtJc7J#GvARaw|3+>u|B zSLwJR#0o|t^@Tg+%JS-;I6$gLZDkRI63a2w>Wfm#wpKeiF5y06X9=hj0=F_-TI)!8kRVt zoHbVY9zlr|*|lzIMi`6Hu05lDKw1HJ-Gs`Qp!F=%>b|5qm-L>!piDv0FA%l{i&Z#? zgd}lY?JTmXetL@OyttDPi>{bJX(uO}?#_2tKd%}Tu>g~P$QH~GJh&#Vq`0BJN+3^3 zXr}xCd0xgfP8|LP*5c0uFo{oMpPHsK*&K0`hW+cG55F5&fo-@tYs%H~0N&bU$J*7K zq{rl=%P^ITtGoHdH&VuphnGFxKRyOXqf-yBsRnXAhnd~IzIE^ma04w45{i=ot8H#q zU(f)wkf>5Q1EfXuo&zKr`OWL_WI6|cIrrpS(h*GAUD8X?L8zSYL=;ySX+s5mTTj35 zrg!AN#6(Fi@2Huccif`q%P>4IGN)cw;El)GFpK%{*Sv5i8^!KNq@#?m=vAlpllI5D z`dQbT;+$IVD>}v5ZZEtea9ni0^WoX_J}<-lp$`D=p%FPIQqku{CBfHuonR#7tV@ty zy0RUDTkp2cW3<~C+*fFP(wC#pM5UBhT(GmQUM3Si$h9SPGCGaHRGwd>w*Yao0_Wfo zdonwi@T}-~Go#rC#th=Fa@F*4D25pNyIMxqN*wR4;cj(DN1uPt+lN?me4RLFMpGv0 zdoK2H2O)W2RePN@(m|{;V|7}?Nz8x_>$IgzKHH7v2*eKA;co*V?mgNnP*^KQj{Z6F zSr}9Hrr68cMeXQCR_tX(hH$g*$3w}%wAneP2Wh`(hla3cGJRW%X-#839%0KT&TimY z+U26u^P}{|qwZbF+~oUxha~-d7dSgsPe^E!cE(V*Cl4%Lr8L%i;M;Vh@mzSk26%ShuL$`H~8Z` zyaS}Io|;*@jhmRwB2b$|+(Nelw*6P@I+pNqx0hC0$#y^875q*=-Bul_iVeTj!z5?e z?WZf-u-(H{qUP}S+sw;VHy$B2gxSv&y3$};SHZ6ArG@Lb%PH#jeQ8bch6YHNY$iGF7|fHtVZPnXpEKV%k)nC>51rX~D`&7XtVxgB=W6s)_TzWe`t zclY**3|>&*9SFKserfF+fnEIRzCIT^Ohn|ZuLC`rtZ0m<(v=ZzczreF2I0iNt?RLG zR%8S~d!(1Dx2m&|lcu-w!j~@+RXD?CQpF9PtuY^Gd$66BOS@DFw=?pgFkm0T{kGGn z@k4hN5Wq9|sW=+dcrowjbnf(K4e%sZHU>8gM&gu(_k3pAX!_n*unNMDKUE}KD z3#ZRk*Jab{>Wj24S{F+Tc=$z6x3CSf7bv^aHEM@a_U3NbWzZ_?Wcd_(7O}xBjulm~6Kh2J!XVdsq zH#{vt|H$(6gET&^kMd@Z`Y|>?ZI8->m><_{KwrKF+wnsk>>eZ?z~?N>;mxGYPXYL+3n@HdSUSCy0L9Zin|vroF1uk)GIQc z`I4LVQ7ht;Ei-jRp{}1>{Bk6!=jCgwpeU9C#Aqt;H(6DELUAM$)(n44!!)m(xToCru<4wl;>aiQ~QAwqNbHyE3 z3hwDM+SDO|pbWKz&u`N)PmdA1e{Q#2Ww%cnw<|m@4R0%LgqIoZo;BgzerFClmS?*0 z%-s6VE5RiAU3WDdj%d0&S*sLDe)6O)4?ft1x?@)!&)k=HK3}+Ie40Q3besXJ=Y|bE zk&64YFlCO{4pZx;9NInM_g>gJr`cUZO5HpAi95d!-Go0AaCk8%Zm@9nH2YkgYp}jJ zL_yyt!~%3=)IW&YLT&3^Ss=~_sV3~t{|Y_5Rk-iw=Qk-ml6b8*V1mbFbI#McWxMLC z)wb(3F=<9*wL7F`v#^b1ISSG?;4m>s?A6)i`K@tuiQ6VDW4?Vh!->SjGIhY20)RiZ zF4c;=;Yo_l-OqR+98Kc}M|CLn4&qW0>Ah-N=nwPj7&n(xQ=(5)>rw@DBX;4V;4S3`dZGW1@4Xwyp?jbbgbEU++ERU zNiU_GNAx=WY&#gId%`3fRh|Z~W&T5B*3QXKz@mfD%u8OI_1CiZ<=>sG(9qOh0?+J( z2Oe4e*`5L4@L1rHIW7d~AvbLUJy0=OG$14l6;REW0dfP?{#78oyq4F`#{aMQ-y!(l zS@3`Tiy-VfU*q`yVCF#>Aa@3yJ?SP#)&>IrSl~O}5eon6cYtNgHgR~WSDm^qo$O{6-4lkQqb=N zilzZE+7^HIh(`pZ5RO>W6j*4EST!bw0b{D;ct@;<0D0bUHc*pZ4y@gpBNSN4HXZ=P z#F{)H9i=3QviFMf!mqXShBsK*3PIzW`SJna*ZvEcwziiObgH)a)>X?elTLr;#f5K( zc=Vy^E;k2u>UD7(0JmImFR)8&)GC?m8dW!An4{|J{=P?SbU3ImL)XI>;EcxF$y@e# zx-<+J)+}TG&z35g@8YD#R(Lmh1E$g!&b^>wCOplx$to^sG>oL6TKE z4>D`471B5N$tImn;L-jT%f&mb9oIOzu%4Er^ERY1zYVK2UM;xhIA=2p^$EGR|AY-k zTa7j(r0-xMHHkSL)|6+V)9Zp}+T;Ce_)6-S7vkuDL-+Fc>$bUFPxihgCsVsuj%m=B z9gZ{yVBgx3wWTn+((UBTZw#4E2u5YsqIuh|yU8BsDa-+L_;l3a%X?7X*IbzQA$Q{* zDbLxnGe5!BqhZtL!&%eC```IV#@6q(AfMb^&Uyz27AEgtkK|kcxohDAJ%+aUb#BMUht#QWe?{gyY^+bcWLrnaJ~grOuoye*w|W*$&vpO>Z`kS>9P+DJ z{98}AN9cLk+GwWTT7E9LAC^xVB!L!_=#7l-Noh+mGG4;6)4J_emLu+gEk(eQM#0u} z-O&*{7EBsLMjtaD9R)UE+`Z#k*V1zzZXL;k#jqYvc5Fw(P;3WV_$4q^R&))t^|S&Y?z{-pHFQ$-v({8q*Fka0cqyCTHRC<{XpNY<_b2lVc>phe__#tIXnp1u z^qDoyL6_}(zLn0VZN%P^I&D34)1w|`z0xu;`sHsDYHEQ2;t!@9RD}*WY;H9PU;Krl z)MVdzxC2FgoIp|8jBQ@C8iK|q11hQy2_#`elOYgdWx%{FndcPCv~yyvsqO}`Ukj0? z_;><;Q(Ilt?cDM@IlMNJca}<;xYBp;DHqbS%IY$nwEDc%TdP7$5OJjkeHF)aa6x&v z1n#&sTrrJon)PoasTUt~iAH+46S3LS6xWpx>sM7)TCC)PxrkngFxHsj=0eCY>wtHd(C)Y;kF8yeYkR!Sv5zB8{pJU8wp6~L#^CSpKzaGA{}FFJy<(2U|Qnt zv!WK@k0|KdEUQH6R9;IlJL8*1?ZnEN9z3oQuCp2oAmWsWtLYTs%*VO2TBaxIlok0^ zGMDfq3TY>=U@$2^Sy+(?3vE8fAj?Ets15*D9^0HXxgb5T(0hT}gYt&Dq~e2twVvX} zOI%nj>wL9Ol^)wmdFt=+zSDvs_c{fY;MC4I^`_tMEmgR;|etaJ5 z46G4IT(+RkJ}8yqbetLAfS1dpvyBYA)?7itH1DX+KS)7#ZESNm0Rv@&MIYC3vfY}>*nMXtcNQVu(N4aZiGvt7t zJD$BZ<8J8?6@Wvs*vKa#+2|;^Op=;*M+ukHz@vC-BrU)p#fok5;m|5#r6-o6$}4C` z#5!aom*z?(V!u!-N5V6aHnhWiuRM)+qBJ>yAnTK9b1cW%g3JXf1LRF6tG?v0C}Hdo|yG4;tKo;#6*Qp7R?r_ZSTTx5FPJ0dwKshAaTFm`v~$>mtAH2}xWxt?VawAJ9;Lrm>XKa2#bEwJ)h3z%fB{er{w> zdSvM@XDp|gPWSPwp&36|0qw-HIb)u^6!5GM@+ldYPxKW~b0B?P2TKyScXa}>txv5f zG>u1A^l5?$t7H+H0TjE)WN7!~Kv&%WP2E}Ua6hKX@_KQh&D`7rD`QR_mxw`3HnT=Z z2f)fd?4Mj{=YQY0&^q5AgO&HlW+w}Vyt329=tfNpa>Ur6J)sqLL1VX`54$iv^5Fe= zW~B!E0#d{$b9nDdUU#kNuPj`0RD7YYclQRQptMXDn3Wr%QT?7Q_~Zsi`PC^hE~b)A zeim!-bbH0N&R;7cJ{>SuAjy=of@fl)xM~Z(crFMKaZPha5-ag36l?I*o5bL3UzI?X z0o$_J&$*f7{Q6D6tt)?x-)g0rvDUwURhT~JyqUw&Z^lAnxR))pELNDFaHQe}NTdIo zUFpjdYW!7sHqyWbZsrF`)*oymD0#AA@kH0J-Ws<*JUzbLMf{OK>fiy_NsyqN zEHDR+=f+f1qp}sF)Q{17qM~q@fNQ5sxUblX&03Dx9;(C9SuoAV6rb|cqNk`ssmU#= z;rv#L?ZY5r7cv)*$F*T5kZv@b4s+VZJKMFx5OTq4F9MRVTk#|FtVS-c?awH4=7%d6 zQ9NOz>WgO2mP~`E0nV9*!nNkbZ^!9$50~vIJ^7Jf+{Y)Knc)0ou*qYGVO)e^0l4=ud(g(mvUfTL`+3CmNZLbbP#ow!OV0v#79ki0wX0V61 zpWaNx>IQhgd&?lM*76q^%_mPwvB@$Stm8AIjn)p$Vk`A|-YXWk49G@T+5 z;x*V32{YXxiQO~dujR0uZxmn3^Lp1@Nv=9=MBcT}B>zPV#@XJQdbC{4ijrSS zkeJ?AUM;cJH~9a6S5*8;;~vAQszU4@gKf%JVOpHBAVX3O0pu>R{phGL{e%1tRcW%v zqebm2%72VzP#NU$t$|B^{umt#WIo0Bssci5);3uxqhlRzIG4-f{-k@wI5wj!-ERikr4jW%Zq0Y)KXbY877noGOIo!(JrG8^&c^Y>zc6u6j zR?a~}s4s1W(4BRO`P9hjsY;gQ*R>3Zhx+{Tisi{X%zbn-&#s%E)vZ&kkj+n0yg-N#8Z7*E`G5YD)sPF9UGV_vF4nhL&&517mi}_Afm5M{ zmEQ`xKOv)r{f_5`o=hXIrNkZhiw;(PCh!xa3YWupIYslOQNq}Zc>4Hh=>kP zaU?}0rz`5;qNT$9aN(JHa#4e4?VOp5UFR8#V$bO3cmI_}q_sm$WDa}yo-dFm9o)~g z9ir~`xwhkdtr~0cJjjbIy1?n2Cg1p~pSNdN*=*lsiB2uTxy?9KxW)Ml-GvQMC3J{l zi>OG%b+ctTmyT~+{*_o?bZy)O56#&aj}2`GSByN^9vNFre>|}5@ldCj{?Xp{c3YWr zFPR{EwumAJd^aJnb(G!;l0TEH);L4M_Cvr1Znt|VhI@=y_~`*XMF2E)o{+eYqM4w! z4Qku(44)P*Sy84lkEg5EvsjkK$LOacFXA+BHty&K$822OSVpRBCtGX-NWwNYtQ9T7 zS2UbBvo%(a@0{quRxF2ht3@+F>|1t(HK-mBqG~JK%4}k6Ji9vXbHsS;{3uzP=J3;* zR^x*HBJ(0=lBT6^KMB#Yf`4E92B@|EANJlVxQ?Ld5)@j@%w#c>1-8IqW@cu|(iJl^ zGcz+YS*fW)N0S`p<3Lx%K>A1k5N@QhT?ZUklLgDo|HEpq`sXMqlW3NTDrUOSSK$AY5 zfKyywx;MDX%2-)7IAbbb2VS{3-@igt)(k7|{KGIO5P5$77qR=na4mZwt!vlLE8C9>oLhYW{L>$hd6 z1#(itPvKTLx78T(F1i-uB-?82C1N7q(KvH$UDE~ z{}1hd-~M|foc?Q8+=>|kpsEPqry{LZVqi})7(L}Q&Ma)L zC`$kmR`{q?-mc@4(lgL|^V3^`pH32i7eSW=FRRYME3A8{)j=$79V!H3U@4m7KfQ@l zUQ}TXIh+Uf3E7m3;5f)6@b^TXNV96pmEED|W9nFzHzD=uWntw>aeYftK2`k=H;AZd z%NpDsLx_5wZ{#XN%DIR*g#)j)DdxZ=UPmY}D49htG7F)Cy+jpf z=d5N?D(LZ|oeg8iUIcRmmhpwYA`uoQbq+kIYyRSSN$Y=)^stMFsdQx&+$;o*W4;nO z+)Q&~aEDRl++ub51aCEt`zm1RI+Cnu=+a|$=6JWQus#@w7CJW1xlre_p}7~GBxS8; zd~F`+$z6UG$8q+-hnH4~o!{l66J@%P0|7IAne(&rF=4jL%Axy2kLJmgLb0is~pe_Rrt#OrAJa3o+KJC@E0Z#qOq6g*lvUh`a-Zz((fSx5{XQI?GB z%MBzH7iSq=ZnFr>cZkOd&S8==mLOCX_d<-0B&Ln>il-62DA1Cj$_|P;e|MSx0ZW>_ zFX!OlKMkdj^v3^(#x36X=M}8JRM8D z9_`}I)5SzjOdcnw#V*$PJs$cmqh}60NwA_{K#%3=dUL;K{~dRTPH3FWS-?-CBT~Yy zwD7}F>luBH{wV;V>z2;wh7Y?L!k5$d*b{8Njm=Nk?Q+1E*s8%4icTQ^<-qb){vSNR z7U2pm-icNc{ebR0d=cUkVmdm_8XO_zle6Z=lZOmK?C>Acv{9P!EE^cni5tW1gukZL z{CJn6`}VDwY-I-E6-vQa+_k|^F%#3OCavL=O^}dEN9)TDrCAD_A=h^a)*RI1Glhti z8$AA%!?TLg+vmU!Y;~tJFgEwkg{a~2y$cbhc~;N}&*hys*TGbB$vK->H$W^(!UM{l zB#96;!B4-Z;Z|$;%%R56wpQGo-~d~WOE^>ljvH?}##JXu@2kp!BO^ud8iej8o4HkV zpMB8TD871VnMwAwCiaPKC!90=EW8I>@(o6<-b;B5B@p;h=L{t#ey3U*NkodJfZZT6l(M&_0kuow9JgX41Z#tsmt{%6u<{C_%Q>gxT_lxd`GX~^(wO7@T9G`Wu>Yvoe@^b^=avZe)b`2V` z$VegF_nKVpcuDI7<23t%jPNm%{H+1TDVuQoEjwa6S&-Poj1d?E{?&ZU+wYOruAWH~%VzwCI z^0D1w_NSr>E|GeLK^ASp&nnC2m_~=qDMv%i>x~qbxF!?%kH zewLRn!o2ng-VnjDyio;$fn`>%E>zFCp`4`%9aC`!9Kucr33_TDg%wy;Tuz>_eN9TB z!WIyTjCkkp(k;!( zvFGWD$A}$?*rSZo8B@#y_l2f1eEfll5>3N6n!bw=a=00!DpR|7L?W`Yl{f`kLk92Ss%pzfWC<%K z(c*!aHGEf73U$Al=c!AfNvMzfH;W`2OKN$J2TqkVs!R^tt3*fKhQK~*_T~z#=FDDv zmnHcWE^B-=Bu!P#+jH!-3Gnabn z%$^OyO%c?Wens&v7b{#KmK3wlR7d>vuGVe2OK=Fsz^#h!hBIy zw}n+csj2Wg|3!Rfi09WtK_y(}?RQ=jh$w4T)`0`z3O3)O;Fsn|=Mrh7la3RepMcnJ z2PN!cfhMe)BRVvkd>CB~Jg&cu{g_z_!EbykAQDM(KfPjTKr{|vGlSrPB^c*^3C%ZA zm$b&Wfn9H8Q%TA%7!Tc)b5CiJ21{bmH*wCa^0*N)sfbgofs=T}1B3tm1wMVTfW)67 z%^BRz$8c#TaMuIVEU^)zCiV|Of`Cgvq|^omQ}gI_sI9yz(SJGt487GcbaKt?`yD9;ptHEo(Eq=%aCfvgwYWQx)`661FADe z^JVl&@2lMG5@Zb3U7-o0ev`@)PjO=j=b0&-vej%|h(pg;sN##`HSW&-1}cW*j5_l>u`oAJXug8HnbA>IN@6d1 zKw;uR0^zf>yE$ysII%_g+(UWzjjG8HLCtw)vu{6@kIYfd|m;8F4`*Lsg|vdWZV0<;8!juM@#=4 z7Var*OHAWjf9UaF%;sAuAyK}hcGIdW3_8XsrDu174EuH=lU ze2xzzNM*~hM>B^AsOJo<}vR++4?UJ(=R2jxE)$*BF%cqLXAy(tXa&(%>g= zQQeM7s?4bZ=(Iu-ez@Q>`7`=B(*+Dd`6wP&!k_puALrq&D? z5z>TQ&has>LxbdzHq*3WY=z$B;@s};el7p_6u|o~6G8lm|7+brmk_I+%~By)ddO- zbzGW}PWq%H8AKH4^w)p+mNYU8sNW)FigpJCH7|4+d}P>oXa+dLM8K?C;@IG}jZ;PP zX$DuSs$$7l=jD314>5?0;sNnHm>6fx{j9lRgq4K|kVA~ea|JSLa{f)jU#5?@csWx-{6!-3Es= zj~bF$E+{|+?gkXR8)+!G#P5VKIGx>In}s$MZRyOKlD(DmeA+2H2Fbdh5|h zAv$)sAYSBTv3O$$1m{0`7EqoY=*0LXbUMyKpvz4cBXJ%`g9)JlAB@mT_6~z-i(*HE zx$XK89e~KxJ!E0G|AMlRO0I&Gp<~!e4<=%)D8(MEhWtwg^U4=RiNZ8qC0P_=eCAPa(&i=A5X1YqrHpBU6QBOItt1jVU~BP6Cgpo5 zG71Z^#Kd9HHOT=(@-3*_|EwG83P979s;(-`$<_5f5c^*6eE>aG5N@qO4g?YUp0OA& z&5stdwcR6M@>l)m&dS!_|0r2u`CRbX9sU1`|L<{*$Fim2%u^nziPV1sE1X`{R{zy17O5wl_W`KylY13sIoZWA+ z{?ng=6J`1bcVSL*=1D+6uPJ&RJQRdThJ^>4DhMCi4I`*BY-`v8>Lu~np z)Dx!m>iH+%h3EJXUeeIQ))h2`z)bHAaE;7!^3f5$_~aY-6W~m@5H;z2od(1FMmy@q ze>)X0!|&9I2B46VWV6*QkjS-?bN zC{;s#PqaWSTWj3yqN64dNt+p8uE2tXP_ZE@PQZ)f1VbKugf?NuoYhA+F`7VAI7AxV z3?o&Gv24~iVS+JP8|R*BMKEEsgnXz1v&80nwQp#$7%4^#@bPa#w8(S8kGnit{M`T? zQKhNx%^8~a{v@ZL zk035N;$4o}lZjcXArhx2X7k4wyP@U5DyA#-y7t_chPM_D4HH(g9#}pko2%l@BV&Ff zd}{$%!9ecKlZEUSTVly($a_~Yj#>SzuAYxPn6(Wtu_S_2;dtS5FOZr^43xzkzu(W2 zA_U}2s?0$>?ALyE#-u$WXNej|?Zh3xLM7~+)urXoHZj(dd^$CU*c>^A7ZBmmV@^}L zK*s{raMMyKRk$$F4<=Pg1c51Mty+vT5t0y^mA*a;>)aZs`+zp?nm#GV#`8gk92(dO zzt|VsP$3Wki|QVB@k7|6&%p{j`N3-m%W_GJ+qN{W@WMU}9Q zPlGTeN^G_n-g3p3@CKZZP3+nJA<)M(L46?lMxwNjlWB)!ucJY=c&=&Oqrep&lFJn5 z17UcZYyvosR2foK@C)fi4Gp<@ioei23l16c#%}JH4B=%$HacoNCt}BU0b_fHIAR@~ z^JB`$0@1|rBS@N_ zj00K}VFO@ZLHN!yK~)&-P|`A*N|R^TnsHV%7Rfv$>pQR{!lPm+o&|@jl?rrhNdPma zv%i&5RmcU-y?n@qiJ^5Ul80r-M_Mavo^^OJOYh_f<uy?1cDc=DcfUpj?(Wh^cm}ikLn!Mv!AIJm#wUj<~>Fz1^+1ar$a?v z^#XTuJFJAbbC!&#g(Ka5tFT7ehC*rn;$6367h78!yq+pI2TTsnBX5?Z-e0zTN zF%ro=S6ZhT8Q{91W&)x@^Wm6ow#FSL9&Y$Do5(w7p>&4u_Lh&U9vus)d+T3&uDgQ8 zt~|RVS}~$_BHY!&O_^VF4MHj8SZ5<@qI_Z~-MLSrWSYAx!2Z_%R(b(JCq;l*j}s9n z(5$a6!cG@bko^tcA&HUnmaxzs3SK^gud;wZMU1N8HyY|^QFNt=e-Atu!5%VK?G z;H8*^Wdng?NI+Amk+A5Y?U?Ee%hT`B6U4GpO&d0Y`QRlWIRJjR)04O0%6W1tR>g`q*WkZCqG3qw|WOChz*huG-q@3nbDzgeo zx2~#^2+jW{v8c!buFM5D4~bC>Y0k*x1-R`YdebiMwC-qAD>Hs%n*U01#1A&UVGk8Ej*Mhq^5Tan{x&*u$F%X+; zS%HlFVhvbIWa3frM(rBEQ_9xL2WAa^F9ru>K-TYLAJ>yo-G{A3+%+s{CWHUjev~sA5;fxTYOYsDj)R$Pi&*9W<5H0lvT`?-sPZ)Sbg+&wu{u5-mG`Z z2j*8_*};_O=SX8^DFgxcGi zdwV&qQQd*L>Y5=hWb!qiH|!AsIylE)G=$b8X^Q?TF^@u6dcU@^Db$jecmxPRhi;RG z_^4MI|94+sZIHW?8k5+bdp)) zg$e%_voU`;#vr*{{hO?UWh{ntc|}L5RK23SQVym~$@89^mt-NCQsm(|3A8!ovd%5a zj!DHvDbV8MDrc{ggOEw|Iz6{Lg-di0cI(wmWtH4VA0E|fE0uUY+Rd0TH@JK6$v!V3 zuKNucm8(8GPhw%X?RV_jVW1N-_2Z3--E`L7Bzt@7BDKGGC3F_%%xxR34?eie-nT&+ zdy__AGko-(KLkUTR`yJzhEXi#uji<&>Z~iaQ6<0kB$pj|hxXeSWJ(mj!fjT$X6ywz zKms+JpO}9K7of{pQ?MkC_85KwKk&3WOvJXG(I?4ab5x1}j! zzF~L#l!qD_7da}w>P>uReOh@S0ttL>Jbrrl>>RO&<<4;X^4H$ny07QX1ig73o*%JS z3*@GMJ~K?un7{k*OTXR52js@)y?L3O98uT_kc7WI!(PmY*ZA@meBRE*lBXHrRhdl+7Bi-Eb!B%fZA zuSXN-0{SnX&%m(UTC(S7t<2n7$(Lu~SB3$H*JrK2xwYwU&%pWI+P?Q^t%Ka!laFWM zV{R?R)$Ki|PtWDx%*xTzGcT2ZKIq`+Z1>IUopiBGk3gh60)$=ADdtrZ=OpDW1vPe= zLF$Snd=-xqruqg)Z>mPGK8b+Bq474_^evWIqciwBZ!8;;`U1lW;wEA|RBS*1Nw;)T zq~-WhkoYxWVlpgzXFaneR=~UwpSb!pjrS^hWM|DBYHxDza@_Y`IV!4oCc<}CnXV4f zmd&YoN>}G~%zTF4gBG2NC>Cgp>UnCT$cWp99Zu>-`aF`8yPdXgVOVd&u$1C>X|{!7 z`ZMDuo>Zz}oP6FfRA)ergc0mw=mc15Ea`5reA7D;777YKP9rpPzBMy-Ey0HL1pWG( zT<1QuMUKytuIA?>O4GB=C87QM$L?X%ch4DCt2UN?+gl0p&Z3Zf$?u6A9mkF>6I8Wi z8Gal^oWv%G;G_65DCtQYi>~JwG^cvg?lSnbdC0`l73!FC>vx|`u9Q3-`&|y8LR<^M z+q%bPnB!Od!KgeDrRR!QeS$Jm(Sy`-6#%Rhrlsn&te+BS8^pZM zuz1(*!!!2dWgl(73pC&XiE&9q0;F`84=A#svb zznB4s4OINswdIYIMG{Qe73w2e;U@Y(AH(Mjp5!BWO-=K5vw_LuJ!9-J%QWO4PT4wg z3jDNtcjrm+Jh{GiXt5oFLx*t~(kMlRX>`rnZ+JlJy=PBqwlhb0SK#L3-z~-b7m?&+ zb1kz{Tfv=|FhRj$stKcuRo-9&k`6|O)~@-k%;1p5FR}{&>McK$$*`mt^v#irNSe98I;zN_hcvrglDy1*`7-w zwQYdbhLrLtEG$^j&y>+tEpeWyIRc$UTAb~J@NDznY)RhVEWf?I5y6H*==uGwO^a9Qj(SMTK=#*Q&;R9h@x~$ZCTL92LF#k_XzJM)>b7Q7SamKM+WJkR@<4H3H>xavpeRQZ4p5kQ#x|!~@9A58y0JT}tv`r*E z8=AZv6NDDkrB){}YFSvMg7Kjsjs#u5l&{iOZy|z0GBL|`*la3d74XjR;&i4JJQ_1L zG?ap2K5uhq!z(Q5_X)u$TS*|Nlb`tCFh4RVuJTn&DgT3c`jmWHFTYR!EP~5)h;O6 zw_6u;K7$P%LurT!U)2uNx>E0nV!)VTWv0NP84*h7dKaojA*b4bP}o!2NXc4cMiG8E zU+g=BZEq;?@DMMfrYc~0rxnBh9%LHgiMI|K_zCikOn@-dsw%cOZ<8Wj z139ACNC~FxrdDWXLW~D&(5+AVy&6HJFs?Ml;L}^IdM_JwRIfwvd60XJwmvH?AWIq! zY$c&LEAG#kCDS^s?GZCTu`o{ENt-2xIm=_1SO;X*9;6I2aBmxxab|aM@A*3Cbgh3# zKvd|isV`^A)!S1sX17OwrCvNJkIAl%?HctsaPvAtsu=q9_cE-cx5H(ndM&&MuavEK zXoVmkLs`&fSZl6mz-m3X`sQRvLVQ?`F8)L-y|si7m@U36GJ4oiWsI!V&M~kgWLgg= znaCiUL+P~R&5%fVAc#d9f;q+DGBIOhCGtWtN?RDewjCYDhj4G=X1=bKkx{nhEewB8 z%Oliim4V8d%XY?{UfjaDhFvQ;#zoIVU<7u~4=YI8eQB6FemZ_RwLZq) z{fLH~XIWzQ71X{_-)yN5g72S)$74S7T#^OhwMfyUWH3_i;gzEL+1zgKQe&g7kJfmk znQN|1TMrB=wIh|LYJRbWRsz1~>pxVEW0+NT*#7RuO*wVw>|5ZTD2A4H6WK^GX+S{b zZ&XZ_&+UsjNvmElT~73|)?5Ymg#FfVaVII(g#6tTu&dQ{OW9dm41>njgS4|lM7DS7 zI{F?IAT6saN&mAtC(;4UH>{Bg_bV-yrySs+2VDZdMl)$ zhJJr-oU;vp8>=2oj*pQtzFxQSews|zkbO)YLfZH+y!2nk67;wMzF@`4kka;MB>Sll zXw6$~#*i+KSolfS^WR(a?Z$bRteJz>A{63zwy{{Rh_D+CiY^K>8_mwtf=Uq8zmus% zCDAsP+bK%NxrQ-ZnM1{2@Dk<3pesMMi6vt^E1g>o#5sj1@uUS~yy%3gwQ^CVoZ(v$ z?a!-Cg4npf5UUZ&g+4sew8n&y-XmUde?399m^-x(R`2bHTraQDACo8_V!pj|xebxq z#~`kk1<*ag`@5L$h|qtjw|&24-%l~$kT)^k&)ULXD!p&Tw7ZacbFl64x7vR*aEc3bp~x)R z|9FP`RB~U`&5PSAHFcYBaGDAL?gw=tIxhi#Sib&Tz7_h(;k_pHoR}KJBrW}7;+x;l zgst!(1A9$`59y9)RV`Kzxc`P2+^m&S`V)NKikIe--*RFU;Yc+HitM^mEMnlN z{V0JYEQXyv$KhR*tw}!n-P%rg`m%PLnqOHHWhjnR)g%Si)jIX^2CbgD1Zj_gC6oT> zrfJ%)r58HOb@)#3&2n(~2_xIh;ZO3`eljHcmd8P(7mj5ea*^xm9GBNZmpYTaN+Ri@ z?^Yv+yTXexIgT$DF!)^FO@%9b0>HVSkfUWpqu3u7;`)@nO@-EDG;_$Ap2Y>T$er&r z70nCl{LvnC4DRgJbIx}YBb>wK0*B;WhlCYGAA{9^++WgaI?*+vL5NdTfZTV%HwNuO zUywChJWv1Cwzh<`uKTr>tN|8$@G{Fd%y-^fj_)B!8ba{z&26XH(}jU2$2UncsiO^I zpnB-Y@$S?Hq3*Qmiht^+R=~^k(-wrJWXj+ez0*arpwTa^QnZ!^AyiE*_o95zWuOED zN>W2^o37*;5th7<*beQtlX{T)k?NFfeo$H03VX0J0;Th3lMYLQJaNy%;wqm^z88|v zdNuP+9d6~wB`-r_!T`di5QY1^rt862SO$E>jLi&E` zox}Gzf*grzqVO3%5|^EB0*S~U@!@4bCR|R%6rS0&0Eo78^YuuzE1|AGxh`@0aQkbT zg_azk@Qs4zswJfW68uFnj+*NSDNXCk@4or*O&apZo0)gPL46&jK>Sy;KjDkbHE}Rs z^ryx577Y{X0-O;IzT)|-9bZ`TNNo7+N~w!&&&~W7jG;xJk)^g@QFEP?Bl)3^L<9p} z=2ZBV|M+f~#`zmhi>S^plqKY(%_WC_elB?z?9HYl!O%Q4zwh_WCKpMFbflbRFzq)x zK!eA3E?_Zc?;X`jnRZKxOzq$XGVugc$yogQ-TtT-$ilmb%ynsMQD2V^Ty|ESLzlZ_ z<~`AzsICv;j}BIdJC?2WyAi5kVTk6*#E36HcX%MaiS`;8(3g4VZjBozg#{I3QQtXd3oc+g(Emu!ku;&Jaww^O1~Gs}x8 zAVQF3RrSLjYM>R*+z^9i)gs2agC6J4kgESGjxMg==s$M z;5&Nh@t1Zx;S4)2UO+4vk9|2qI&qeEm4(3HHR0#=p(Xjpq{5@-1qz`i9bN_T@9;D{ z>CE`_cbH+92Do&)2kIvszay(!68ktnnSmG>QT>ql3|jV0Nan%{+Jb!c`qjT9Hemwc z4-iI06%Ci^yiEO(ANX32;Wn?pkU9w_sxBv9S78DoS#39MQnlipbJI#|K) z%=9EeONhty=pyy8|J;P^jbbjr`4ZMBgaY|KV zcJ+7h-guYHJ;i5Mtsc*Pn^ikVfbUwLI^S+p+Tg>%x<$4z)HEa5_8cwYgljioV0kB2eXs0VS4B!lAC7mboxq*WJ49FlxjX-y|#n*-qW^4YeA0LEkxn*|7 z@`vvemg= z_TViaMQS|jc1E8NRR+Hm6iSAFH~8;KLI;=M&qlxHI>0MmrL1J3T6lBrMygLzDhI5%*Nk zlJ9HJOXxbbWMit{9|RLD;legs#I~cpIM7z&CmoDjF7Cscx361TCH8mxM_b=5++HB zSj2kUVStEhMCW@{?teCamtUbl_nLfG6%oO{76YGu!kVzdN^bhX@mP~w}bPsj)_FSu+Hww+wa8l zrr#Vr&|i<){M`AH>Mvr~=@~Fl-}MZ${f?jPwdw?NP*~+WbaDsTHy&NLLy@}QxdlYn zFRp!l-9EJS_9qa`edfo%_z;o?gx$IQ4H)Vif!@W`@%AE>`?EX(mr_iGuz|2UYVN&3 z3Y1$ML4ogASR^8okKxZjMM^%}gLmj*66ist_jz^*5j@;O0#)R74tOF#j6Cw|neFjU z2oL@w4*vuEd1&fx{n(vCG};U5VSwD9Mv+DQCUPbQ76-f5 z#QmGe4ndL0+Cw@Yb)#-9uPLUvp!mY5&q!i-GNXmUKJ=r52A4ZnX<2(DB>@Z|^LHPwWMBLB6=x@B_TqEXCHJRu&kGVTh`kGVzG1 zD`I6+rLsu<&;YQ%EnIbym4?U)x?|h6x0%vzgf_@+{Ev_UK4I(B*d5#ZlkQ^7fL>%g zxxYdyP({IL+P`t=oUxpfbS_0<{0{b`G2*NwtAc810&mqFkLv>$J&hQfzH4TsW^|sx zv>1gyyR@Z+cweSgNg`s`pqR~Uz8ehv#IQZbLc67N_Pi`e>s`mTDot}8SflC9OQ%q) zCLa@5n(P5dq&FxkLJZciIqOwDA!uozWOQ zx`TqrOyv=Ona&Nx%QC;GW&HS|dZ513KFUDZTS4iif6wReF>EtlY=&}E@M{E_RiO4+LF3%%{W#*_D(V+A$<0~9bTWpQf#&-&;-(rv zanne15K!mtMAl?LD-PpmlhmSG+U=CDP*(Fo;KtDZb4ecrL%mJq{XTgvTzgi(vRaTF7UVOc9AkXh}*=I$bjqiQ?yvBn;!;B;POEV@n6nI&Z(AIt1m z)0F{~tDpH;&siif1tG&;I-GY$%abqfd3H@90+wPwSw1_L@A)pRS3dekiX)+WlR@uU zkcBV{*MSejefmJh*JRF9cb?U`;8P-h4_?A7A@dR#)+6U#KoY&D|3u7qRz$EltT@%7 zX>HK*OyJtGk2ud`Z}Rqfnmbcy+T*aW!^2nU^-n2~C7J0z6puTd39Q$Vvm za|OB9J+>ObOdR3$58t>lz<6G|`n>9?Ye(tc`EUY~1!~zDf>YW?YR8)Ou@*`**AdqUXX+U+ZlIJl`z_(Q?JmBG%7r=V z{RLQLY2R(C-Mx-xLmXxs4$3h0jBM<@lCvVO!V_7>pTbN!mIVu}6r{Dn4yRzTKK|*S z>onTzbr)*qHn9CE{^upSpBLQ-a(bd_nh|~ZPdJac#IufiR%@x#DZ3h_wY=ApUuDr8YN zy?f7A<}Ltjq`K_W0nKZoyS5wRR=T$df6kGt36hM~B4*>h#&ZttUQi^4tv!mn3>_SA zz~F^W;F0M5O_+Z}7d$D5{t6d}RBO?bMYa;(gB3Y-t?Fx6zIxFe+RSkP(a5E*&8uVJQoH@1 zkG_JZBK!+0azs6VV6VE>XY$)x^Xc`fxwU#rMUWAQveGelr~?7oz#^c3mgs@afH-C)*i`_4_x+0bj|S677vR5EvK~DE4ds~W)&|D%da(oXH;WxH zIq;}MZ=U4Qxa-rMDF)VSxb=o^`%%O4CGE?)jYvB!l_w!Qa3ukQICA)s6i=94T9SohBy zN?9A{4b8*9Hg+3AjsF8lI6ebuL z7%bRHoVP559F6=26c`u|8WH=g&`qid(0j;E^wms>aP?9ijs)<3liOQC)nJza9G z+uJ^Ty@cB#M|)>0CwC(|wcDX2u}9$W=_7k*J9mJOKTnn~F5JCu+4rE5))Oa#i=(|i zN506VmTezC{65*EVpk?t1odMnEv2-hTZGdKeh?e5ECrts4~2CkeeW^=SGB}Olw1;G zjgyDx4-e`kJvyHb->#k9v(OoBSLb{f+zrxW1cDufmrWEFQacW9G(okRKX!t@<{Gfj zgID{+LIwI@tNUF3BB`O;!8D^O2;Iap;2t#hWv{dP|C85K)20HW;ka{&gL;NwI}aJR zD~nk8hG2m(%75>*d7*cPrNxx0brwYFQ>vmWQl%p$$WYfhs0h!?!p`Oq?Ewk~aPDSJ!#Yba zu^;@pOs<=+B~?LRrJp&_&Q7i*>gBL zs%_GZ-y5q)n|2#lM6NVHn^2dHL*A;wIY(0Rhft2$2R_7-M80j2iM4cV%cM{MEax-jfSGR}3#FfQDA1K>o+$M%9FdNVpB z$$FTKi-2YMYN^UO-bH{b#L3`#cwu6>T*6PDOB;D}^PdFk<>7KCm{`~o8^P(w5Gf%z zBu^FlhncoC?<&0|EbF9mbZE(!2Kx^Pi{uVR^nCkjdiUzZLduQHGldGL_O}ZjJ&D$) z%Q*BCaC@D3X|e}6e&p@*zrE7`sfR+jpBg{~qa|nBr^yv#6fWlF+btb8Ejh=^Z@mtM zPe+2!i#u-rPV6ji8n1b=MrRwInqKhES3XlHL0n35B9hF) zOdg8^jC#MlC%@S&5NY_yOY@3bH3NUnx`LKFM_gSyOWs$TT*W)AKi(ZIYac7QW>A)P z7WE=aZ_idz4?ko>e`V=sgzDGk)*MY(Upu)!Z!~So3-n^mq>@03{Ro|yDCg7vr2nw# z$*DQ&75~(|1vXJ%`*e7hrY8oi%+Ja5=X$w{Kol?_W|ww&0smRc*L<}ss5!_ORV z1$ah&K(1e88P>l(zIJo-{o<>Mm0FRSSlBb97dU%=jqcS?hd|H=^R0)Frz8CQ8&JlE z4jorm)v6uT>$c`k?nj|k^11-M!?}Uk0TD&UTi3qSr@{MDC<6H$HDN_Dhf0CcO^Yc^ z77%5h5x>C5ZHwct1Jy448%s-G3&FgN@}58sC6dNaSrAL64nu@=>{tUP-yRK7trAOp z&&L@Nz0(YQvH_Ztf=))llHs$qSEg~u$XH_6=EGjAl zx*s|vZ1wCn*9jh(Y-=16-2VCg==wrEZ!Idfv-AUE3(E@g!#JiXK%XK6ixTl^D*8<+OI4Z7*AAK-}G=|Btk`f@?9 zMQRE66lMC*Lu_~!M{Ua=7m!Q%ZBzHyMR?7G?{Iy1tR{UAKLS_$3{r*B%Va2$Ez8)# zhs5>a>71+fuxXUb#HFxWTX2THXXeMD%p0sxn11a_urRg-O@ppgcA$^a{k4}oYxH9i z&qD*ZdR~b*`exzK)J_poUT$m>pamEdOnw=~8SS&DO&Rb8nsU<&_~Ri@+8cyGvR?ys z*pvX8MGE8XUQ)VBu__AV*Wk<;DN#yU-%x_<2bqfB%sf2T8iIi?L@b65IhpYOs6{OWi-NrBeNiNm*_KG9Q zsY(F>X6MGV&917*&3e1R+mpBVX044T6K{{|WzZ4x)CmB)b5C12JT{dI?vkl?(ncEA-h=4vJAtYWDqCd&_OlW~{v z8K=qB05t1uv^i{519)xP3=us$8Zo6^`dx8#*QOnud+>0w#b~CLXAkid3C*3*^X3;& zHrodsr<+oV)t@tf-(NZ?)Z(j!5wp{s2f-%tTTW5#E87(`W)Tn<6ImBs*?rE&&WTG`lPc$j_ailX&n&P%u%Tcw@04D%md!c*-1%s_VxMvr~} zEByS7vQaq|z-e)*p4rtd0lB@nlp`h8*c_Pv_6W{5N9l_va5wfWb?@k>57-4G)-}e`pwm1Hrv-}<>KBM*amK(W;oo1$|9%^fO^?ONg zZGOw2zg0Penn$%})$S71u6^T^Umvja_`PrQi#G%` z8_n&P{WAOSs~)G9H(!OD)-A|nQAw6LyuUj6%9FEJz%o(5yFqoLsY>>oHI6ox@e>Qo zQ+Min%b()ky=CE=rDyh+g+A-wawp$Kq=3ak;f>jm2m!6x><%qQ?t~wFuxd#p+mDMU zWqx0E@L7I3*NnYfT4^E?O}0-(58pYt_SFyjJX)pc=ok`n1G_YL^o|G3w_# zBP#WNUOhF*`pfm3#fPHLb?n(%_2gfrV@QMdWtJbdlcvw~_F42mJiwcgNsj^1IqEPm z6%7Q2^JO+rcL@d*7`8Nm*kzfC#h?}dx-Q47OYN=KFfim+GBHTPG%^O!b+D52 z3kp(;kO~}hV9%RjCr{Z!x(6cBb)I8*t<)3@@Qo)(9(9G2ZT_} z;4Wu`J0+zkGbg85uOcT8SwE z6ldmS7bh2`rsm-Z*3%zT>2~Foz?F2_CdqyV2;u zgWlLg7$u*M)hHZJLa*Qu=6udVHwV4CLw6H;F@rE`Con<55;4Sk5VPQpVg)8P1_mA= ObOE-YmGgm-&Hw + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +DSPLABOR WS1718 +DSPLABOR WS1718 + + + + + + + + + + + + + +<b>Resistors, Capacitors, Inductors</b><p> +Based on the previous libraries: +<ul> +<li>r.lbr +<li>cap.lbr +<li>cap-fe.lbr +<li>captant.lbr +<li>polcap.lbr +<li>ipc-smd.lbr +</ul> +All SMD packages are defined according to the IPC specifications and CECC<p> +<author>Created by librarian@cadsoft.de</author><p> +<p> +for Electrolyt Capacitors see also :<p> +www.bccomponents.com <p> +www.panasonic.com<p> +www.kemet.com<p> +http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> +<p> +for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> + +<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> +<tr valign="top"> + +<! <td width="10">&nbsp;</td> +<td width="90%"> + +<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> +<P> +<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> + <TR> + <TD COLSPAN=8> + <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> + </B> + </TD><TD>&nbsp;</TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > + 3005P<BR> + 3006P<BR> + 3006W<BR> + 3006Y<BR> + 3009P<BR> + 3009W<BR> + 3009Y<BR> + 3057J<BR> + 3057L<BR> + 3057P<BR> + 3057Y<BR> + 3059J<BR> + 3059L<BR> + 3059P<BR> + 3059Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 89P<BR> + 89W<BR> + 89X<BR> + 89PH<BR> + 76P<BR> + 89XH<BR> + 78SLT<BR> + 78L&nbsp;ALT<BR> + 56P&nbsp;ALT<BR> + 78P&nbsp;ALT<BR> + T8S<BR> + 78L<BR> + 56P<BR> + 78P<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + T18/784<BR> + 783<BR> + 781<BR> + -<BR> + -<BR> + -<BR> + 2199<BR> + 1697/1897<BR> + 1680/1880<BR> + 2187<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 8035EKP/CT20/RJ-20P<BR> + -<BR> + RJ-20X<BR> + -<BR> + -<BR> + -<BR> + 1211L<BR> + 8012EKQ&nbsp;ALT<BR> + 8012EKR&nbsp;ALT<BR> + 1211P<BR> + 8012EKJ<BR> + 8012EKL<BR> + 8012EKQ<BR> + 8012EKR<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 2101P<BR> + 2101W<BR> + 2101Y<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 2102L<BR> + 2102S<BR> + 2102Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + EVMCOG<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 43P<BR> + 43W<BR> + 43Y<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 40L<BR> + 40P<BR> + 40Y<BR> + 70Y-T602<BR> + 70L<BR> + 70P<BR> + 70Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + RT/RTR12<BR> + RT/RTR12<BR> + RT/RTR12<BR> + -<BR> + RJ/RJR12<BR> + RJ/RJR12<BR> + RJ/RJR12<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=8>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=8> + <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3250L<BR> + 3250P<BR> + 3250W<BR> + 3250X<BR> + 3252P<BR> + 3252W<BR> + 3252X<BR> + 3260P<BR> + 3260W<BR> + 3260X<BR> + 3262P<BR> + 3262W<BR> + 3262X<BR> + 3266P<BR> + 3266W<BR> + 3266X<BR> + 3290H<BR> + 3290P<BR> + 3290W<BR> + 3292P<BR> + 3292W<BR> + 3292X<BR> + 3296P<BR> + 3296W<BR> + 3296X<BR> + 3296Y<BR> + 3296Z<BR> + 3299P<BR> + 3299W<BR> + 3299X<BR> + 3299Y<BR> + 3299Z<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66X&nbsp;ALT<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66X&nbsp;ALT<BR> + -<BR> + 64W&nbsp;ALT<BR> + -<BR> + 64P&nbsp;ALT<BR> + 64W&nbsp;ALT<BR> + 64X&nbsp;ALT<BR> + 64P<BR> + 64W<BR> + 64X<BR> + 66X&nbsp;ALT<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66P<BR> + 66W<BR> + 66X<BR> + 67P<BR> + 67W<BR> + 67X<BR> + 67Y<BR> + 67Z<BR> + 68P<BR> + 68W<BR> + 68X<BR> + 67Y&nbsp;ALT<BR> + 67Z&nbsp;ALT<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 5050<BR> + 5091<BR> + 5080<BR> + 5087<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + T63YB<BR> + T63XB<BR> + -<BR> + -<BR> + -<BR> + 5887<BR> + 5891<BR> + 5880<BR> + -<BR> + -<BR> + -<BR> + T93Z<BR> + T93YA<BR> + T93XA<BR> + T93YB<BR> + T93XB<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 8026EKP<BR> + 8026EKW<BR> + 8026EKM<BR> + 8026EKP<BR> + 8026EKB<BR> + 8026EKM<BR> + 1309X<BR> + 1309P<BR> + 1309W<BR> + 8024EKP<BR> + 8024EKW<BR> + 8024EKN<BR> + RJ-9P/CT9P<BR> + RJ-9W<BR> + RJ-9X<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3103P<BR> + 3103Y<BR> + 3103Z<BR> + 3103P<BR> + 3103Y<BR> + 3103Z<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3105P/3106P<BR> + 3105W/3106W<BR> + 3105X/3106X<BR> + 3105Y/3106Y<BR> + 3105Z/3105Z<BR> + 3102P<BR> + 3102W<BR> + 3102X<BR> + 3102Y<BR> + 3102Z<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + EVMCBG<BR> + EVMCCG<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 55-1-X<BR> + 55-4-X<BR> + 55-3-X<BR> + 55-2-X<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 50-2-X<BR> + 50-4-X<BR> + 50-3-X<BR> + -<BR> + -<BR> + -<BR> + 64P<BR> + 64W<BR> + 64X<BR> + 64Y<BR> + 64Z<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + RT/RTR22<BR> + RT/RTR22<BR> + RT/RTR22<BR> + RT/RTR22<BR> + RJ/RJR22<BR> + RJ/RJR22<BR> + RJ/RJR22<BR> + RT/RTR26<BR> + RT/RTR26<BR> + RT/RTR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RT/RTR24<BR> + RT/RTR24<BR> + RT/RTR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=8>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=8> + <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3323P<BR> + 3323S<BR> + 3323W<BR> + 3329H<BR> + 3329P<BR> + 3329W<BR> + 3339H<BR> + 3339P<BR> + 3339W<BR> + 3352E<BR> + 3352H<BR> + 3352K<BR> + 3352P<BR> + 3352T<BR> + 3352V<BR> + 3352W<BR> + 3362H<BR> + 3362M<BR> + 3362P<BR> + 3362R<BR> + 3362S<BR> + 3362U<BR> + 3362W<BR> + 3362X<BR> + 3386B<BR> + 3386C<BR> + 3386F<BR> + 3386H<BR> + 3386K<BR> + 3386M<BR> + 3386P<BR> + 3386S<BR> + 3386W<BR> + 3386X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 25P<BR> + 25S<BR> + 25RX<BR> + 82P<BR> + 82M<BR> + 82PA<BR> + -<BR> + -<BR> + -<BR> + 91E<BR> + 91X<BR> + 91T<BR> + 91B<BR> + 91A<BR> + 91V<BR> + 91W<BR> + 25W<BR> + 25V<BR> + 25P<BR> + -<BR> + 25S<BR> + 25U<BR> + 25RX<BR> + 25X<BR> + 72XW<BR> + 72XL<BR> + 72PM<BR> + 72RX<BR> + -<BR> + 72PX<BR> + 72P<BR> + 72RXW<BR> + 72RXL<BR> + 72X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + T7YB<BR> + T7YA<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + TXD<BR> + TYA<BR> + TYP<BR> + -<BR> + TYD<BR> + TX<BR> + -<BR> + 150SX<BR> + 100SX<BR> + 102T<BR> + 101S<BR> + 190T<BR> + 150TX<BR> + 101<BR> + -<BR> + -<BR> + 101SX<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ET6P<BR> + ET6S<BR> + ET6X<BR> + RJ-6W/8014EMW<BR> + RJ-6P/8014EMP<BR> + RJ-6X/8014EMX<BR> + TM7W<BR> + TM7P<BR> + TM7X<BR> + -<BR> + 8017SMS<BR> + -<BR> + 8017SMB<BR> + 8017SMA<BR> + -<BR> + -<BR> + CT-6W<BR> + CT-6H<BR> + CT-6P<BR> + CT-6R<BR> + -<BR> + CT-6V<BR> + CT-6X<BR> + -<BR> + -<BR> + 8038EKV<BR> + -<BR> + 8038EKX<BR> + -<BR> + -<BR> + 8038EKP<BR> + 8038EKZ<BR> + 8038EKW<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + 3321H<BR> + 3321P<BR> + 3321N<BR> + 1102H<BR> + 1102P<BR> + 1102T<BR> + RVA0911V304A<BR> + -<BR> + RVA0911H413A<BR> + RVG0707V100A<BR> + RVA0607V(H)306A<BR> + RVA1214H213A<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3104B<BR> + 3104C<BR> + 3104F<BR> + 3104H<BR> + -<BR> + 3104M<BR> + 3104P<BR> + 3104S<BR> + 3104W<BR> + 3104X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + EVMQ0G<BR> + EVMQIG<BR> + EVMQ3G<BR> + EVMS0G<BR> + EVMQ0G<BR> + EVMG0G<BR> + -<BR> + -<BR> + -<BR> + EVMK4GA00B<BR> + EVM30GA00B<BR> + EVMK0GA00B<BR> + EVM38GA00B<BR> + EVMB6<BR> + EVLQ0<BR> + -<BR> + EVMMSG<BR> + EVMMBG<BR> + EVMMAG<BR> + -<BR> + -<BR> + EVMMCS<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + EVMM1<BR> + -<BR> + -<BR> + EVMM0<BR> + -<BR> + -<BR> + EVMM3<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + 62-3-1<BR> + 62-1-2<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 67R<BR> + -<BR> + 67P<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 67X<BR> + 63V<BR> + 63S<BR> + 63M<BR> + -<BR> + -<BR> + 63H<BR> + 63P<BR> + -<BR> + -<BR> + 63X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + RJ/RJR50<BR> + RJ/RJR50<BR> + RJ/RJR50<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> +</TABLE> +<P>&nbsp;<P> +<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> + <TR> + <TD COLSPAN=7> + <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> + <P> + <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3224G<BR> + 3224J<BR> + 3224W<BR> + 3269P<BR> + 3269W<BR> + 3269X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 44G<BR> + 44J<BR> + 44W<BR> + 84P<BR> + 84W<BR> + 84X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + ST63Z<BR> + ST63Y<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + ST5P<BR> + ST5W<BR> + ST5X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=7>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=7> + <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> + </TD> + </TR> + <TR> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3314G<BR> + 3314J<BR> + 3364A/B<BR> + 3364C/D<BR> + 3364W/X<BR> + 3313G<BR> + 3313J<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 23B<BR> + 23A<BR> + 21X<BR> + 21W<BR> + -<BR> + 22B<BR> + 22A<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ST5YL/ST53YL<BR> + ST5YJ/5T53YJ<BR> + ST-23A<BR> + ST-22B<BR> + ST-22<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ST-4B<BR> + ST-4A<BR> + -<BR> + -<BR> + -<BR> + ST-3B<BR> + ST-3A<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + EVM-6YS<BR> + EVM-1E<BR> + EVM-1G<BR> + EVM-1D<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + G4B<BR> + G4A<BR> + TR04-3S1<BR> + TRG04-2S1<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + DVR-43A<BR> + CVR-42C<BR> + CVR-42A/C<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> +</TABLE> +<P> +<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> +<P> + +&nbsp; +<P> +</td> +</tr> +</table> + + +<b>CAPACITOR</b><p> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + + + +CAPACITOR + + + + + +RESISTOR + + + + + +CAPACITOR + + + + + +CAPACITOR + + + + + +RESISTOR + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + +>NAME + + +20-QFN, 0.45 mm pitch, 3.00 X 3.00 X 0.85 mm body, 1.70 X 1.70 mm thermal pad +<p>20-pin QFN package with 0.45 mm pitch with body size 3.00 X 3.00 X 0.85 mm and thermal pad size 1.70 X 1.70 mm</p> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +>NAME + + + + + +20-QFN, 0.45 mm pitch, 3.00 X 3.00 X 0.85 mm body, 1.70 X 1.70 mm thermal pad +<p>20-pin QFN package with 0.45 mm pitch with body size 3.00 X 3.00 X 0.85 mm and thermal pad size 1.70 X 1.70 mm</p> + + + + + + + +<b>LEDs</b><p> +<author>Created by librarian@cadsoft.de</author><br> +Extended by Federico Battaglin <author>&lt;federico.rd@fdpinternational.com&gt;</author> with DUOLED + + +<b>Hyper CHIPLED Hyper-Bright LED</b><p> +LB R99A<br> +Source: http://www.osram.convergy.de/ ... lb_r99a.pdf + + + + +>NAME +>VALUE + + + + + + + +<B>LED</B><p> +5 mm, round + + + + + + + + + + + +>NAME +>VALUE + + + + +Hyper CHIPLED Hyper-Bright LED +LB R99A +Source: http://www.osram.convergy.de/ ... lb_r99a.pdf + + + + + +LED +5 mm, round + + + + + + + +<b>Omron Switches</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>OMRON SWITCH</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +1 +2 +3 +4 + + + + +OMRON SWITCH + + + + + + + +<b>Molex Connectors</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>1.25mm Pitch PicoBlade™ Header, Surface Mount, Right Angle, 7 Circuits</b><p><a href =http://www.molex.com/pdm_docs/sd/532610771_sd.pdf>Datasheet </a> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +1 + + + + + + + + + + + + + + + + + + + + +<b>EAGLE Design Rules</b> +<p> +Die Standard-Design-Rules sind so gewählt, dass sie für +die meisten Anwendungen passen. Sollte ihre Platine +besondere Anforderungen haben, treffen Sie die erforderlichen +Einstellungen hier und speichern die Design Rules unter +einem neuen Namen ab. +<b>EAGLE Design Rules</b> +<p> +The default Design Rules have been set to cover +a wide range of applications. Your particular design +may have different requirements, so please make the +necessary adjustments and save your customized +design rules under a new name. + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +Since Version 8.2, EAGLE supports online libraries. The ids +of those online libraries will not be understood (or retained) +with this version. + + +Since Version 8.3, EAGLE supports URNs for individual library +assets (packages, symbols, and devices). The URNs of those assets +will not be understood (or retained) with this version. + + +Since Version 8.3, EAGLE supports the association of 3D packages +with devices in libraries, schematics, and board files. Those 3D +packages will not be understood (or retained) with this version. + + + diff --git a/slider/pcb/sliders.lbr b/slider/pcb/sliders.lbr new file mode 100644 index 0000000..3e96990 --- /dev/null +++ b/slider/pcb/sliders.lbr @@ -0,0 +1,442 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +20-QFN, 0.45 mm pitch, 3.00 X 3.00 X 0.85 mm body, 1.70 X 1.70 mm thermal pad +<p>20-pin QFN package with 0.45 mm pitch with body size 3.00 X 3.00 X 0.85 mm and thermal pad size 1.70 X 1.70 mm</p> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + +20-QFN, 0.45 mm pitch, 3.00 X 3.00 X 0.85 mm body, 1.70 X 1.70 mm thermal pad +<p>20-pin QFN package with 0.45 mm pitch with body size 3.00 X 3.00 X 0.85 mm and thermal pad size 1.70 X 1.70 mm</p> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + +>NAME + + + + +8-bit +Microcontroller +with 2K/4K/8K +Bytes In-System +Programmable +Flash + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +DREHGEBER + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +Programming port + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +Since Version 8.3, EAGLE supports URNs for individual library +assets (packages, symbols, and devices). The URNs of those assets +will not be understood (or retained) with this version. + + +Since Version 8.3, EAGLE supports the association of 3D packages +with devices in libraries, schematics, and board files. Those 3D +packages will not be understood (or retained) with this version. + + + diff --git a/slider/pcb/sliders.sch b/slider/pcb/sliders.sch new file mode 100644 index 0000000..2615344 --- /dev/null +++ b/slider/pcb/sliders.sch @@ -0,0 +1,11221 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Frames for Sheet and Layout</b> + + + + + + + + + + + + + + + + + + + + + + + + + + +Date: +>LAST_DATE_TIME +Sheet: +>SHEET +REV: +TITLE: +Document Number: +>DRAWING_NAME + + + + +<b>FRAME</b><p> +DIN A3, landscape with extra doc field + + + + + + + + + + + + + + + + + +20-QFN, 0.45 mm pitch, 3.00 X 3.00 X 0.85 mm body, 1.70 X 1.70 mm thermal pad +<p>20-pin QFN package with 0.45 mm pitch with body size 3.00 X 3.00 X 0.85 mm and thermal pad size 1.70 X 1.70 mm</p> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + + + + + + + + + + +>NAME + + + + +20-QFN, 0.45 mm pitch, 3.00 X 3.00 X 0.85 mm body, 1.70 X 1.70 mm thermal pad +<p>20-pin QFN package with 0.45 mm pitch with body size 3.00 X 3.00 X 0.85 mm and thermal pad size 1.70 X 1.70 mm</p> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME + + + + + + + + + + +>NAME + + + + + + + + + + + + +>NAME + + + + +8-bit +Microcontroller +with 2K/4K/8K +Bytes In-System +Programmable +Flash + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +Programming port + + + + + + + + + + + + + + + + + + + + + + +<b>Supply Symbols</b><p> +GND, VCC, 0V, +5V, -5V, etc.<p> +Please keep in mind, that these devices are necessary for the +automatic wiring of the supply signals.<p> +The pin name defined in the symbol is identical to the net which is to be wired automatically.<p> +In this library the device names are the same as the pin names of the symbols, therefore the correct signal names appear next to the supply symbols in the schematic.<p> +<author>Created by librarian@cadsoft.de</author> + + + + + +>VALUE + + + + + + +>VALUE + + + + + +<b>SUPPLY SYMBOL</b> + + + + + + + + + + + + +<b>SUPPLY SYMBOL</b> + + + + + + + + + + + + + + +<b>Resistors, Capacitors, Inductors</b><p> +Based on the previous libraries: +<ul> +<li>r.lbr +<li>cap.lbr +<li>cap-fe.lbr +<li>captant.lbr +<li>polcap.lbr +<li>ipc-smd.lbr +</ul> +All SMD packages are defined according to the IPC specifications and CECC<p> +<author>Created by librarian@cadsoft.de</author><p> +<p> +for Electrolyt Capacitors see also :<p> +www.bccomponents.com <p> +www.panasonic.com<p> +www.kemet.com<p> +http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf <b>(SANYO)</b> +<p> +for trimmer refence see : <u>www.electrospec-inc.com/cross_references/trimpotcrossref.asp</u><p> + +<table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0> +<tr valign="top"> + +<! <td width="10">&nbsp;</td> +<td width="90%"> + +<b><font color="#0000FF" size="4">TRIM-POT CROSS REFERENCE</font></b> +<P> +<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2> + <TR> + <TD COLSPAN=8> + <FONT SIZE=3 FACE=ARIAL><B>RECTANGULAR MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">BOURNS</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">BI&nbsp;TECH</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">DALE-VISHAY</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">PHILIPS/MEPCO</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">MURATA</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">PANASONIC</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">SPECTROL</FONT> + </B> + </TD> + <TD ALIGN=CENTER> + <B> + <FONT SIZE=3 FACE=ARIAL color="#FF0000">MILSPEC</FONT> + </B> + </TD><TD>&nbsp;</TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3 > + 3005P<BR> + 3006P<BR> + 3006W<BR> + 3006Y<BR> + 3009P<BR> + 3009W<BR> + 3009Y<BR> + 3057J<BR> + 3057L<BR> + 3057P<BR> + 3057Y<BR> + 3059J<BR> + 3059L<BR> + 3059P<BR> + 3059Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 89P<BR> + 89W<BR> + 89X<BR> + 89PH<BR> + 76P<BR> + 89XH<BR> + 78SLT<BR> + 78L&nbsp;ALT<BR> + 56P&nbsp;ALT<BR> + 78P&nbsp;ALT<BR> + T8S<BR> + 78L<BR> + 56P<BR> + 78P<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + T18/784<BR> + 783<BR> + 781<BR> + -<BR> + -<BR> + -<BR> + 2199<BR> + 1697/1897<BR> + 1680/1880<BR> + 2187<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 8035EKP/CT20/RJ-20P<BR> + -<BR> + RJ-20X<BR> + -<BR> + -<BR> + -<BR> + 1211L<BR> + 8012EKQ&nbsp;ALT<BR> + 8012EKR&nbsp;ALT<BR> + 1211P<BR> + 8012EKJ<BR> + 8012EKL<BR> + 8012EKQ<BR> + 8012EKR<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 2101P<BR> + 2101W<BR> + 2101Y<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 2102L<BR> + 2102S<BR> + 2102Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + EVMCOG<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 43P<BR> + 43W<BR> + 43Y<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 40L<BR> + 40P<BR> + 40Y<BR> + 70Y-T602<BR> + 70L<BR> + 70P<BR> + 70Y<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + RT/RTR12<BR> + RT/RTR12<BR> + RT/RTR12<BR> + -<BR> + RJ/RJR12<BR> + RJ/RJR12<BR> + RJ/RJR12<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=8>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=8> + <FONT SIZE=4 FACE=ARIAL><B>SQUARE MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3250L<BR> + 3250P<BR> + 3250W<BR> + 3250X<BR> + 3252P<BR> + 3252W<BR> + 3252X<BR> + 3260P<BR> + 3260W<BR> + 3260X<BR> + 3262P<BR> + 3262W<BR> + 3262X<BR> + 3266P<BR> + 3266W<BR> + 3266X<BR> + 3290H<BR> + 3290P<BR> + 3290W<BR> + 3292P<BR> + 3292W<BR> + 3292X<BR> + 3296P<BR> + 3296W<BR> + 3296X<BR> + 3296Y<BR> + 3296Z<BR> + 3299P<BR> + 3299W<BR> + 3299X<BR> + 3299Y<BR> + 3299Z<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66X&nbsp;ALT<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66X&nbsp;ALT<BR> + -<BR> + 64W&nbsp;ALT<BR> + -<BR> + 64P&nbsp;ALT<BR> + 64W&nbsp;ALT<BR> + 64X&nbsp;ALT<BR> + 64P<BR> + 64W<BR> + 64X<BR> + 66X&nbsp;ALT<BR> + 66P&nbsp;ALT<BR> + 66W&nbsp;ALT<BR> + 66P<BR> + 66W<BR> + 66X<BR> + 67P<BR> + 67W<BR> + 67X<BR> + 67Y<BR> + 67Z<BR> + 68P<BR> + 68W<BR> + 68X<BR> + 67Y&nbsp;ALT<BR> + 67Z&nbsp;ALT<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 5050<BR> + 5091<BR> + 5080<BR> + 5087<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + T63YB<BR> + T63XB<BR> + -<BR> + -<BR> + -<BR> + 5887<BR> + 5891<BR> + 5880<BR> + -<BR> + -<BR> + -<BR> + T93Z<BR> + T93YA<BR> + T93XA<BR> + T93YB<BR> + T93XB<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 8026EKP<BR> + 8026EKW<BR> + 8026EKM<BR> + 8026EKP<BR> + 8026EKB<BR> + 8026EKM<BR> + 1309X<BR> + 1309P<BR> + 1309W<BR> + 8024EKP<BR> + 8024EKW<BR> + 8024EKN<BR> + RJ-9P/CT9P<BR> + RJ-9W<BR> + RJ-9X<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3103P<BR> + 3103Y<BR> + 3103Z<BR> + 3103P<BR> + 3103Y<BR> + 3103Z<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3105P/3106P<BR> + 3105W/3106W<BR> + 3105X/3106X<BR> + 3105Y/3106Y<BR> + 3105Z/3105Z<BR> + 3102P<BR> + 3102W<BR> + 3102X<BR> + 3102Y<BR> + 3102Z<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + EVMCBG<BR> + EVMCCG<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 55-1-X<BR> + 55-4-X<BR> + 55-3-X<BR> + 55-2-X<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 50-2-X<BR> + 50-4-X<BR> + 50-3-X<BR> + -<BR> + -<BR> + -<BR> + 64P<BR> + 64W<BR> + 64X<BR> + 64Y<BR> + 64Z<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + RT/RTR22<BR> + RT/RTR22<BR> + RT/RTR22<BR> + RT/RTR22<BR> + RJ/RJR22<BR> + RJ/RJR22<BR> + RJ/RJR22<BR> + RT/RTR26<BR> + RT/RTR26<BR> + RT/RTR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RJ/RJR26<BR> + RT/RTR24<BR> + RT/RTR24<BR> + RT/RTR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + RJ/RJR24<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=8>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=8> + <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> + </TD> + </TR> + <TR> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BOURN</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MURATA</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>SPECTROL</B></FONT> + </TD> + <TD ALIGN=CENTER> + <FONT SIZE=3 FACE=ARIAL><B>MILSPEC</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3323P<BR> + 3323S<BR> + 3323W<BR> + 3329H<BR> + 3329P<BR> + 3329W<BR> + 3339H<BR> + 3339P<BR> + 3339W<BR> + 3352E<BR> + 3352H<BR> + 3352K<BR> + 3352P<BR> + 3352T<BR> + 3352V<BR> + 3352W<BR> + 3362H<BR> + 3362M<BR> + 3362P<BR> + 3362R<BR> + 3362S<BR> + 3362U<BR> + 3362W<BR> + 3362X<BR> + 3386B<BR> + 3386C<BR> + 3386F<BR> + 3386H<BR> + 3386K<BR> + 3386M<BR> + 3386P<BR> + 3386S<BR> + 3386W<BR> + 3386X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 25P<BR> + 25S<BR> + 25RX<BR> + 82P<BR> + 82M<BR> + 82PA<BR> + -<BR> + -<BR> + -<BR> + 91E<BR> + 91X<BR> + 91T<BR> + 91B<BR> + 91A<BR> + 91V<BR> + 91W<BR> + 25W<BR> + 25V<BR> + 25P<BR> + -<BR> + 25S<BR> + 25U<BR> + 25RX<BR> + 25X<BR> + 72XW<BR> + 72XL<BR> + 72PM<BR> + 72RX<BR> + -<BR> + 72PX<BR> + 72P<BR> + 72RXW<BR> + 72RXL<BR> + 72X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + T7YB<BR> + T7YA<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + TXD<BR> + TYA<BR> + TYP<BR> + -<BR> + TYD<BR> + TX<BR> + -<BR> + 150SX<BR> + 100SX<BR> + 102T<BR> + 101S<BR> + 190T<BR> + 150TX<BR> + 101<BR> + -<BR> + -<BR> + 101SX<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ET6P<BR> + ET6S<BR> + ET6X<BR> + RJ-6W/8014EMW<BR> + RJ-6P/8014EMP<BR> + RJ-6X/8014EMX<BR> + TM7W<BR> + TM7P<BR> + TM7X<BR> + -<BR> + 8017SMS<BR> + -<BR> + 8017SMB<BR> + 8017SMA<BR> + -<BR> + -<BR> + CT-6W<BR> + CT-6H<BR> + CT-6P<BR> + CT-6R<BR> + -<BR> + CT-6V<BR> + CT-6X<BR> + -<BR> + -<BR> + 8038EKV<BR> + -<BR> + 8038EKX<BR> + -<BR> + -<BR> + 8038EKP<BR> + 8038EKZ<BR> + 8038EKW<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + 3321H<BR> + 3321P<BR> + 3321N<BR> + 1102H<BR> + 1102P<BR> + 1102T<BR> + RVA0911V304A<BR> + -<BR> + RVA0911H413A<BR> + RVG0707V100A<BR> + RVA0607V(H)306A<BR> + RVA1214H213A<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 3104B<BR> + 3104C<BR> + 3104F<BR> + 3104H<BR> + -<BR> + 3104M<BR> + 3104P<BR> + 3104S<BR> + 3104W<BR> + 3104X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + EVMQ0G<BR> + EVMQIG<BR> + EVMQ3G<BR> + EVMS0G<BR> + EVMQ0G<BR> + EVMG0G<BR> + -<BR> + -<BR> + -<BR> + EVMK4GA00B<BR> + EVM30GA00B<BR> + EVMK0GA00B<BR> + EVM38GA00B<BR> + EVMB6<BR> + EVLQ0<BR> + -<BR> + EVMMSG<BR> + EVMMBG<BR> + EVMMAG<BR> + -<BR> + -<BR> + EVMMCS<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + EVMM1<BR> + -<BR> + -<BR> + EVMM0<BR> + -<BR> + -<BR> + EVMM3<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + 62-3-1<BR> + 62-1-2<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 67R<BR> + -<BR> + 67P<BR> + -<BR> + -<BR> + -<BR> + -<BR> + 67X<BR> + 63V<BR> + 63S<BR> + 63M<BR> + -<BR> + -<BR> + 63H<BR> + 63P<BR> + -<BR> + -<BR> + 63X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + RJ/RJR50<BR> + RJ/RJR50<BR> + RJ/RJR50<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> +</TABLE> +<P>&nbsp;<P> +<TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3> + <TR> + <TD COLSPAN=7> + <FONT color="#0000FF" SIZE=4 FACE=ARIAL><B>SMD TRIM-POT CROSS REFERENCE</B></FONT> + <P> + <FONT SIZE=4 FACE=ARIAL><B>MULTI-TURN</B></FONT> + </TD> + </TR> + <TR> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3224G<BR> + 3224J<BR> + 3224W<BR> + 3269P<BR> + 3269W<BR> + 3269X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 44G<BR> + 44J<BR> + 44W<BR> + 84P<BR> + 84W<BR> + 84X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + ST63Z<BR> + ST63Y<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + ST5P<BR> + ST5W<BR> + ST5X<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> + <TR> + <TD COLSPAN=7>&nbsp; + </TD> + </TR> + <TR> + <TD COLSPAN=7> + <FONT SIZE=4 FACE=ARIAL><B>SINGLE TURN</B></FONT> + </TD> + </TR> + <TR> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BOURNS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>BI&nbsp;TECH</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>DALE-VISHAY</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PHILIPS/MEPCO</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>PANASONIC</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>TOCOS</B></FONT> + </TD> + <TD> + <FONT SIZE=3 FACE=ARIAL><B>AUX/KYOCERA</B></FONT> + </TD> + </TR> + <TR> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 3314G<BR> + 3314J<BR> + 3364A/B<BR> + 3364C/D<BR> + 3364W/X<BR> + 3313G<BR> + 3313J<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + 23B<BR> + 23A<BR> + 21X<BR> + 21W<BR> + -<BR> + 22B<BR> + 22A<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ST5YL/ST53YL<BR> + ST5YJ/5T53YJ<BR> + ST-23A<BR> + ST-22B<BR> + ST-22<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + ST-4B<BR> + ST-4A<BR> + -<BR> + -<BR> + -<BR> + ST-3B<BR> + ST-3A<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + EVM-6YS<BR> + EVM-1E<BR> + EVM-1G<BR> + EVM-1D<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + G4B<BR> + G4A<BR> + TR04-3S1<BR> + TRG04-2S1<BR> + -<BR> + -<BR> + -<BR></FONT> + </TD> + <TD BGCOLOR="#cccccc" ALIGN=CENTER><FONT FACE=ARIAL SIZE=3> + -<BR> + -<BR> + DVR-43A<BR> + CVR-42C<BR> + CVR-42A/C<BR> + -<BR> + -<BR></FONT> + </TD> + </TR> +</TABLE> +<P> +<FONT SIZE=4 FACE=ARIAL><B>ALT =&nbsp;ALTERNATE</B></FONT> +<P> + +&nbsp; +<P> +</td> +</tr> +</table> + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b><p> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 2.4 x 4.4 mm + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 2.5 x 5 mm + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 3 x 5 mm + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 4 x 5 mm + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 5 x 5 mm + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm, outline 6 x 5 mm + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 mm + 5 mm, outline 2.4 x 7 mm + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 + 5 mm, outline 2.5 x 7.5 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 + 5 mm, outline 3.5 x 7.5 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 + 5 mm, outline 4.5 x 7.5 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 2.5 + 5 mm, outline 5.5 x 7.5 mm + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 2.4 x 4.4 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 2.5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 4.5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 3 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 5.5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 7.5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +Horizontal, grid 5 mm, outline 7.5 x 7.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>CAPACITOR</b><p> +grid 7.5 mm, outline 3.2 x 10.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 7.5 mm, outline 4.2 x 10.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 7.5 mm, outline 5.2 x 10.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 10.2 mm, outline 4.3 x 13.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 10.2 mm, outline 5.4 x 13.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 10.2 mm, outline 6.4 x 13.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 15 mm, outline 5.4 x 18.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 15 mm, outline 6.4 x 18.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 15 mm, outline 7.2 x 18.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 15 mm, outline 8.4 x 18.3 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 15 mm, outline 9.1 x 18.2 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 22.5 mm, outline 6.2 x 26.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 22.5 mm, outline 7.4 x 26.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 22.5 mm, outline 8.7 x 26.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 22.5 mm, outline 10.8 x 26.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 22.5 mm, outline 11.3 x 26.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 9.3 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 11.3 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 13.4 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 20.5 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 32.5 mm, outline 13.7 x 37.4 mm + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 32.5 mm, outline 16.2 x 37.4 mm + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 32.5 mm, outline 18.2 x 37.4 mm + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 37.5 mm, outline 19.2 x 41.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 37.5 mm, outline 20.3 x 41.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 5 mm, outline 3.5 x 7.5 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 37.5 mm, outline 15.5 x 41.8 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 7.5 mm, outline 6.3 x 10.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 15.4 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>CAPACITOR</b><p> +grid 27.5 mm, outline 17.3 x 31.6 mm + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>Ceramic Chip Capacitor KEMET 0204 reflow solder</b><p> +Metric Code Size 1005 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 0603 reflow solder</b><p> +Metric Code Size 1608 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 0805 reflow solder</b><p> +Metric Code Size 2012 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 1206 reflow solder</b><p> +Metric Code Size 3216 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 1210 reflow solder</b><p> +Metric Code Size 3225 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 1812 reflow solder</b><p> +Metric Code Size 4532 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 1825 reflow solder</b><p> +Metric Code Size 4564 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 2220 reflow solder</b><p>Metric Code Size 5650 + + + + +>NAME +>VALUE + + + + +<b>Ceramic Chip Capacitor KEMET 2225 reflow solder</b><p>Metric Code Size 5664 + + + + +>NAME +>VALUE + + + + +Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf + + +>NAME +>VALUE + + + + + + +<b>CAPACITOR</b><p> +Source: AVX .. aphvc.pdf + + + + +>NAME +>VALUE + + + + +<b>CAPACITOR</b><p> +Source: AVX .. aphvc.pdf + + + + +>NAME +>VALUE + + + + +<b>CAPACITOR</b> + + + + + + + +>NAME +>VALUE + + +<b>Chip RESISTOR 0402 EIA (1005 Metric)</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> wave soldering<p> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b> + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +wave soldering + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.10 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.25 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.12 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.10 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.25 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.25 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.12 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +MELF 0.25 W + + + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +type 0204, grid 5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0204, grid 7.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0204, grid 2.5 mm + + + + + + +>NAME +>VALUE + + +<b>RESISTOR</b><p> +type 0207, grid 10 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0207, grid 12 mm + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + +<b>RESISTOR</b><p> +type 0207, grid 15mm + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + +<b>RESISTOR</b><p> +type 0207, grid 2.5 mm + + + + + + + +>NAME +>VALUE + + +<b>RESISTOR</b><p> +type 0207, grid 5 mm + + + + + + + +>NAME +>VALUE + + +<b>RESISTOR</b><p> +type 0207, grid 7.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0309, grid 10mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0309, grid 12.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0309, grid 2.5 mm + + + + + + +>NAME +>VALUE + + + + + +<b>RESISTOR</b><p> +type 0411, grid 12.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0411, grid 15 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0411, grid 3.81 mm + + + + + + +>NAME +>VALUE + + + +<b>RESISTOR</b><p> +type 0414, grid 15 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0414, grid 5 mm + + + + + + +>NAME +>VALUE + + + +<b>RESISTOR</b><p> +type 0617, grid 17.5 mm + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0617, grid 22.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0617, grid 5 mm + + + + + + +>NAME +>VALUE + + + +<b>RESISTOR</b><p> +type 0922, grid 22.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + +<b>RESISTOR</b><p> +type 0613, grid 5 mm + + + + + + +>NAME +>VALUE + + + +<b>RESISTOR</b><p> +type 0613, grid 15 mm + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type 0817, grid 22.5 mm + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +0817 + + + + +<b>RESISTOR</b><p> +type 0817, grid 6.35 mm + + + + + + +>NAME +>VALUE +0817 + + + +<b>RESISTOR</b><p> +type V234, grid 12.5 mm + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type V235, grid 17.78 mm + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>RESISTOR</b><p> +type V526-0, grid 2.5 mm + + + + + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC2211</b> Reflow Soldering<p> +source Beyschlag + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC2211</b> Wave Soldering<p> +source Beyschlag + + + + + + +>NAME +>VALUE + + +<b>RESISTOR</b><p> +type 0922, grid 7.5 mm + + + + + + +>NAME +>VALUE +0922 + + + +<b>RESISTOR</b><p> +type RDH, grid 15 mm + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +RDH + + + + +<b>Mini MELF 0102 Axial</b> + + + + +>NAME +>VALUE + + + +<b>RESISTOR</b> wave soldering<p> +Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf + + + + + + + + +>NAME +>VALUE + + + + + +<b>CECC Size RC3715</b> Reflow Soldering<p> +source Beyschlag + + + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC3715</b> Wave Soldering<p> +source Beyschlag + + + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC6123</b> Reflow Soldering<p> +source Beyschlag + + + + + + + + +>NAME +>VALUE + + +<b>CECC Size RC6123</b> Wave Soldering<p> +source Beyschlag + + + + + + + + +>NAME +>VALUE + + +<b>RESISTOR</b> chip<p> +Source: http://www.vishay.com/docs/20008/dcrcw.pdf + + +>NAME +>VALUE + + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RBR52<br> +Source: VISHAY .. vta56.pdf + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RBR53<br> +Source: VISHAY .. vta56.pdf + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RBR54<br> +Source: VISHAY .. vta56.pdf + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RBR55<br> +Source: VISHAY .. vta56.pdf + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RBR56<br> +Source: VISHAY .. vta56.pdf + + + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RNC55<br> +Source: VISHAY .. vta56.pdf + + + + + + + + +>NAME +>VALUE + + + + +<b>Bulk Metal® Foil Technology</b>, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements<p> +MIL SIZE RNC60<br> +Source: VISHAY .. vta56.pdf + + + + + + + + +>NAME +>VALUE + + + + +<b>Package 4527</b><p> +Source: http://www.vishay.com/docs/31059/wsrhigh.pdf + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + +>NAME +>VALUE + + +<b>Wirewound Resistors, Precision Power</b><p> +Source: VISHAY wscwsn.pdf + + + + + + +>NAME +>VALUE + + +<b>CRCW1218 Thick Film, Rectangular Chip Resistors</b><p> +Source: http://www.vishay.com .. dcrcw.pdf + + + + +>NAME +>VALUE + + + + +<b>Chip Monolithic Ceramic Capacitors</b> Medium Voltage High Capacitance for General Use<p> +Source: http://www.murata.com .. GRM43DR72E224KW01.pdf + + + + + + +>NAME +>VALUE + + + + +<b>PRL1632 are realized as 1W for 3.2 × 1.6mm(1206)</b><p> +Source: http://www.mouser.com/ds/2/392/products_18-2245.pdf + + + + +>NAME +>VALUE + + + + + + +>NAME +>VALUE + + + + + + +<b> </b><p> +Source: http://www.vishay.com/docs/10129/hpc0201a.pdf + + +>NAME +>VALUE + + + + + +CAPACITOR + + + + + +CAPACITOR + + + + + +CAPACITOR + + + + + +CAPACITOR + + + + + +CAPACITOR + + + + + +CAPACITOR + + + + + +CAPACITOR + + + + + +CAPACITOR + + + + + +CAPACITOR + + + + + +CAPACITOR + + + + + +CAPACITOR + + + + + +CAPACITOR + + + + + +CAPACITOR + + + + + +CAPACITOR + + + + + +CAPACITOR + + + + + +CAPACITOR +grid 2.5 mm, outline 2.4 x 4.4 mm + + + + + +CAPACITOR +grid 2.5 mm, outline 2.5 x 5 mm + + + + + +CAPACITOR +grid 2.5 mm, outline 3 x 5 mm + + + + + +CAPACITOR +grid 2.5 mm, outline 4 x 5 mm + + + + + +CAPACITOR +grid 2.5 mm, outline 5 x 5 mm + + + + + +CAPACITOR +grid 2.5 mm, outline 6 x 5 mm + + + + + +CAPACITOR +grid 2.5 mm + 5 mm, outline 2.4 x 7 mm + + + + + +CAPACITOR +grid 2.5 + 5 mm, outline 2.5 x 7.5 mm + + + + + +CAPACITOR +grid 2.5 + 5 mm, outline 3.5 x 7.5 mm + + + + + +CAPACITOR +grid 2.5 + 5 mm, outline 4.5 x 7.5 mm + + + + + +CAPACITOR +grid 2.5 + 5 mm, outline 5.5 x 7.5 mm + + + + + +CAPACITOR +grid 5 mm, outline 2.4 x 4.4 mm + + + + + +CAPACITOR +grid 5 mm, outline 2.5 x 7.5 mm + + + + + +CAPACITOR +grid 5 mm, outline 4.5 x 7.5 mm + + + + + +CAPACITOR +grid 5 mm, outline 3 x 7.5 mm + + + + + +CAPACITOR +grid 5 mm, outline 5 x 7.5 mm + + + + + +CAPACITOR +grid 5 mm, outline 5.5 x 7.5 mm + + + + + +CAPACITOR +grid 5 mm, outline 7.5 x 7.5 mm + + + + + +CAPACITOR +Horizontal, grid 5 mm, outline 7.5 x 7.5 mm + + + + + +CAPACITOR +grid 7.5 mm, outline 3.2 x 10.3 mm + + + + + +CAPACITOR +grid 7.5 mm, outline 4.2 x 10.3 mm + + + + + +CAPACITOR +grid 7.5 mm, outline 5.2 x 10.6 mm + + + + + +CAPACITOR +grid 10.2 mm, outline 4.3 x 13.3 mm + + + + + +CAPACITOR +grid 10.2 mm, outline 5.4 x 13.3 mm + + + + + +CAPACITOR +grid 10.2 mm, outline 6.4 x 13.3 mm + + + + + +CAPACITOR +grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm + + + + + +CAPACITOR +grid 15 mm, outline 5.4 x 18.3 mm + + + + + +CAPACITOR +grid 15 mm, outline 6.4 x 18.3 mm + + + + + +CAPACITOR +grid 15 mm, outline 7.2 x 18.3 mm + + + + + +CAPACITOR +grid 15 mm, outline 8.4 x 18.3 mm + + + + + +CAPACITOR +grid 15 mm, outline 9.1 x 18.2 mm + + + + + +CAPACITOR +grid 22.5 mm, outline 6.2 x 26.8 mm + + + + + +CAPACITOR +grid 22.5 mm, outline 7.4 x 26.8 mm + + + + + +CAPACITOR +grid 22.5 mm, outline 8.7 x 26.8 mm + + + + + +CAPACITOR +grid 22.5 mm, outline 10.8 x 26.8 mm + + + + + +CAPACITOR +grid 22.5 mm, outline 11.3 x 26.8 mm + + + + + +CAPACITOR +grid 27.5 mm, outline 9.3 x 31.6 mm + + + + + +CAPACITOR +grid 27.5 mm, outline 11.3 x 31.6 mm + + + + + +CAPACITOR +grid 27.5 mm, outline 13.4 x 31.6 mm + + + + + +CAPACITOR +grid 27.5 mm, outline 20.5 x 31.6 mm + + + + + +CAPACITOR +grid 32.5 mm, outline 13.7 x 37.4 mm + + + + + +CAPACITOR +grid 32.5 mm, outline 16.2 x 37.4 mm + + + + + +CAPACITOR +grid 32.5 mm, outline 18.2 x 37.4 mm + + + + + +CAPACITOR +grid 37.5 mm, outline 19.2 x 41.8 mm + + + + + +CAPACITOR +grid 37.5 mm, outline 20.3 x 41.8 mm + + + + + +CAPACITOR +grid 5 mm, outline 3.5 x 7.5 mm + + + + + +CAPACITOR +grid 37.5 mm, outline 15.5 x 41.8 mm + + + + + +CAPACITOR +grid 7.5 mm, outline 6.3 x 10.6 mm + + + + + +CAPACITOR +grid 27.5 mm, outline 15.4 x 31.6 mm + + + + + +CAPACITOR +grid 27.5 mm, outline 17.3 x 31.6 mm + + + + + +Ceramic Chip Capacitor KEMET 0204 reflow solder +Metric Code Size 1005 + + + + + +Ceramic Chip Capacitor KEMET 0603 reflow solder +Metric Code Size 1608 + + + + + +Ceramic Chip Capacitor KEMET 0805 reflow solder +Metric Code Size 2012 + + + + + +Ceramic Chip Capacitor KEMET 1206 reflow solder +Metric Code Size 3216 + + + + + +Ceramic Chip Capacitor KEMET 1210 reflow solder +Metric Code Size 3225 + + + + + +Ceramic Chip Capacitor KEMET 1812 reflow solder +Metric Code Size 4532 + + + + + +Ceramic Chip Capacitor KEMET 1825 reflow solder +Metric Code Size 4564 + + + + + +Ceramic Chip Capacitor KEMET 2220 reflow solderMetric Code Size 5650 + + + + + +Ceramic Chip Capacitor KEMET 2225 reflow solderMetric Code Size 5664 + + + + + +Source: http://www.avxcorp.com/docs/catalogs/cx5r.pdf + + + + + +CAPACITOR +Source: AVX .. aphvc.pdf + + + + + +CAPACITOR +Source: AVX .. aphvc.pdf + + + + + +CAPACITOR + + + + + +Chip RESISTOR 0402 EIA (1005 Metric) + + + + + +RESISTOR + + + + + +RESISTOR + + + + + +RESISTOR wave soldering + + + + + +RESISTOR + + + + + +RESISTOR +wave soldering + + + + + +RESISTOR + + + + + +RESISTOR +wave soldering + + + + + +RESISTOR + + + + + +RESISTOR +wave soldering + + + + + +RESISTOR + + + + + +RESISTOR +wave soldering + + + + + +RESISTOR + + + + + +RESISTOR +wave soldering + + + + + +RESISTOR + + + + + +RESISTOR +wave soldering + + + + + +RESISTOR + + + + + +RESISTOR +wave soldering + + + + + +RESISTOR + + + + + +RESISTOR +wave soldering + + + + + +RESISTOR +Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf + + + + + +RESISTOR +MELF 0.10 W + + + + + +RESISTOR +MELF 0.25 W + + + + + +RESISTOR +MELF 0.12 W + + + + + +RESISTOR +MELF 0.10 W + + + + + +RESISTOR +MELF 0.25 W + + + + + +RESISTOR +MELF 0.25 W + + + + + +RESISTOR +MELF 0.12 W + + + + + +RESISTOR +MELF 0.25 W + + + + + +RESISTOR +type 0204, grid 5 mm + + + + + +RESISTOR +type 0204, grid 7.5 mm + + + + + +RESISTOR +type 0204, grid 2.5 mm + + + + + +RESISTOR +type 0207, grid 10 mm + + + + + +RESISTOR +type 0207, grid 12 mm + + + + + +RESISTOR +type 0207, grid 15mm + + + + + +RESISTOR +type 0207, grid 2.5 mm + + + + + +RESISTOR +type 0207, grid 5 mm + + + + + +RESISTOR +type 0207, grid 7.5 mm + + + + + +RESISTOR +type 0309, grid 10mm + + + + + +RESISTOR +type 0309, grid 12.5 mm + + + + + +RESISTOR +type 0309, grid 2.5 mm + + + + + +RESISTOR +type 0411, grid 12.5 mm + + + + + +RESISTOR +type 0411, grid 15 mm + + + + + +RESISTOR +type 0411, grid 3.81 mm + + + + + +RESISTOR +type 0414, grid 15 mm + + + + + +RESISTOR +type 0414, grid 5 mm + + + + + +RESISTOR +type 0617, grid 17.5 mm + + + + + +RESISTOR +type 0617, grid 22.5 mm + + + + + +RESISTOR +type 0617, grid 5 mm + + + + + +RESISTOR +type 0922, grid 22.5 mm + + + + + +RESISTOR +type 0613, grid 5 mm + + + + + +RESISTOR +type 0613, grid 15 mm + + + + + +RESISTOR +type 0817, grid 22.5 mm + + + + + +RESISTOR +type 0817, grid 6.35 mm + + + + + +RESISTOR +type V234, grid 12.5 mm + + + + + +RESISTOR +type V235, grid 17.78 mm + + + + + +RESISTOR +type V526-0, grid 2.5 mm + + + + + +CECC Size RC2211 Reflow Soldering +source Beyschlag + + + + + +CECC Size RC2211 Wave Soldering +source Beyschlag + + + + + +RESISTOR +type 0922, grid 7.5 mm + + + + + +RESISTOR +type RDH, grid 15 mm + + + + + +Mini MELF 0102 Axial + + + + + +RESISTOR wave soldering +Source: http://download.siliconexpert.com/pdfs/2005/02/24/Semi_Ap/2/VSH/Resistor/dcrcwfre.pdf + + + + + +CECC Size RC3715 Reflow Soldering +source Beyschlag + + + + + +CECC Size RC3715 Wave Soldering +source Beyschlag + + + + + +CECC Size RC6123 Reflow Soldering +source Beyschlag + + + + + +CECC Size RC6123 Wave Soldering +source Beyschlag + + + + + +RESISTOR chip +Source: http://www.vishay.com/docs/20008/dcrcw.pdf + + + + + +Bulk Metal® Foil Technology, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements +MIL SIZE RBR52 +Source: VISHAY .. vta56.pdf + + + + + +Bulk Metal® Foil Technology, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements +MIL SIZE RBR53 +Source: VISHAY .. vta56.pdf + + + + + +Bulk Metal® Foil Technology, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements +MIL SIZE RBR54 +Source: VISHAY .. vta56.pdf + + + + + +Bulk Metal® Foil Technology, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements +MIL SIZE RBR55 +Source: VISHAY .. vta56.pdf + + + + + +Bulk Metal® Foil Technology, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements +MIL SIZE RBR56 +Source: VISHAY .. vta56.pdf + + + + + +Bulk Metal® Foil Technology, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements +MIL SIZE RNC55 +Source: VISHAY .. vta56.pdf + + + + + +Bulk Metal® Foil Technology, Tubular Axial Lead Resistors, Meets or Exceeds MIL-R-39005 Requirements +MIL SIZE RNC60 +Source: VISHAY .. vta56.pdf + + + + + +Package 4527 +Source: http://www.vishay.com/docs/31059/wsrhigh.pdf + + + + + +Wirewound Resistors, Precision Power +Source: VISHAY wscwsn.pdf + + + + + +Wirewound Resistors, Precision Power +Source: VISHAY wscwsn.pdf + + + + + +Wirewound Resistors, Precision Power +Source: VISHAY wscwsn.pdf + + + + + +Wirewound Resistors, Precision Power +Source: VISHAY wscwsn.pdf + + + + + +Wirewound Resistors, Precision Power +Source: VISHAY wscwsn.pdf + + + + + +Wirewound Resistors, Precision Power +Source: VISHAY wscwsn.pdf + + + + + +CRCW1218 Thick Film, Rectangular Chip Resistors +Source: http://www.vishay.com .. dcrcw.pdf + + + + + +Chip Monolithic Ceramic Capacitors Medium Voltage High Capacitance for General Use +Source: http://www.murata.com .. GRM43DR72E224KW01.pdf + + + + + +PRL1632 are realized as 1W for 3.2 × 1.6mm(1206) +Source: http://www.mouser.com/ds/2/392/products_18-2245.pdf + + + + + + + + + + + +Source: http://www.vishay.com/docs/10129/hpc0201a.pdf + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + +>NAME +>VALUE + + + + + + +<B>CAPACITOR</B>, European symbol + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<B>RESISTOR</B>, European symbol + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>LEDs</b><p> +<author>Created by librarian@cadsoft.de</author><br> +Extended by Federico Battaglin <author>&lt;federico.rd@fdpinternational.com&gt;</author> with DUOLED + + +<b>CHICAGO MINIATURE LAMP, INC.</b><p> +7022X Series SMT LEDs 1206 Package Size + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + +<B>LED</B><p> +5 mm, square, Siemens + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<B>LED</B><p> +2 x 5 mm, rectangle + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + +<B>LED</B><p> +3 mm, round + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<B>LED</B><p> +5 mm, round + + + + + + + + + + + +>NAME +>VALUE + + +<B>LED</B><p> +1 mm, round, Siemens + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<B>LED BLOCK</B><p> +1 LED, Siemens + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + +<b>LED HOLDER</b><p> +Siemens + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>LED HOLDER</b><p> +Siemens + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>LED HOLDER</b><p> +Siemens + + + + + + + + + + + + + + + + + +A+ +K- +>NAME +>VALUE + + + + + +<b>LED HOLDER</b><p> +Siemens + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE ++ +- + + +<B>IR LED</B><p> +infrared emitting diode, Infineon +TO-18, lead spacing 2.54 mm, cathode marking<p> +Inifineon + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<B>IR LED</B><p> +infrared emitting diode, Infineon +TO-18, lead spacing 2.54 mm, cathode marking<p> +Inifineon + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<B>LED</B><p> +rectangle, 5.7 x 3.2 mm + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<B>IR LED</B><p> +IR transmitter Siemens + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>TOPLED® High-optical Power LED (HOP)</b><p> +Source: http://www.osram.convergy.de/ ... ls_t675.pdf + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +A +C + + + + + + + +<b>BLUE LINETM Hyper Mini TOPLED® Hyper-Bright LED</b><p> +Source: http://www.osram.convergy.de/ ... LB M676.pdf + + + + + + + + + + + + + + +A +C +>NAME +>VALUE + + + + + + + +<b>Super SIDELED® High-Current LED</b><p> +LG A672, LP A672 <br> +Source: http://www.osram.convergy.de/ ... LG_LP_A672.pdf (2004.05.13) + + + + + + + + + + + + + + + + + + + +C +A +>NAME +>VALUE + + + + + + + +<b>SmartLEDTM Hyper-Bright LED</b><p> +Source: http://www.osram.convergy.de/ ... LA_LO_LS_LY L896.pdf + + + + + + + + +>NAME +>VALUE + + + + + +<b>Hyper TOPLED® RG Hyper-Bright LED</b><p> +Source: http://www.osram.convergy.de/ ... LA_LO_LS_LY T776.pdf + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +A +C + + + + + + + + + + +<b>Hyper Micro SIDELED®</b><p> +Source: http://www.osram.convergy.de/ ... LA_LO_LS_LY Y876.pdf + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + +<b>Power TOPLED®</b><p> +Source: http://www.osram.convergy.de/ ... LA_LO_LA_LY E67B.pdf + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +C +A +C +C + + + + + + + + + + + +<b>Hyper CHIPLED Hyper-Bright LED</b><p> +LB Q993<br> +Source: http://www.osram.convergy.de/ ... Lb_q993.pdf + + + + +>NAME +>VALUE + + + + + + + +<b>Hyper CHIPLED Hyper-Bright LED</b><p> +LB R99A<br> +Source: http://www.osram.convergy.de/ ... lb_r99a.pdf + + + + +>NAME +>VALUE + + + + + + + +<b>Mini TOPLED Santana®</b><p> +Source: http://www.osram.convergy.de/ ... LG M470.pdf + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + +<b>CHIPLED</b><p> +Source: http://www.osram.convergy.de/ ... LG_R971.pdf + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + +<b>CHIPLED</b><p> +Source: http://www.osram.convergy.de/ ... LG_LY N971.pdf + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + +<b>CHIPLED</b><p> +Source: http://www.osram.convergy.de/ ... LG_LY Q971.pdf + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + +<b>CHIPLED-0603</b><p> +Recommended Solder Pad useable for SmartLEDTM and Chipled - Package 0603<br> +Package able to withstand TTW-soldering heat<br> +Package suitable for TTW-soldering<br> +Source: http://www.osram.convergy.de/ ... LO_LS_LY L89K.pdf + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + +<b>SmartLED TTW</b><p> +Recommended Solder Pad useable for SmartLEDTM and Chipled - Package 0603<br> +Package able to withstand TTW-soldering heat<br> +Package suitable for TTW-soldering<br> +Source: http://www.osram.convergy.de/ ... LO_LS_LY L89K.pdf + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + +<b>Lumileds Lighting. LUXEON®</b> with cool pad<p> +Source: K2.pdf + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Lumileds Lighting. LUXEON®</b> without cool pad<p> +Source: K2.pdf + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + + + + + + +<B>LED</B><p> +10 mm, round + + + + + + + + + + + + + +>NAME +>VALUE + + +<b>SURFACE MOUNT LED LAMP</b> 3.5x2.8mm<p> +Source: http://www.kingbright.com/manager/upload/pdf/KA-3528ASYC(Ver1189474662.1) + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + + +<b>SML0805-2CW-TR (0805 PROFILE)</b> COOL WHITE<p> +Source: http://www.ledtronics.com/ds/smd-0603/Dstr0093.pdf + + + + + + + + + + + +>NAME +>VALUE + + +<b>SML10XXKH-TR (HIGH INTENSITY) LED</b><p> +<table> +<tr><td>SML10R3KH-TR</td><td>ULTRA RED</td></tr> +<tr><td>SML10E3KH-TR</td><td>SUPER REDSUPER BLUE</td></tr> +<tr><td>SML10O3KH-TR</td><td>SUPER ORANGE</td></tr> +<tr><td>SML10PY3KH-TR</td><td>PURE YELLOW</td></tr> +<tr><td>SML10OY3KH-TR</td><td>ULTRA YELLOW</td></tr> +<tr><td>SML10AG3KH-TR</td><td>AQUA GREEN</td></tr> +<tr><td>SML10BG3KH-TR</td><td>BLUE GREEN</td></tr> +<tr><td>SML10PB1KH-TR</td><td>SUPER BLUE</td></tr> +<tr><td>SML10CW1KH-TR</td><td>WHITE</td></tr> +</table> + +Source: http://www.ledtronics.com/ds/smd-1206/dstr0094.PDF + + + + + + + +>NAME +>VALUE + + + + + + + + + +<b>SML0603-XXX (HIGH INTENSITY) LED</b><p> +<table> +<tr><td>AG3K</td><td>AQUA GREEN</td></tr> +<tr><td>B1K</td><td>SUPER BLUE</td></tr> +<tr><td>R1K</td><td>SUPER RED</td></tr> +<tr><td>R3K</td><td>ULTRA RED</td></tr> +<tr><td>O3K</td><td>SUPER ORANGE</td></tr> +<tr><td>O3KH</td><td>SOFT ORANGE</td></tr> +<tr><td>Y3KH</td><td>SUPER YELLOW</td></tr> +<tr><td>Y3K</td><td>SUPER YELLOW</td></tr> +<tr><td>2CW</td><td>WHITE</td></tr> +</table> +Source: http://www.ledtronics.com/ds/smd-0603/Dstr0092.pdf + + + + + + + + + + +>NAME +>VALUE + + + + + + +CHICAGO MINIATURE LAMP, INC. +7022X Series SMT LEDs 1206 Package Size + + + + + +LED +5 mm, square, Siemens + + + + + +LED +2 x 5 mm, rectangle + + + + + +LED +3 mm, round + + + + + +LED +5 mm, round + + + + + +LED +1 mm, round, Siemens + + + + + +LED BLOCK +1 LED, Siemens + + + + + +LED HOLDER +Siemens + + + + + +LED HOLDER +Siemens + + + + + +LED HOLDER +Siemens + + + + + +LED HOLDER +Siemens + + + + + +IR LED +infrared emitting diode, Infineon +TO-18, lead spacing 2.54 mm, cathode marking +Inifineon + + + + + +IR LED +infrared emitting diode, Infineon +TO-18, lead spacing 2.54 mm, cathode marking +Inifineon + + + + + +LED +rectangle, 5.7 x 3.2 mm + + + + + +IR LED +IR transmitter Siemens + + + + + +TOPLED® High-optical Power LED (HOP) +Source: http://www.osram.convergy.de/ ... ls_t675.pdf + + + + + +BLUE LINETM Hyper Mini TOPLED® Hyper-Bright LED +Source: http://www.osram.convergy.de/ ... LB M676.pdf + + + + + +Super SIDELED® High-Current LED +LG A672, LP A672 +Source: http://www.osram.convergy.de/ ... LG_LP_A672.pdf (2004.05.13) + + + + + +SmartLEDTM Hyper-Bright LED +Source: http://www.osram.convergy.de/ ... LA_LO_LS_LY L896.pdf + + + + + +Hyper TOPLED® RG Hyper-Bright LED +Source: http://www.osram.convergy.de/ ... LA_LO_LS_LY T776.pdf + + + + + +Hyper Micro SIDELED® +Source: http://www.osram.convergy.de/ ... LA_LO_LS_LY Y876.pdf + + + + + +Power TOPLED® +Source: http://www.osram.convergy.de/ ... LA_LO_LA_LY E67B.pdf + + + + + +Hyper CHIPLED Hyper-Bright LED +LB Q993 +Source: http://www.osram.convergy.de/ ... Lb_q993.pdf + + + + + +Hyper CHIPLED Hyper-Bright LED +LB R99A +Source: http://www.osram.convergy.de/ ... lb_r99a.pdf + + + + + +Mini TOPLED Santana® +Source: http://www.osram.convergy.de/ ... LG M470.pdf + + + + + +CHIPLED +Source: http://www.osram.convergy.de/ ... LG_R971.pdf + + + + + +CHIPLED +Source: http://www.osram.convergy.de/ ... LG_LY N971.pdf + + + + + +CHIPLED +Source: http://www.osram.convergy.de/ ... LG_LY Q971.pdf + + + + + +CHIPLED-0603 +Recommended Solder Pad useable for SmartLEDTM and Chipled - Package 0603 +Package able to withstand TTW-soldering heat +Package suitable for TTW-soldering +Source: http://www.osram.convergy.de/ ... LO_LS_LY L89K.pdf + + + + + +SmartLED TTW +Recommended Solder Pad useable for SmartLEDTM and Chipled - Package 0603 +Package able to withstand TTW-soldering heat +Package suitable for TTW-soldering +Source: http://www.osram.convergy.de/ ... LO_LS_LY L89K.pdf + + + + + +Lumileds Lighting. LUXEON® with cool pad +Source: K2.pdf + + + + + +Lumileds Lighting. LUXEON® without cool pad +Source: K2.pdf + + + + + +LED +10 mm, round + + + + + +SURFACE MOUNT LED LAMP 3.5x2.8mm +Source: http://www.kingbright.com/manager/upload/pdf/KA-3528ASYC(Ver1189474662.1) + + + + + +SML0805-2CW-TR (0805 PROFILE) COOL WHITE +Source: http://www.ledtronics.com/ds/smd-0603/Dstr0093.pdf + + + + + +SML10XXKH-TR (HIGH INTENSITY) LED + +SML10R3KH-TRULTRA RED +SML10E3KH-TRSUPER REDSUPER BLUE +SML10O3KH-TRSUPER ORANGE +SML10PY3KH-TRPURE YELLOW +SML10OY3KH-TRULTRA YELLOW +SML10AG3KH-TRAQUA GREEN +SML10BG3KH-TRBLUE GREEN +SML10PB1KH-TRSUPER BLUE +SML10CW1KH-TRWHITE + + +Source: http://www.ledtronics.com/ds/smd-1206/dstr0094.PDF + + + + + +SML0603-XXX (HIGH INTENSITY) LED + +AG3KAQUA GREEN +B1KSUPER BLUE +R1KSUPER RED +R3KULTRA RED +O3KSUPER ORANGE +O3KHSOFT ORANGE +Y3KHSUPER YELLOW +Y3KSUPER YELLOW +2CWWHITE + +Source: http://www.ledtronics.com/ds/smd-0603/Dstr0092.pdf + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +<b>LED</b><p> +<u>OSRAM</u>:<br> + +- <u>CHIPLED</u><br> +LG R971, LG N971, LY N971, LG Q971, LY Q971, LO R971, LY R971 +LH N974, LH R974<br> +LS Q976, LO Q976, LY Q976<br> +LO Q996<br> + +- <u>Hyper CHIPLED</u><br> +LW Q18S<br> +LB Q993, LB Q99A, LB R99A<br> + +- <u>SideLED</u><br> +LS A670, LO A670, LY A670, LG A670, LP A670<br> +LB A673, LV A673, LT A673, LW A673<br> +LH A674<br> +LY A675<br> +LS A676, LA A676, LO A676, LY A676, LW A676<br> +LS A679, LY A679, LG A679<br> + +- <u>Hyper Micro SIDELED®</u><br> +LS Y876, LA Y876, LO Y876, LY Y876<br> +LT Y87S<br> + +- <u>SmartLED</u><br> +LW L88C, LW L88S<br> +LB L89C, LB L89S, LG L890<br> +LS L89K, LO L89K, LY L89K<br> +LS L896, LA L896, LO L896, LY L896<br> + +- <u>TOPLED</u><br> +LS T670, LO T670, LY T670, LG T670, LP T670<br> +LSG T670, LSP T670, LSY T670, LOP T670, LYG T670<br> +LG T671, LOG T671, LSG T671<br> +LB T673, LV T673, LT T673, LW T673<br> +LH T674<br> +LS T676, LA T676, LO T676, LY T676, LB T676, LH T676, LSB T676, LW T676<br> +LB T67C, LV T67C, LT T67C, LS T67K, LO T67K, LY T67K, LW E67C<br> +LS E67B, LA E67B, LO E67B, LY E67B, LB E67C, LV E67C, LT E67C<br> +LW T67C<br> +LS T679, LY T679, LG T679<br> +LS T770, LO T770, LY T770, LG T770, LP T770<br> +LB T773, LV T773, LT T773, LW T773<br> +LH T774<br> +LS E675, LA E675, LY E675, LS T675<br> +LS T776, LA T776, LO T776, LY T776, LB T776<br> +LHGB T686<br> +LT T68C, LB T68C<br> + +- <u>Hyper Mini TOPLED®</u><br> +LB M676<br> + +- <u>Mini TOPLED Santana®</u><br> +LG M470<br> +LS M47K, LO M47K, LY M47K +<p> +Source: http://www.osram.convergy.de<p> + +<u>LUXEON:</u><br> +- <u>LUMILED®</u><br> +LXK2-PW12-R00, LXK2-PW12-S00, LXK2-PW14-U00, LXK2-PW14-V00<br> +LXK2-PM12-R00, LXK2-PM12-S00, LXK2-PM14-U00<br> +LXK2-PE12-Q00, LXK2-PE12-R00, LXK2-PE12-S00, LXK2-PE14-T00, LXK2-PE14-U00<br> +LXK2-PB12-K00, LXK2-PB12-L00, LXK2-PB12-M00, LXK2-PB14-N00, LXK2-PB14-P00, LXK2-PB14-Q00<br> +LXK2-PR12-L00, LXK2-PR12-M00, LXK2-PR14-Q00, LXK2-PR14-R00<br> +LXK2-PD12-Q00, LXK2-PD12-R00, LXK2-PD12-S00<br> +LXK2-PH12-R00, LXK2-PH12-S00<br> +LXK2-PL12-P00, LXK2-PL12-Q00, LXK2-PL12-R00 +<p> +Source: www.luxeon.com<p> + +<u>KINGBRIGHT:</U><p> +KA-3528ASYC<br> +Source: www.kingbright.com + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Omron Switches</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>OMRON SWITCH</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +1 +2 +3 +4 + + + + +OMRON SWITCH + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + +<b>OMRON SWITCH</b> + + + + + + + + + + + + + + + + + + + + + + + + + + + + +<b>Molex Connectors</b><p> +<author>Created by librarian@cadsoft.de</author> + + +<b>1.25mm Pitch PicoBlade™ Wire-to-Board Header, Vertical, with Friction Lock, 7 Circuits</b><p><a href =http://www.molex.com/pdm_docs/sd/530470710_sd.pdf>Datasheet </a> + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + +<b>1.25mm Pitch PicoBlade™ Header, Right Angle, 7 Circuits</b><p><a href =http://www.molex.com/pdm_docs/sd/530480710_sd.pdf>Datasheet </a> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE + + + + + + + + + + + + + + + + +<b>1.25mm Pitch PicoBlade™ Header, Surface Mount, Right Angle, 7 Circuits</b><p><a href =http://www.molex.com/pdm_docs/sd/532610771_sd.pdf>Datasheet </a> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +1 + + + + + + + + + +<b>1.25mm Pitch PicoBlade™ Header, Surface Mount, Vertical, 7 Circuits</b><p><a href =http://www.molex.com/pdm_docs/sd/533980771_sd.pdf>Datasheet </a> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +>NAME +>VALUE +1 + + + + + + + + + + + + +>NAME +>VALUE + + + + +>NAME + + + + + +<b>CONNECTOR</b><p> +wire to board 1.25 mm (.049 inch) pitch header + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +DSPLABOR WS2018 +1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +Since Version 8.2, EAGLE supports online libraries. The ids +of those online libraries will not be understood (or retained) +with this version. + + +Since Version 8.3, EAGLE supports URNs for individual library +assets (packages, symbols, and devices). The URNs of those assets +will not be understood (or retained) with this version. + + +Since Version 8.3, EAGLE supports the association of 3D packages +with devices in libraries, schematics, and board files. Those 3D +packages will not be understood (or retained) with this version. + + +Since Version 8.4, EAGLE supports properties for SPICE simulation. +Probes in schematics and SPICE mapping objects found in parts and library devices +will not be understood with this version. Update EAGLE to the latest version +for full support of SPICE simulation. + + +